JP2002289596A - プラズマを利用した表面処理装置及び方法 - Google Patents

プラズマを利用した表面処理装置及び方法

Info

Publication number
JP2002289596A
JP2002289596A JP2002000292A JP2002000292A JP2002289596A JP 2002289596 A JP2002289596 A JP 2002289596A JP 2002000292 A JP2002000292 A JP 2002000292A JP 2002000292 A JP2002000292 A JP 2002000292A JP 2002289596 A JP2002289596 A JP 2002289596A
Authority
JP
Japan
Prior art keywords
plasma
process gas
surface treatment
chamber
silicon substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002000292A
Other languages
English (en)
Inventor
Jeong-Ho Kim
キム ジョン−ホ
Gil-Gwang Lee
リー ギル−ガン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
APL Co Ltd
Original Assignee
APL Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2001-0001018A external-priority patent/KR100404956B1/ko
Priority claimed from KR1020010079425A external-priority patent/KR100573929B1/ko
Application filed by APL Co Ltd filed Critical APL Co Ltd
Publication of JP2002289596A publication Critical patent/JP2002289596A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

(57)【要約】 【課題】 シリコン基板表面の自然酸化膜、化学的酸化
膜、及びシリコン基板表面の損傷部位、メタル表面の汚
染物質などを除去するためのプラズマを利用した表面処
理装置及び方法の提供。 【解決手段】 プラズマ発生部と基板との間に接地され
たグリッドまたはバッフルを備えて電荷を吸収すること
により、ラジカルのみを基板に通過させ、第2工程ガス
としてHFガスを使用する。従って、コンタクトホール
の食刻のときシリコン基板上に形成された自然酸化膜、
化学的酸化膜、または損傷部位が除去され、各ウェーハ
工程の後、コンディショニングガスを流入させてチェン
バー内の環境を一定に保持することにより工程再現性を
向上させる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プラズマを利用し
た表面処理装置及び方法に関し、特に、半導体素子また
はTFT(Thin Film Transistor;TFT)LCD(Liqui
d Crystal Display;LCD)などのような集積回路の製
造過程で大気中の酸素とシリコン表面に反応して発生す
る自然酸化膜(native oxide layer)、工程過程でシリコ
ン表面に化学的に形成される酸化膜、シリコン表面上の
損傷部位、またはシリコン表面及びコンタクトホールの
側壁に発生する汚染物質などを除去するためのプラズマ
を利用した表面処理装置及び方法に関する。
【0002】
【従来の技術】一般に、半導体、TFT LCD、また
はFPD(Flat Panel Display)などの集積回路を製造す
るためには、下部のシリコン基板上に形成された素子を
金属層によって配線するための金属配線工程(metalizat
ion)が要求され、このためには、コンタクトホールを形
成する過程が必須的である。前記コンタクトホールは、
プラズマを利用した酸化膜に乾式食刻(dry etching)を
行って形成されることが一般的であり、このとき、コン
タクトホールを形成する過程のうちに下部のシリコン表
面が露出する。従って、乾式食刻後に、プラズマからイ
オン衝撃などによって損傷部位が形成され、また、食刻
ガスから解離(dissociation)された物質と食刻された物
質で構成された汚染物質がシリコン表面及び側壁に付着
する。このような損傷部位及び汚染物質は、素子特性に
致命的欠陥となるコンタクト抵抗(contact resistance)
または漏れ電流(leakage current)を増加させ得るの
で、集積回路の製造工程では、これを乾式洗浄(dry cle
aning)または湿式洗浄(wet cleaning)を施して除去す
る。また、前記損傷部位及び汚染物質以外にも、シリコ
ン表面及び空気中の酸素と反応して形成される自然酸化
膜が存在する。従って、コンタクトホールの形成後、導
電性物質の蒸着前に乾式洗浄または湿式洗浄を施して自
然酸化膜を取り除かなければならない。
【0003】また、酸化膜は、シリコン表面と食刻後処
理工程で使用するH2O2、H2SO4、及び純水(dei
onized water)の混合溶液との反応によって化学的に形
成されることもある。このような酸化膜は、後続工程に
悪影響を及ぼし、電気的接触特性を劣化させ、これによ
り、製造された半導体またはTFT LCD回路の特性
を低下させる。
【0004】また、最近、集積回路の線幅(Critical De
mension;CD)の減少とともに自己整列コンタクト(sel
f-aligned contact;以下、SACと称する。)構造が幅
広く使用されている。このようなSAC食刻工程を進行
する間、シリコン室化膜からなる食刻ストッパー層(etc
h stopper layer)が露出する。従って、前記室化膜で囲
まれた電極とコンタクトホールを充填する導電物質間の
短絡(shortage)または漏れ電流(leakage current)を防
止するためには、表面処理が行われる間、シリコン酸化
膜からなる側壁または室化膜のどちらかが食刻されては
ならない。
【0005】また、コンタクトホール下部のシリコン基
板の表面が露出しない場合であっても、ゲート、キャパ
シタ製造用のポリシリコン電極、または配線が露出する
ことがある。この場合も、前記シリコン基板の表面が露
出する場合と同様に、損傷部位、酸化膜、または汚染物
質を除去しなければならない。
【0006】必要な場合には、ゲート電極またはメモリ
のビットラインにメタルを使用するが、コンタクトホー
ルを食刻するときメタルの上部が露出する。このとき
も、メタル上部及び壁面の汚染物質を除去しなければな
らない。しかし、食刻されたメタル成分が汚染物質に含
まれており、容易に除去されない。従って、工程上注意
を払わなければならない。
【0007】図1は、従来技術によるフッ素酸(fluorin
e acid)塗布装置の概略的構成を示す。図1を参照する
と、従来のフッ素酸塗布装置は、フッ素酸溶液10、加
熱チェンバー20、基板30、基板積載部40、前記フ
ッ素酸溶液60で満ちたフッ素溶液タンク50、フッ素
酸供給パイプ70及び80とからなる。自然酸化膜の形
成を防止するために、シリコン表面に酸素と反応するフ
ッ素酸層(fluorine acid layer)を形成して酸素を予め
取り除く。このような方法にて、フッ素酸塗布装置を利
用してフッ素酸蒸気を発生させてシリコン表面にフッ素
酸層を形成し、前記フッ化物層に熱を加えて硬化させ
る。そうすると、フッ素酸層が形成された基板を食刻す
るために、食刻装置内へ流入する酸素、または、食刻装
置内に残留する酸素は、基板の表面上のフッ素酸層と化
学的に反応して除去される。
【0008】しかし、前記のような従来技術は、素子構
成及び概念を単純化させる長所にもかかわらず、微細な
工程変数を効率的に制御し難いという短所があった。
【0009】また、表面処理のためには、紫外線(Ultra
Violet;UV)及びオゾン(O3)を使用することができ
る。すなわち、シリコン表面を紫外線によって解離され
たオゾンO3との反応を利用して酸化させ、前記酸化層
の湿式食刻を行って取り除く方法である。しかし、この
ような方法は、シリコン表面の酸化のとき長い時間がか
かるので、工程の進行が遅延する短所があった。
【0010】図2は、従来技術によるプラズマを利用し
た食刻装置の概略的構成を示す。第1工程ガス流入口9
0を通じてH2及びN2を流入させてプラズマ発生部1
00でプラズマを発生させた後、第2工程ガス流入口1
10を通じてNF3ガスを流入させる。これにより、チ
ェンバー140内のシリコン基板120を食刻し、排出
口130を通じてガスが排出される。
【0011】しかし、従来技術は、NF3ガスを工程ガ
スとして主に使用するが、この場合、プラズマによる解
離及び活性化が活発して食刻過程に含まれるフッ素原子
及びイオンが過度に発生する。その結果、シリコン表面
が損傷部位より過度に食刻され、またはBPSG(borop
hosphosilicate glass)酸化膜または室化膜も食刻され
る問題点があった。
【0012】
【発明が解決しようとする課題】従って、本発明の目的
は、ゲート酸化膜の成長前にシリコン表面に形成された
自然酸化膜または化学的酸化膜を取り除くことにより、
ゲート酸化膜の特性低下を防止するプラズマを利用した
表面処理装置及び方法を提供することにある。
【0013】本発明の他の目的は、コンタクトホール形
成のための絶縁膜食刻のとき露出するシリコン表面に形
成される自然酸化膜または化学的酸化膜、損傷部位、及
び汚染物質を取り除くことにより、コンタクト抵抗及び
漏れ電流の増加を防止するためのプラズマを利用した表
面処理装置及び方法を提供することにある。
【0014】本発明のまた他の目的は、下部メタル層と
の配線のためのコンタクトホールを食刻するとき、コン
タクトホールの側壁及び下部メタル層の上部表面に形成
されたポリマーのような汚染物質を取り除くためのプラ
ズマを利用した表面処理装置及び方法を提供することに
ある。
【0015】本発明のさらに他の目的は、集積回路の製
造のときエピタキシャル(epitaxial)シリコン成長工程
でシリコン表面の自然酸化膜または化学的酸化膜を取り
除いて良質のエピタキシャルシリコンを成長させるため
のプラズマを利用した表面処理装置及び方法を提供する
ことにある。
【0016】本発明のなお他の目的は、半球形(hemisph
erical grain;HSG)シリコン形成工程で下部のシリ
コン表面の自然酸化膜または化学的酸化膜を取り除いて
良質の半球形を成長させるためのプラズマを利用した表
面処理装置及び方法を提供することにある。
【0017】本発明のなおかつ他の目的は、工程再現性
(uniformity)を向上させるためのプラズマを利用した表
面処理装置及び方法を提供することにある。
【0018】
【課題を解決するための手段】前記目的を達成するため
に、本発明の一態様によるプラズマを利用した表面処理
装置は、真空状態で保持可能なチェンバーと、前記チェ
ンバー内に備えられ、シリコン基板を積載する基板積載
部と、前記チェンバー内にプラズマ発生及び保持のため
のキャリアガスが流入する第1工程ガス流入部と、前記
第1工程ガスからプラズマを形成するプラズマ発生部
と、前記プラズマ発生部と前記基板積載部との間に備え
られ、前記基板へラジカルのみを通過させるためのフィ
ルタと、前記プラズマ発生部と前記フィルタとの間に備
えられ、前記チェンバー内に第2工程ガスが流入する第
2工程ガス流入部とからなることを特徴とする。
【0019】望ましくは、前記プラズマ発生部は、マイ
クロ波発生装置を前記プラズマ発生のためのエネルギー
供給源として使用することを特徴とする。
【0020】望ましくは、前記ラジカルの蒸着及び前記
ラジカルを利用した副産物層の形成を防止して前記ラジ
カルが前記基板の表面に集中するように前記チェンバー
壁面を所定の温度で保持するために加熱部をさらに備え
ることを特徴とする。
【0021】望ましくは、前記フィルタが接地される
か、または、矩形波または正弦波の交流(alternating c
urrent;AC)電圧が印加されたバッフル(baffle)また
はグリッド(grid)を前記フィルタとして使用することを
特徴とする。
【0022】さらに望ましくは、各ウェーハ工程の後、
チェンバー内の環境を一定に保持するために、前記チェ
ンバー内にコンディショニングガス(conditioning gas)
が流入する第3工程ガス流入部をさらに備えることを特
徴とする。
【0023】また、本発明の一態様によるプラズマを利
用した表面処理方法は、絶縁層を含んで少なくとも1つ
以上の層を有するシリコン基板上にコンタクトホールを
形成するための食刻のとき発生する望まない酸化膜及び
損傷部位を除去するためのプラズマを利用した表面処理
方法において、前記酸化膜の上にポリマー膜を形成する
ステップと、アニーリングして前記ポリマー膜及び前記
酸化膜を除去するステップと、前記シリコン基板の表面
の損傷部位を除去するステップとからなることを特徴と
する。
【0024】望ましくは、前記ポリマー膜を形成するス
テップは、H2またはN2を含む第1工程ガスを流入さ
せてプラズマを形成するステップと、前記プラズマをフ
ィルタリングして前記シリコン基板へラジカルのみを通
過させるステップと、ハロゲン元素を含む第2工程ガス
を流入させるステップとを含むことを特徴とする。
【0025】望ましくは、前記第2工程ガスは、HF、
HCl、BCl3、HBrまたはClF3のうち少なく
とも1つであることを特徴とする。
【0026】望ましくは、前記ポリマー膜及び前記酸化
膜は、加熱チェンバーでアニーリングしてUVランプま
たはIRランプで加熱して除去されることを特徴とす
る。
【0027】望ましくは、前記シリコン基板表面の損傷
部位は、加熱チェンバーでアニーリングして除去される
ことを特徴とする。
【0028】さらに望ましくは、前記損傷部位を除去す
るステップは、前記ポリマー膜及び酸化膜を除去した
後、同一のチェンバー内でインシチュー(in-situ)にて
遂行されることを特徴とする。
【0029】さらに、本発明は、真空状態で保持される
ことができるチェンバーと、シリコン基板を積載する基
板積載部と、プラズマの発生及び保持のためのキャリア
ガスが流入する第1工程ガス流入部と、プラズマ発生部
と、前記基板へラジカルのみ通過させるためのフィルタ
と、第2工程ガス流入部と、各ウェーハ工程の後、チェ
ンバー内の環境を一定に保持するための第3工程ガスが
流入する第3工程ガス流入部とを備える表面処理装置内
の集積回路の製造のためのプラズマを利用した表面処理
方法において、前記チェンバー内に第1工程ガスを流入
させるステップと、前記プラズマ発生部で前記第1工程
ガスからプラズマを形成するステップと、前記チェンバ
ー内に第2工程ガスを流入させるステップと、各ウェー
ハ工程の後、チェンバー内の環境を一定に保持するため
の第3工程ガスを流入させるステップとからなることを
特徴とする。
【0030】望ましくは、前記第1工程ガスは、H2ま
たはN2のうち1つであることを特徴とする。
【0031】望ましくは、前記フィルタは接地される
か、またはAC電圧を受信することを特徴とする。
【0032】さらに望ましくは、前記第2工程ガスは、
HF、HCl、BCl3、HBrまたはClF3のうち
少なくとも1つであることを特徴とする。
【0033】望ましくは、前記第3工程ガスは、H、
F、OまたはNのうち少なくとも1つであることを特徴
とする。
【0034】
【発明の実施の形態】以下、本発明による好適な実施形
態を添付図面を参照しつつ詳しく説明する。下記の説明
において、本発明の要旨のみを明瞭にするために公知の
機能及び構成に対する詳細な説明は省略する。
【0035】図3は、本発明の一実施形態によるプラズ
マ食刻装置の構成を示す。このプラズマ食刻装置は、マ
イクロ波プラズマを利用した乾式洗浄工程を遂行する。
図3を参照すると、シリコン基板180は、真空状態で
保持可能なチェンバー140の下に位置した基板積載部
160に備えられ、N2、H2、またはこれらの混合ガ
スは、第1工程ガス流入口130を通じて流入する。こ
のとき、N2、H2、またはこれらの混合ガスは、プラ
ズマ発生及び保持のためのキャリアガスとして作用す
る。次いで、プラズマは、マイクロ波ソースモジュール
(microwave source module)110及びプラズマアプリ
ケータ120を動作させることによって発生し、HFガ
スは、第2工程ガス流入口190を通じて流入する。こ
のとき、HFガス以外にフッ素を含むハロゲン元素、H
Cl、BCl3、HBr、またはClF3などのハロゲ
ン元素も第2工程ガスとして使用することができる。前
記プラズマは、基板180の下方へ動く間フィルタ15
0を通過する。このとき、前記フィルタ150は、接地
されたバッフルまたはグリッドなどで電荷(potential)
を吸収し、結局、シリコン基板180にはラジカル(rad
ical)のみが到達する。アニーリング(annealing)するた
めのヒーター210によって加熱チェンバーをアニーリ
ングし、これにより、前記シリコン基板表面の損傷部位
を取り除く。壁面加熱ジャケット(wall heat jacket)2
20は、チェンバーの壁面を適正の温度で保持してラジ
カルがチェンバー140の壁面に蒸着されて副産物層の
形成を防止し、ラジカルがシリコン基板180の表面に
集中する。また、コンディショニングガスとしてのHま
たはFガスは、第3工程ガス流入口200を通じて注入
されて前記チェンバー140内の環境を一定に保持さ
せ、これにより、食刻の後、食刻再現性を向上させる。
このとき、前記コンディショニングガスとしては、H、
F、OまたはN、あるいはこれらの混合ガスを使用する
ことができる。
【0036】前記使用された工程ガスは、排出口170
を通じて排出される。
【0037】図4は、本発明の他の実施形態によるプラ
ズマ食刻装置の構成を示す。このプラズマ食刻装置は、
リモートプラズマを利用して乾式洗浄工程を遂行する。
図4を参照すると、プラズマを発生させるためにRFパ
ワーを印加することを除いては、前記プラズマ食刻装置
は、前記マイクロ波プラズマを利用したプラズマ食刻装
置と類似している。前記プラズマ食刻装置は、該当技術
分野における通常の知識を有する者には自明であるの
で、詳細な説明は省略する。
【0038】図5A乃至図5Eは、本発明の一実施形態
による自然酸化膜及びシリコン基板表面の損傷部位を除
去する過程を順次に示す断面図である。
【0039】図5Aは、シリコン基板40上に層間絶縁
層41を形成した後、接触領域での前記層間絶縁層41
を食刻してコンタクトホールを形成した状態を示す。こ
のとき、接触領域で露出した前記シリコン基板40の表
面が食刻のとき損傷される。その結果、損傷部位42及
び自然酸化膜43は、前記シリコン基板40上に順次に
形成される。このとき、前記自然酸化膜43及び損傷部
位42は、コンタクト抵抗または漏れ電流を増加させて
素子特性を低下させる致命的欠陥要因として作用するの
で、これを除去する工程が要求される。
【0040】図5Bは、H2またはN2ガスから発生し
たプラズマ及び第2工程ガスとしてのHFガスを利用し
て、層間絶縁層41、コンタクトホールの側壁、及び自
然酸化膜43の上部にNxHyFzポリマー膜または副
産物層を形成した状態を示す。このとき、プラズマをフ
ィルタリングするためのグリッドまたはバッフルを接地
させるか、または交流電圧を印加してプラズマのうちラ
ジカルのみ基板表面に接触させる。
【0041】図5Cは、アニーリングしてポリマー膜4
4を除去した状態を示す。このとき、アニーリングによ
ってポリマー膜44の構成成分が分解されつつ、下部の
自然酸化膜43の構成成分と結合してN2O、O、F、
HF、NH3、及びSiF4などで励起される。アニー
リングの代わりに、ポリマー膜または副産物層は、紫外
線(UV)ランプまたは赤外線(InfraRed;IR)ランプを
利用して除去されることもできる。
【0042】図5Dは、アニーリングして自然酸化膜4
3が除去された後、シリコン基板の表面が疎水処理(Hyd
rophobic Cleaning)された状態を示す。
【0043】図5Eは、同一のチェンバーでインシチュ
ーにてシリコン損傷部位42を除去した状態を示す。こ
のとき、シリコン損傷部位42は、HF/H2、HF/
O2、NF3/O2、SF6/O2、及びCF4/O2
のうち少なくとも1つのリモートプラズマを利用して除
去されることもでき、または、NF3、CF4、及びC
2F2などのガス、O2、CO2、NO2、及びN2な
どのガス、及びHe、Ne、Xe、及びArなどの非活
性ガスを適切に混合して除去されることもできる。金属
接触窓の形成のための食刻のようなメタル食刻を含む工
程のとき発生したシリコン基板表面の損傷を除去する場
合、HCl及びClF3などのようなClを含むリモー
トプラズマを利用すればより効率的である。
【0044】下記《表1》は、第2工程ガスとしてのH
Fガスを利用した本発明と第2工程ガスとしてのNF3
ガスを利用した従来技術との比較表である。下記《表
1》から分かるように、本発明は、食刻率(Etching Rat
e)、シリコン基板の平坦度(Roughness)、及び金属汚染
などの項目で改善する。
【表1】
【0045】図6Aは、自然酸化膜を除去する前のコン
タクトプロファイルを示し、図6Bは、60秒の間自然
酸化膜の工程が行われる間のコンタクトプロファイルを
示す。線幅変化及び基板損傷などのコンタクトプロファ
イルにほぼ変化がないことを分かる。
【0046】前述の如く、本発明の詳細な説明では具体
的な実施形態を参照して詳細に説明してきたが、本発明
の範囲は前記実施形態によって限られるべきではなく、
本発明の範囲内で様々な変形が可能であるということ
は、当該技術分野における通常の知識を持つ者には明ら
かである。
【0047】
【発明の効果】以上述べてきたように、本発明による
と、ゲート酸化膜の成長の前シリコン表面に形成された
自然酸化膜または化学的酸化膜を取り除くことにより、
ゲート酸化膜の特性低下を防止することができ、コンタ
クトホールの形成のための食刻の後露出するシリコン表
面に形成される自然酸化膜または化学的酸化膜及び食刻
のとき発生するシリコン表面の損傷部位を除去してコン
タクト抵抗及びコンタクト部位の漏れを防止することが
できる。また、メタルコンタクトホールの食刻のとき、
コンタクトホールの側壁と下部メタルとの境界部位に存
在するポリマーなどの有機汚染物を除去してメタルコン
タクト抵抗を小さくすることができ、エピタキシャルシ
リコンの成長工程で、シリコン表面の自然酸化膜または
化学的酸化膜を除去して良質のエピタキシャルシリコン
を成長させることができ、半球形(HSG)シリコン形成
工程でシリコン表面の自然酸化膜または化学的酸化膜を
除去して良質のHSGを成長させることができる。さら
に、各ウェーハ工程の後、コンディショニングガスを流
入させてチェンバー内の環境を一定に保持することによ
り工程再現性を向上させることができる。
【図面の簡単な説明】
【図1】従来技術によるフッ素酸塗布装置の概略構成
図。
【図2】従来技術によるプラズマを利用した食刻装置の
概略構成図。
【図3】本発明の一実施形態によるプラズマ食刻装置の
構成図。
【図4】本発明の他の実施形態によるプラズマ食刻装置
の構成図。
【図5】本発明の一実施形態による自然酸化膜及びシリ
コン基板表面の損傷部位を除去する過程を順次に示す断
面図。
【図6】コンタクトプロファイルを示すSEM(Scannin
g Electron Microscope)図。
【符号の説明】
40 シリコン基板 41 層間絶縁層 42 損傷部位 43 自然酸化膜 44 ポリマー膜 110 マイクロ波ソースモジュール 120 プラズマアプリケータ 130 第1工程ガス流入口 140 チェンバー 150 フィルタ 160 基板積載部 170 排出口 180 シリコン基板 190 第2工程ガス流入口 200 第3工程ガス流入口 210 ヒーター
フロントページの続き (72)発明者 ギル−ガン リー 大韓民国 423−060 キョンギ−ド カン ミョン−シ ハアン−ドン ジュゴンアパ ート #812−405 Fターム(参考) 5F004 AA06 AA14 BA03 BA20 DA00 DA01 DA11 DA17 DA18 DA20 DA24 DA25 DA26 DA29 DB01 DB03 EA13 EB01 FA01

Claims (28)

    【特許請求の範囲】
  1. 【請求項1】 絶縁層を含んで少なくとも1つ以上の層
    を有するシリコン基板上にコンタクトホールを形成する
    ための食刻のとき発生する望まない酸化膜及び損傷部位
    を除去するためのプラズマを利用した表面処理方法にお
    いて、 前記酸化膜の上にポリマー膜を形成するステップと、 アニーリングして前記ポリマー膜及び前記酸化膜を除去
    するステップと、 前記シリコン基板の表面の損傷部位を除去するステップ
    と、からなることを特徴とするプラズマを利用した表面
    処理方法。
  2. 【請求項2】 前記ポリマー膜を形成するステップは、
    H2またはN2を含む第1工程ガスを流入させてプラズ
    マを形成するステップと、前記プラズマをフィルタリン
    グして前記シリコン基板へラジカル(radical)のみを通
    過させるステップと、ハロゲン元素を含む第2工程ガス
    を流入させるステップとを含むことを特徴とする請求項
    1に記載のプラズマを利用した表面処理方法。
  3. 【請求項3】 前記第2工程ガスは、HF、HCl、B
    Cl3、HBrまたはClF3のうち少なくとも1つで
    あることを特徴とする請求項2に記載のプラズマを利用
    した表面処理方法。
  4. 【請求項4】 前記ポリマー膜及び前記酸化膜は、紫外
    線ランプまたは赤外線ランプでアニーリングして除去さ
    れることを特徴とする請求項1または請求項2に記載の
    プラズマを利用した表面処理方法。
  5. 【請求項5】 前記ポリマー膜及び前記酸化膜は、加熱
    チェンバーでアニーリングして除去されることを特徴と
    する請求項1または請求項2に記載のプラズマを利用し
    た表面処理方法。
  6. 【請求項6】 前記シリコン基板表面の損傷部位は、フ
    ッ素(F)を含むガスで形成されたリモートプラズマを利
    用して除去されることを特徴とする請求項1に記載のプ
    ラズマを利用した表面処理方法。
  7. 【請求項7】 前記フッ素を含むガスは、HF/H2、
    HF/O2、NF3/O2、SF6/O2、またはCF
    4/O2のうち少なくとも1つであることを特徴とする
    請求項6に記載のプラズマを利用した表面処理方法。
  8. 【請求項8】 前記シリコン基板表面の損傷部位は、C
    lを含むガスで形成されたリモートプラズマを利用して
    除去されることを特徴とする請求項1に記載のプラズマ
    を利用した表面処理方法。
  9. 【請求項9】 前記シリコン基板表面の損傷部位は、加
    熱チェンバーでアニーリングして除去されることを特徴
    とする請求項1に記載のプラズマを利用した表面処理方
    法。
  10. 【請求項10】 前記損傷部位を除去するステップは、
    前記ポリマー膜及び酸化膜を除去した後、同一のチェン
    バー内でインシチュー(in-situ)にて遂行されることを
    特徴とする請求項5に記載のプラズマを利用した表面処
    理方法。
  11. 【請求項11】 真空状態で保持されることができるチ
    ェンバーと、シリコン基板を積載する基板積載部と、プ
    ラズマの発生及び保持のためのキャリアガスが流入する
    第1工程ガス流入部と、プラズマ発生部と、前記基板へ
    ラジカルのみ通過させるためのフィルタと、第2工程ガ
    ス流入部とを備える表面処理装置内の集積回路の製造の
    ためのプラズマを利用した表面処理方法において、 前記チェンバー内に第1工程ガスを流入させるステップ
    と、 前記プラズマ発生部で前記第1工程ガスからプラズマを
    形成するステップと、 前記チェンバー内へ第2工程ガスを流入させるステップ
    と、からなることを特徴とするプラズマを利用した表面
    処理方法。
  12. 【請求項12】 前記第1工程ガスは、H2またはN2
    のうち1つを含むことを特徴とする請求項11に記載の
    プラズマを利用した表面処理方法。
  13. 【請求項13】 前記第2工程ガスは、ハロゲン元素を
    含むことを特徴とする請求項11に記載のプラズマを利
    用した表面処理方法。
  14. 【請求項14】 前記第2工程ガスは、HF、HCl、
    BCl3、HBrまたはClF3のうち少なくとも1つ
    であることを特徴とする請求項11に記載のプラズマを
    利用した表面処理方法。
  15. 【請求項15】 真空状態で保持されることができるチ
    ェンバーと、シリコン基板を積載する基板積載部と、プ
    ラズマの発生及び保持のためのキャリアガスが流入する
    第1工程ガス流入部と、プラズマ発生部と、前記基板へ
    ラジカルのみ通過させるためのフィルタと、第2工程ガ
    ス流入部と、各ウェーハ工程の後、チェンバー内の環境
    を一定に保持するための第3工程ガスが流入する第3工
    程ガス流入部とを備える表面処理装置内の集積回路の製
    造のためのプラズマを利用した表面処理方法において、 前記チェンバー内に第1工程ガスを流入させるステップ
    と、 前記プラズマ発生部で前記第1工程ガスからプラズマを
    形成するステップと、 前記チェンバー内に第2工程ガスを流入させるステップ
    と、 各ウェーハ工程の後、チェンバー内の環境を一定に保持
    するための第3工程ガスを流入させるステップと、から
    なることを特徴とするプラズマを利用した表面処理方
    法。
  16. 【請求項16】 前記第1工程ガスは、H2またはN2
    のうち1つであることを特徴とする請求項15に記載の
    プラズマを利用した表面処理方法。
  17. 【請求項17】 前記第2工程ガスは、ハロゲン元素を
    含むことを特徴とする請求項15に記載のプラズマを利
    用した表面処理方法。
  18. 【請求項18】 前記第2工程ガスは、HF、HCl、
    BCl3、HBrまたはClF3のうち少なくとも1つ
    であることを特徴とする請求項15に記載のプラズマを
    利用した表面処理方法。
  19. 【請求項19】 前記第3工程ガスは、H、F、O、ま
    たはNのうち少なくとも1つであることを特徴とする請
    求項15乃至請求項18のいずれか1項に記載のプラズ
    マを利用した表面処理方法。
  20. 【請求項20】 プラズマを利用した表面処理装置にお
    いて、 真空状態で保持可能のチェンバーと、 前記チェンバー内に備えられ、シリコン基板を積載する
    基板積載部と、 前記チェンバー内にプラズマ発生及び保持のためのキャ
    リアガスが流入する第1工程ガス流入部と、 前記第1工程ガスからプラズマを形成するプラズマ発生
    部と、 前記プラズマ発生部と前記基板積載部との間に備えら
    れ、前記基板へラジカルのみを通過させるためのフィル
    タと、 前記プラズマ発生部と前記フィルタとの間に備えられ、
    前記チェンバー内に第2工程ガスが流入する第2工程ガ
    ス流入部と、からなることを特徴とするプラズマを利用
    した表面処理装置。
  21. 【請求項21】 前記プラズマ発生部は、マイクロ波発
    生装置を前記プラズマ発生のためのエネルギー供給源と
    して使用することを特徴とする請求項20に記載のプラ
    ズマを利用した表面処理装置。
  22. 【請求項22】 前記ラジカルがチェンバーの壁面に蒸
    着されて副産物層の形成を防止し、前記ラジカルが前記
    シリコン基板の表面に集中するように前記チェンバーの
    壁面を所定の温度で保持するための加熱部をさらに備え
    ることを特徴とする請求項20に記載のプラズマを利用
    した表面処理装置。
  23. 【請求項23】 前記フィルタが接地されていることを
    特徴とする請求項20に記載のプラズマを利用した表面
    処理装置。
  24. 【請求項24】 前記フィルタは、交流電圧が印加され
    たグリッド(grid)であることを特徴とする請求項20に
    記載のプラズマを利用した表面処理装置。
  25. 【請求項25】 前記第1工程ガスは、H2またはN2
    のうち1つであることを特徴とする請求項20に記載の
    プラズマを利用した表面処理装置。
  26. 【請求項26】 前記第2工程ガスは、HF、HCl、
    BCl3、HBrまたはClF3のうち少なくとも一つ
    であることを特徴とする請求項20に記載のプラズマを
    利用した表面処理装置。
  27. 【請求項27】 各ウェーハ工程の後、チェンバー内の
    環境を一定に保持するための第3工程ガスが前記チェン
    バー内に流入する第3工程ガス流入部をさらに備えるこ
    とを特徴とする請求項20に記載のプラズマを利用した
    表面処理装置。
  28. 【請求項28】 前記第3工程ガスは、H、F、Oまた
    はNのうち少なくとも一つであることを特徴とする請求
    項27に記載のプラズマを利用した表面処理装置。
JP2002000292A 2001-01-08 2002-01-07 プラズマを利用した表面処理装置及び方法 Pending JP2002289596A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
KR10-2001-0001018A KR100404956B1 (ko) 2001-01-08 2001-01-08 반도체 집적소자 제조공정 및 장치
KR2001-1018 2001-01-08
KR1020010079425A KR100573929B1 (ko) 2001-12-14 2001-12-14 플라즈마를 이용한 표면 세정 장치 및 방법
KR2001-79425 2001-12-14

Publications (1)

Publication Number Publication Date
JP2002289596A true JP2002289596A (ja) 2002-10-04

Family

ID=26638709

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002000292A Pending JP2002289596A (ja) 2001-01-08 2002-01-07 プラズマを利用した表面処理装置及び方法

Country Status (2)

Country Link
US (2) US20020124867A1 (ja)
JP (1) JP2002289596A (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006523015A (ja) * 2003-02-14 2006-10-05 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
JP2007515077A (ja) * 2003-12-17 2007-06-07 東京エレクトロン株式会社 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
JP2008205440A (ja) * 2007-01-11 2008-09-04 Applied Materials Inc Nh3−nf3化学による酸化物エッチング
JP2010074065A (ja) * 2008-09-22 2010-04-02 Canon Anelva Corp 酸化膜除去のための基板洗浄処理方法
JP2010165954A (ja) * 2009-01-16 2010-07-29 Ulvac Japan Ltd 真空処理装置及び真空処理方法
US7815739B2 (en) 2005-02-18 2010-10-19 Tokyo Electron Limited Vertical batch processing apparatus
JPWO2009144810A1 (ja) * 2008-05-30 2011-09-29 キヤノンアネルバ株式会社 シリサイド形成方法とその装置
KR101423554B1 (ko) 2007-07-31 2014-07-25 (주)소슬 플라즈마 식각 장치 및 이를 이용한 웨이퍼 식각 방법
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
KR20200010099A (ko) * 2018-07-20 2020-01-30 에이에스엠 아이피 홀딩 비.브이. 유전체 재료의 식각을 위한 사전 세척
JP2020202393A (ja) * 2020-08-28 2020-12-17 芝浦メカトロニクス株式会社 プラズマ処理方法、およびプラズマ処理装置

Families Citing this family (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7111629B2 (en) * 2001-01-08 2006-09-26 Apl Co., Ltd. Method for cleaning substrate surface
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2004022902A (ja) * 2002-06-18 2004-01-22 Fujitsu Ltd 半導体装置の製造方法
US7189940B2 (en) * 2002-12-04 2007-03-13 Btu International Inc. Plasma-assisted melting
US7078344B2 (en) * 2003-03-14 2006-07-18 Lam Research Corporation Stress free etch processing in combination with a dynamic liquid meniscus
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US7217649B2 (en) * 2003-03-14 2007-05-15 Lam Research Corporation System and method for stress free conductor removal
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US7173305B2 (en) * 2003-04-08 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned contact for silicon-on-insulator devices
JP2006527656A (ja) * 2003-06-16 2006-12-07 セリオンクス・インコーポレイテッド プローブ、カニューレ、ピンツール、ピペット、スプレーヘッドの表面を洗浄及び殺菌するための大気圧非熱的プラズマ装置
US20060162740A1 (en) * 2005-01-21 2006-07-27 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects using non-equilibrium atmospheric pressure plasma
US20060162741A1 (en) * 2005-01-26 2006-07-27 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects with plasma
US8366871B2 (en) * 2003-06-16 2013-02-05 Ionfield Holdings, Llc Method and apparatus for cleaning and surface conditioning objects using plasma
US8092643B2 (en) * 2003-06-16 2012-01-10 Ionfield Systems, Llc Method and apparatus for cleaning and surface conditioning objects using plasma
US8092644B2 (en) * 2003-06-16 2012-01-10 Ionfield Systems, Llc Method and apparatus for cleaning and surface conditioning objects using plasma
US20060272675A1 (en) * 2005-06-02 2006-12-07 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects using plasma
US20060272674A1 (en) * 2005-06-02 2006-12-07 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects using plasma
US6958286B2 (en) * 2004-01-02 2005-10-25 International Business Machines Corporation Method of preventing surface roughening during hydrogen prebake of SiGe substrates
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) * 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US7030035B2 (en) * 2004-05-14 2006-04-18 Hitachi Global Storage Technologies Netherlands, B.V. Prevention of electrostatic wafer sticking in plasma deposition/etch tools
US7161247B2 (en) * 2004-07-28 2007-01-09 Cabot Microelectronics Corporation Polishing composition for noble metals
US7157375B2 (en) * 2004-08-25 2007-01-02 Agere Systems, Inc. Methods of downstream microwave photoresist removal and via clean, particularly following Stop-On TiN etching
US20070272270A1 (en) * 2004-12-27 2007-11-29 Kun-Yuan Liao Single-wafer cleaning procedure
WO2006091285A2 (en) * 2005-01-20 2006-08-31 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects using plasma
WO2006130779A2 (en) * 2005-06-02 2006-12-07 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects using plasma
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
US20080190446A1 (en) * 2007-02-13 2008-08-14 Ranade Rajiv M Control of dry clean process in wafer processing
US20080289650A1 (en) * 2007-05-24 2008-11-27 Asm America, Inc. Low-temperature cleaning of native oxide
CN101796215A (zh) * 2007-07-17 2010-08-04 应用材料股份有限公司 通过压力控制远程等离子体源改进清洁率
US7837805B2 (en) * 2007-08-29 2010-11-23 Micron Technology, Inc. Methods for treating surfaces
US7867900B2 (en) 2007-09-28 2011-01-11 Applied Materials, Inc. Aluminum contact integration on cobalt silicide junction
US8003545B2 (en) * 2008-02-14 2011-08-23 Spansion Llc Method of forming an electronic device including forming features within a mask and a selective removal process
US7871937B2 (en) 2008-05-16 2011-01-18 Asm America, Inc. Process and apparatus for treating wafers
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
KR101041142B1 (ko) * 2009-11-06 2011-06-13 삼성모바일디스플레이주식회사 박막트랜지스터 및 그의 제조방법, 그를 포함하는 유기전계발광표시장치 및 그의 제조방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
JP5986591B2 (ja) 2011-03-04 2016-09-06 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated コンタクト洗浄のための方法
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) * 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
GB201316446D0 (en) * 2013-09-16 2013-10-30 Spts Technologies Ltd Pre-cleaning a semiconductor structure
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9396992B2 (en) * 2014-03-04 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a barrier-seed tool for forming fine-pitched metal interconnects
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9653310B1 (en) * 2015-11-11 2017-05-16 Applied Materials, Inc. Methods for selective etching of a silicon material
US10861693B2 (en) * 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108682636B (zh) * 2018-05-16 2020-10-30 江苏爱矽半导体科技有限公司 一种晶圆刻蚀装置
CN112219260B (zh) * 2018-06-11 2024-08-06 玛特森技术公司 用于处理工件的氢反应性核素的生成
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
KR102311907B1 (ko) * 2018-12-26 2021-10-14 주식회사 테스 전극의 산화막 제거 및 전극의 식각을 위한 처리 방법
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114388350B (zh) * 2022-03-23 2022-06-21 湖北三维半导体集成创新中心有限责任公司 一种晶圆清洗方法及装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923559A (en) * 1975-01-13 1975-12-02 Bell Telephone Labor Inc Use of trapped hydrogen for annealing metal-oxide-semiconductor devices
US4828369A (en) * 1986-05-28 1989-05-09 Minolta Camera Kabushiki Kaisha Electrochromic device
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JPH11204771A (ja) * 1998-01-07 1999-07-30 Sony Corp 半導体基板の製造方法及び固体撮像装置の製造方法
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000003965A (ja) * 1998-06-15 2000-01-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP3925088B2 (ja) * 2001-01-16 2007-06-06 株式会社日立製作所 ドライ洗浄方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4673290B2 (ja) * 2003-02-14 2011-04-20 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
JP2006523015A (ja) * 2003-02-14 2006-10-05 アプライド マテリアルズ インコーポレイテッド 水素含有ラジカルによる未変性酸化物の洗浄
US8409399B2 (en) 2003-03-17 2013-04-02 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
JP2007515077A (ja) * 2003-12-17 2007-06-07 東京エレクトロン株式会社 化学的酸化物除去(ChemicalOxideRemoval)処理システム及び方法
US7815739B2 (en) 2005-02-18 2010-10-19 Tokyo Electron Limited Vertical batch processing apparatus
JP2008205440A (ja) * 2007-01-11 2008-09-04 Applied Materials Inc Nh3−nf3化学による酸化物エッチング
KR101423554B1 (ko) 2007-07-31 2014-07-25 (주)소슬 플라즈마 식각 장치 및 이를 이용한 웨이퍼 식각 방법
US10083830B2 (en) 2007-11-02 2018-09-25 Canon Anelva Corporation Substrate cleaning method for removing oxide film
JPWO2009144810A1 (ja) * 2008-05-30 2011-09-29 キヤノンアネルバ株式会社 シリサイド形成方法とその装置
JP2010074065A (ja) * 2008-09-22 2010-04-02 Canon Anelva Corp 酸化膜除去のための基板洗浄処理方法
JP2010165954A (ja) * 2009-01-16 2010-07-29 Ulvac Japan Ltd 真空処理装置及び真空処理方法
KR20200010099A (ko) * 2018-07-20 2020-01-30 에이에스엠 아이피 홀딩 비.브이. 유전체 재료의 식각을 위한 사전 세척
KR102550244B1 (ko) 2018-07-20 2023-06-30 에이에스엠 아이피 홀딩 비.브이. 유전체 재료의 식각을 위한 사전 세척
JP2020202393A (ja) * 2020-08-28 2020-12-17 芝浦メカトロニクス株式会社 プラズマ処理方法、およびプラズマ処理装置
JP7030915B2 (ja) 2020-08-28 2022-03-07 芝浦メカトロニクス株式会社 プラズマ処理方法、およびプラズマ処理装置

Also Published As

Publication number Publication date
US20040194799A1 (en) 2004-10-07
US20020124867A1 (en) 2002-09-12

Similar Documents

Publication Publication Date Title
JP2002289596A (ja) プラズマを利用した表面処理装置及び方法
JP2007538397A (ja) 基板表面洗浄方法
JP3815937B2 (ja) 半導体装置のコンタクトホール埋め込み方法
KR100316721B1 (ko) 실리사이드막을 구비한 반도체소자의 제조방법
US6692903B2 (en) Substrate cleaning apparatus and method
US9418858B2 (en) Selective etch of silicon by way of metastable hydrogen termination
JP4871444B2 (ja) 酸化膜除去法及び酸化膜除去のための半導体製造装置
US8895449B1 (en) Delicate dry clean
TWI445081B (zh) 用於含矽薄膜的平滑SiConi蝕刻法
US9533332B2 (en) Methods for in-situ chamber clean utilized in an etching processing chamber
TWI685033B (zh) 電漿處理裝置之清潔方法
TW201804508A (zh) 用於在電漿處理腔室中之原位腔室清潔效率強化的電漿處理製程
JP6995997B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
KR100360399B1 (ko) 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
KR100573929B1 (ko) 플라즈마를 이용한 표면 세정 장치 및 방법
US8992689B2 (en) Method for removing halogen-containing residues from substrate
TW201532134A (zh) 電漿處理方法
KR100404956B1 (ko) 반도체 집적소자 제조공정 및 장치
CN106373868B (zh) 一种阵列基板的制造方法
JPH0529285A (ja) クリーニング方法及び半導体製造装置
KR20030049086A (ko) 기판 건식 세정 장치 및 방법
KR101333831B1 (ko) 반도체 소자의 표면 처리 방법 및 그 표면 처리 장치
KR100362906B1 (ko) 고체 표면, 기판 및 반도체 제조 장치의 처리 방법 및이들을 이용한 반도체 장치의 제조 방법
JPH09129582A (ja) 基板表面の乾式洗浄方法