JP2007538397A - 基板表面洗浄方法 - Google Patents

基板表面洗浄方法 Download PDF

Info

Publication number
JP2007538397A
JP2007538397A JP2007526974A JP2007526974A JP2007538397A JP 2007538397 A JP2007538397 A JP 2007538397A JP 2007526974 A JP2007526974 A JP 2007526974A JP 2007526974 A JP2007526974 A JP 2007526974A JP 2007538397 A JP2007538397 A JP 2007538397A
Authority
JP
Japan
Prior art keywords
gas
plasma
substrate
cleaning
sccm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007526974A
Other languages
English (en)
Inventor
ギル−グワン・イ
ジョン−ホ・キム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PSK Inc
Original Assignee
PSK Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by PSK Inc filed Critical PSK Inc
Publication of JP2007538397A publication Critical patent/JP2007538397A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本発明は、自然酸化膜、化学的酸化膜、及び損傷層をシリコン基板表面から除去し、金属表面から汚染物質を除去するためのプラズマを用いた表面洗浄装置及び方法を提供すること。プラズマ発生装置と基板との間で接地されたグリッド及びバッフルを用いてポテンシャルを吸収することにより、ラジカルのみが基板に通過し、フッ素酸(HF)ガスは第2工程ガスとして用いられる。これによって、自然酸化膜、化学的酸化膜、またはエッチングの時にシリコン基板上に形成された損傷層はHフローとともに熱処理段階で除去される。チャンバの環境は各ウェーハ工程完了の後、コンディショニングガスを流入することによって一定に保持される。したがって、工程再現性は改善する。

Description

本発明はプラズマを用いた表面洗浄装置及び方法に係り、特に半導体またはTFT(Thin Film Transistor)LCD(Liquid Crystal Display)などのような集積回路の製造過程において大気中の酸素がシリコン表面と反応して生ずる自然酸化膜(native oxide)、工程過程においてシリコン表面に化学的に成長された酸化膜、シリコン表面の損傷層(damaged layer)またはシリコン表面及びコンタクトホールの側壁に発生する汚染物質などを除去するためのプラズマを用いた表面洗浄装置及び方法に関する。
一般的に、半導体、TFT LCD、またはFPD(Flat Panel Display)などのような集積回路製造のためには、下部のシリコン基板上に形成された素子を金属層によって配線するための金属配線工程(metalization)が必要であり、これのためにはコンタクトホールを形成する過程が必須である。コンタクトホールを形成するためにはプラズマ(plasma)を用いた酸化膜の乾式エッチング(dry etch)によることが一般的であり、この時、コンタクトホールを形成する過程において、下部のシリコン表面が露出する。したがって、乾式エッチング後に、シリコン表面にはエッチング過程においてプラズマからイオン(ion)衝撃などによる損傷層(damaged layer)が形成され、また、エッチングのための反応性ガスから解離された物質とエッチングされた物質で構成された汚染物質がシリコン表面及び側壁に付着する。このような損傷層及び汚染物質はコンタクト抵抗(contact resistance)の増加または漏れ電流(leakage current)の増加などのような素子特性に致命的な欠陥の原因になれることから、集積回路製造工程では乾式洗浄または湿式洗浄を行ってこれを除去している。また、このような損傷層及び不純物以外にもシリコン表面には空気中の酸素と反応して形成される自然酸化膜(native oxide layer)が存在するようになるので、コンタクトホールの形成後、導電性物質の蒸着前に乾式洗浄または湿式洗浄を行って自然酸化膜を除去する工程も必要になる。
また、化学的酸化膜の発生も問題になっており、シリコン表面にエッチング後処理工程で用いるH、HSO及び純水(DI)混合溶液と反応して化学的に酸化膜が形成される場合もある。このように形成された酸化膜は後続工程に影響を与え、電気的接触特性を低下させるなどの製造された半導体またはTFT LCD回路の特性を低下させる原因になっている。
また、最近、CD(Critical Dimension)の減少とともに自己整列コンタクト(self−aligned contact;以下SACという)構造の採用が一般化されている。このようなSACエッチング工程では工程を進行する間シリコン窒化膜からなるエッチングストッパ(etch stopper)層が露出する。それによって、上記の窒化膜で囲まれた電極部位とコンタクトホールを充填する導電物質間の短絡(short)または漏れ電流を防止するために、エッチング工程後の表面洗浄過程においてシリコン酸化膜からなる側壁、または窒化膜のどちらかがエッチングされてはならない。
また、コンタクトホール下部のシリコン基板の面が露出しない場合であっても、ゲートまたはキャパシタ製造用のポリシリコン電極、または配線表面が露出する場合があり、このような場合にも前記シリコン基板表面が露出する場合と同様に損傷層、酸化膜または汚染物質を除去する必要がある。
場合によって、下部のゲート電極またはメモリのビットライン(bit line)にメタル(metal)を用いるようになり、コンタクトホールをエッチングする時にメタル上部が露出する。この場合も、上部及び壁面の汚染物質を除去しなければならず、エッチングされたメタル成分が汚染物質に含まれていて容易に除去されないので、工程上注意が要望される。
図1は従来のフッ素酸(fluorine acid)塗布装置の概略的な構成図であって、フッ素酸液10、加熱チャンバ20、基板30、基板積載部40、フッ素酸溶液貯蔵タンク50、前記タンク内のフッ素酸溶液60、フッ素酸が供給される流入管70、80などを具備する。自然酸化膜の形成を防止するためにシリコン表面に酸素と反応するフッ素酸層を形成して酸素をあらかじめ除去することによって、自然酸化膜の形成を防止する方法として、フッ素酸塗布装置を用いてフッ素酸蒸気を発生させてシリコン表面にフッ素酸層を形成し、前記フッ素酸層に熱を加えて硬化させる方法である。そうすると、前記フッ素酸層が形成された基板をエッチングするためにエッチング装置内へ運ぶ時に流入される酸素か、エッチング装置内に残留する酸素は、工程チャンバ内の内部熱によって熱処理される基板の表面に形成されたフッ素酸層と相互化学的に反応して除去される。
しかし、前記従来技術は装備構成と概念を単純化させるという長所にもかかわらず微細な工程変数(パラメータ)を効果的に制御しにくいという問題がある。
表面洗浄のために紫外線(UV light)とオゾン(O)を用いることができる。これはシリコン表面を紫外線によって解離されたオゾンとの反応を利用して酸化させ、この酸化層を湿式エッチングで除去する。これはシリコン表面の酸化の時、長い時間がかかることから、工程の進行が遅延するという短所がある。
図2は従来のプラズマを用いたエッチング装置の概略的な構成図であって、第1工程ガス流入部90へHとNを流入させてプラズマ発生部100でプラズマを発生させた後、第2ガス流入口110を通じてNFを流入させる方法である。これによって、チャンバ140内のシリコン基板120をエッチングし、排出口130を通じてガスが排出される。
メイン処理ガスとしてNFガスはプラズマ解離及び活性化を加速することから、エッチングに係わった過度のフッ素原子及びイオンが発生する。その結果、シリコン表面が損傷層より深く過度エッチングされるか、エッチングされてはならないBPSG(Borophosphosilicate glass)酸化膜または窒化膜がともにエッチングされる。これはNFが用いられる時、自然酸化膜エッチング率とシリコン、BPSG、または窒化物のエッチング率の間の低い選択比のためである。
第1処理ガスとしてのアルゴン(Ar)のような非活性気体における、さらに他の特徴は遅いエッチング率を示すことである。
したがって、上述した従来の湿式または乾式エッチングよりも改善した洗浄方法が求められている。
プラズマを用いた表面洗浄装置及び方法を提供する本発明の目的は、ゲート酸化膜成長前にシリコン表面に形成された自然酸化膜または化学的酸化膜を除去することにより、ゲート酸化膜の特性低下を防止することにある。
プラズマを用いた表面洗浄装置及び方法を提供する本発明の他の目的は、シリサイド(salicidation)(CoSix or NiSix)形成前に酸化膜のエッチングの時に露出するシリコン表面から自然酸化膜または化学的酸化膜、損傷部及び汚染物質を除去することにより、コンタクト抵抗増加及び漏れ電流の増加を防止することにある。
プラズマを用いた表面洗浄装置及び方法を提供する本発明の他の目的は、コンタクトホール形成のための酸化膜エッチングの時に露出するシリコン表面から自然酸化膜または化学的酸化膜、損傷部及び汚染物質を除去することにより、コンタクト抵抗の増加及び漏れ電流の増加を防止することにある。
プラズマを用いた表面洗浄装置及び方法を提供する本発明の他の目的は、コンタクトホール形成のための酸化膜エッチングの時に露出するシリコン表面から自然酸化膜及び汚染物質を除去することにより、コンタクト抵抗の増加及び漏れ電流の増加を防止することにある。
プラズマを用いた表面洗浄装置及び方法を提供する本発明の他の目的は、メタル層との連結のためのコンタクトホールをエッチングする時、コンタクトホールの側壁と下部メタル層の上部面のポリマのような汚染物質を除去することにある。
プラズマを用いた表面洗浄装置及び方法を提供する本発明の他の目的は、STI(shallow trench insulation)の形成前にエッチングされたシリコン表面から自然酸化膜または化学的酸化膜、損傷部及び汚染物質を除去することにより、漏れ電流の増加を防止することにある。
プラズマを用いた表面洗浄装置及び方法を提供する本発明のさらに他の目的は、集積回路製造においてエピタキシャル(epitaxial)シリコン成長工程前にシリコン表面の自然酸化膜または化学的酸化膜を除去して良質のエピタキシャルシリコンを成長させることにある。
プラズマを用いた表面洗浄装置及び方法を提供する本発明のさらに他の目的は、半球形(hemispherical grains:HSGs)シリコン形成工程において、下部シリコン表面から自然酸化膜または化学的酸化膜を除去して良質の半球形を成長させることにある。
プラズマを用いた表面洗浄装置及び方法を提供するさらに他の目的は、工程均一度を改善させることにある。
本発明の上述した目的は表面洗浄装置及び方法によって実現することができる。本発明の一実施形態によれば、プラズマを用いた表面洗浄装置は真空保持可能なチャンバと、前記チャンバ内に設けられ、シリコン基板を積載する基板積載部と、前記チャンバ内にプラズマ発生及び保持のための第1工程ガスを流入する第1工程ガス流入部と、前記流入された第1工程ガスをプラズマ化させるプラズマ発生部と、前記チャンバ内の前記プラズマ発生部と前記基板積載部との間に設けられ、ラジカルのみを基板の方へ通過させるための濾過部と、前記プラズマ発生部と前記濾過部との間に設けられ、第2工程ガスを前記チャンバ内へ流入する第2工程ガス流入部とを含む。
望ましくは、前記プラズマ発生部はマイクロウェーブ発生装置を前記プラズマ発生のためのエネルギー供給源として用いる。
望ましくはラジカルの蒸着及びラジカルとともに副産物層の形成を防止して高いエッチング率を確保するためにラジカルを基板の表面に集中させるように前記チャンバの壁面を所定の温度で保持させる壁面加熱ジャケットが提供される。特に、50℃乃至120℃の間のチャンバ壁面の温度は一般洗浄条件より2〜3倍速い表面洗浄速度を提供する。
望ましくは、前記濾過部は接地されているか、球形波または正弦波の交流電圧が印加されたバッフル(baffle)またはグリッド(grid)を前記濾過部として用いることができる。
より望ましくは、各ウェーハ工程進行後チャンバ内の環境を一定に保持するためにコンディショニングガスを前記チャンバ内へ流入する第3工程ガス流入部をさらに備えることができる。
本発明の他の実施形態によれば、プラズマを用いた表面洗浄方法は絶縁膜を含む少なくとも一つ以上の膜を有するシリコン基板上にコンタクトホールのためのエッチングの時に形成された損傷部及び所望しない酸化膜を除去するために酸化膜の上部にポリマ膜が形成される。前記ポリマ膜と前記酸化膜は熱処理を行って除去される。ポリマ膜の成分は分解されて酸化膜の成分と結合し、活性化されて除去され、シリコン基板表面の損傷部も除去される。
望ましくは、ポリマ膜の形成段階において、プラズマはHとNとを含む第1工程ガスを流入することによって形成され、前記プラズマを濾過してラジカルのみがシリコン基板に通過し、ハロゲン元素を含む第2工程ガスが流入される。
望ましくは、第2工程ガスはフッ素酸(HF)である。
望ましくはポリマ膜と酸化膜は紫外線(UV)ランプまたは赤外線(IR)ランプを用いた熱処理によって除去されるか、加熱チャンバ内で熱処理することによって除去される。
望ましくは、熱処理ガスはHである。熱処理時Hの流れはポリマ膜と酸化膜とを除去する時間を減らし、同時にハロゲン結合とともにシリコン表面、シリサイド(silicide)または金属に保護膜を覆い、これは後続工程前に許容可能な時間を延長させる。Hの望ましい流量は10sccmと5000sccmである。
望ましくは、シリコン基板表面の損傷部は加熱チャンバ内で熱処理することによって除去される。
より望ましくは、損傷部除去段階はポリマ膜と酸化膜が除去された同一のチャンバ内でインシチュー(in−situ)に進行される。
本発明の他の実施形態によれば、真空保持可能なチャンバと、前記チャンバ内に設けられ、シリコン基板を積載する基板積載部と、前記チャンバ内へプラズマ発生及び保持のための第1工程ガスを流入する第1工程ガス流入部と、プラズマ発生部と、前記チャンバ内の前記プラズマ発生部と前記基板積載部との間に設けられ、ラジカルのみを基板の方へ過させるための濾過部と、第2工程ガス流入部を含む表面洗浄装置内において、集積回路の製造のためのプラズマを用いた表面洗浄方法は、第1工程ガスが前記チャンバ内に流入され、プラズマ発生部で第1工程ガスからプラズマが生成され、第2工程ガスが前記チャンバ内に流入され、次に第3工程ガスがチャンバ内に流入されて各ウェーハ工程進行後、前記チャンバ内の環境を一定に保持する。
望ましくは、第1工程ガスはHとNとを含む。
望ましくは、前記濾過部は接地されるか、AC電圧が印加される。
望ましくは、第2工程ガスはハロゲン元素を含む。
より望ましくは、第2工程ガスはフッ素酸(HF)である。
望ましくは、第3工程ガスはH、F、O、及びNを含むガスのうちの少なくともいずれか一つを含む。
望ましくは、熱処理ガスはH、N又はArのうちの少なくともいずれか一つを含む。
本発明によれば、(1)ゲート酸化膜成長の前にシリコン表面に形成された自然酸化膜または化学的酸化膜を除去することにより、ゲート酸化膜の特性低下を引き起こすことを防止することができ、(2)コンタクトホールとエッチングの時損傷されたシリコン表面部分に対してエッチング後に露出するシリコン表面に形成される自然酸化膜または化学的酸化膜を除去してコンタクト抵抗の増加及びコンタクト部位の漏電を防止することができ、(3)メタルコンタクトホールをエッチングする時、コンタクト側壁と下部メタル境界部位に存在するポリマなどの有機汚染物を除去してメタルコンタクト抵抗を小さくすることができ、(4)エピタキシャルシリコン成長工程においてシリコン表面の自然酸化膜または化学的酸化膜を除去して良質のエピタキシャルシリコンを成長させることができ、(5)半球形(HSG)シリコン形成工程において下部膜であるシリコン表面の自然酸化膜または化学的酸化膜を除去して良質の半球形を成長させることができ、(6)各ウェーハ工程進行の後にコンディショニングガスを流入させてチャンバ内部の環境を一定に保持することにより、工程均一性(uniformity)を向上させることができる。
本発明の望ましい実施形態を添付の図を参照して詳細に説明する。後述する説明において、本発明の要旨のみを明瞭にするために公知の機能及び構成に対する詳細な説明は省略する。
図3は本発明の一実施形態に係るプラズマエッチング装置の構成を示す。プラズマエッチング装置は、マイクロウエーブプラズマを用いて乾式洗浄工程を実行する。図3を参照すれば、真空保持可能なチャンバ140の下端に位置した基板積載部160にシリコン基板180を載置し、N及びHガスを第1工程ガス流入部130へ注入する。この時、N及びHの混合ガスはプラズマ発生及び保持のためのキャリアガスとして作用する。次に、マイクロウェーブソースモジュール(Microwave Source Module)110とプラズマアプリケータ120とを稼動してプラズマを発生させ、第2工程ガス流入口190を通じてフッ素酸(HF)ガスを流入させる。フッ素含有ハロゲン元素(化合物)、またはHCl、BCl、又はClFのようなハロゲン元素(化合物)をフッ素酸(HF)の代わりに用いることができる。前記過程で形成されたプラズマが基板の方へ動く間濾過部150を通過する。濾過部150は、接地されたバッフル(baffle)またはグリッド(grid)であって、ポテンシャル(potential:電位)を吸収してラジカルのみがシリコン基板180に到逹する。ヒータ210は熱処理のためのものであり、シリコン基板表面の損傷部は加熱チャンバ内で熱処理することによって除去される。壁面加熱ジャケット220はラジカルがチャンバ140壁面に蒸着されて副産物層を形成することを防止し、高いエッチング率のためにラジカルがシリコン基板180の表面に集中するようにチャンバ140の壁面温度を一定の温度に保持する。チャンバ内部の環境を一定に保持し、エッチングの後、エッチング再現性(reproducibility)を増加させるためにコンディショニングガスとしてH、O、NまたはFを含むガスが第3工程ガス流入部200を通じて流入される。コンディショニングガスはH、F、O又はNを含むガス、またはガスの混合気である。
用いられた工程ガスは排出口170を通じて排出される。
図4は本発明の他の実施形態に係るプラズマエッチング装置の構成図である。プラズマエッチング装置はリモートプラズマを利用して乾式洗浄工程を実行する。図4を参考すれば、プラズマエッチング装置はプラズマを発生させるためにRFパワーを印加することを除いては前記マイクロウエーブプラズマ方式の乾式洗浄装置とほぼ類似である。プラズマエッチング装置は当業界における一般的な装置なので詳細な説明は略する。
図5乃至図9は本発明の一実施形態に係る自然酸化膜及びシリコン基板表面の損傷部位除去過程を順に示す断面図である。
図5はシリコン基板40の上部に層間絶縁層41を形成した後、コンタクト領域の前記層間絶縁層41をエッチングしてコンタクトホールを形成した状態を示す。コンタクト領域の露出した前記シリコン基板40表面がエッチングの時に損傷される。その結果、損傷層42と自然酸化膜43はシリコン基板上に順に形成される。この時、自然酸化膜43及び損傷層42はコンタクト抵抗または漏れ電流を増加させて素子特性を低下させるので、これを除去する工程が必要である。
図6はH、Nガスを用いたプラズマ及び第2工程ガスとしてフッ素酸(HF)ガスを利用して自然酸化膜43上部にNxHyFzポリマ膜44または副産物、層間絶縁層41、及びコンタクトホールの側壁を形成した状態を示す。この時、プラズマを濾過するためのグリッド(grid)またはバッフル(baffle)を接地させるか、交流電圧(AC voltage)を印加してプラズマのうちラジカルのみを基板表面に到達させる。
図7は熱処理(annealing)してポリマ膜44を除去した状態を示す。この時、熱処理によってポリマ膜44の構成成分が分解されつつ下部の自然酸化膜43の構成成分と結合してNO、O、F、HF、NH、SiFなどの形態に励起されて放出されることがわかる。熱処理温度は120°〜400°の間である。望ましくは、熱処理ガスはH、N、Arのうちの少なくとも一つである。特に、Hガスを用いた熱処理はシリコン基板表面処理を終結させる。熱処理の代りに、ポリマ膜または副産物は、紫外線(UV)ランプまたは赤外線(IR)ランプを用いて除去することもできる。
図8は前記熱処理によって自然酸化膜43が除去され、シリコン表面が疎水クリーニング(hydrophobic cleaning)を実行する段階を示す。
図9は同一のチャンバでインシチュー(in−situ)に進行してシリコン損傷層42を除去する段階を示す。この時、シリコン損傷層42はHF/H、HF/O、NF/O、SF/O、及びCF/Oのうちのいずれか一つ以上のリモートプラズマ、またはNF、CF、CのガスとO、CO、NO、NなどとHe、Ne、Xe、Arなどの非活性ガスを適切に混合して用いることができる。コンタクトホール形成のためのエッチングのようにメタルエッチングを含む工程の時に発生したシリコン基板表面の損傷を除去する場合にはHCl、ClFなどのClを含むリモートプラズマを用いれば、より効果的である。
下表はHとNの混合ガスが第1工程ガスである時、第2工程ガスとして本発明のフッ素酸(HF)を用いた場合と従来の一般的なNFを用いた場合の比較表である。表からわかるように、本発明はエッチング率(Etch Rate)(Å/min)、シリコン基板平坦度(Roughness)(Rms:nm)、メタル汚染などの項目において大きく改善することが分かる。
Figure 2007538397
図10は自然酸化膜を除去する前のコンタクトプロファイルを示し、図11は60秒の間自然酸化膜工程を進行したコンタクトプロファイルを示す。線幅(Critical Dimension:CD)変化及び基板損傷などのコンタクトプロファイルにほぼ変化がない。
図12は一定のH流量においてN流量によるエッチング率及び均一度を示すグラフである。ガス圧力は5Torrであり、マイクロウェーブ電力は600Wであり、H流量は30ccmであり、フッ素酸(HF)(第2工程ガス)の流量は48sccmである。N流量が0であれば、SiO(Å/min)のエッチング率は無視しても良い。SiO(Å/min)のエッチング率はN流量が増加することによって増加する。N流量が900sccmに到逹すれば、エッチング率は頂点に達する。類似の傾向が、圧力が0.1Torr乃至50Torr範囲で発見され、マイクロウェーブ電力が100W乃至2000W範囲で発見され、フッ素酸(HF)流量が5sccm乃至500sccmである時に発見される。均一性はN流量が増加することによって改善し、N流量が1800sccmである時、現在の工程キットで約10%である。
図13は一定のN流量においてH流量によるエッチング率及び均一度を示すグラフである。ガス圧力は5Torrであり、マイクロウェーブ電力は600Wであり、N流量は1800sccmであり、フッ素酸(HF)(第2工程ガス)の流量は48sccmである。H流量が0であれば、SiO(Å/min)のエッチング率は無視しても良い。SiO(Å/min)のエッチング率はH流量が増加することによって増加する。類似の傾向が圧力0.1Torr乃至50Torr範囲で発見され、マイクロウェーブ電力が100W乃至2000W範囲で発見され、HF流量が5sccm乃至500sccmである時発見される。均一性は現在の工程キットでH流量が増加することによって改善する。
図12及び図13において、SiOの50(Å/min)のエッチング率を得るために、少なくとも500sccmのN流量と少なくとも20sccmのH流量が望ましい。
特に、一般洗浄環境対比2〜3倍の表面洗浄速度はプラズマ生成、ポリマ形成、熱処理を含んだ全体洗浄工程の時にチャンバ壁面温度を50℃と120℃との間に保持する時に得ることができる。全体洗浄工程の時にチャンバ壁面温度を50℃と120℃との間に保持すれば、汚染パーティクルが壁に生成されることを防止することができる。
本発明は望ましい実施形態を参考して説明したが、請求項に規定されたように本発明が属する分野の当業者によって本発明の思想と範囲を逸脱しない限度で形態及び詳細事項において多様な変化が可能である。
従来のフッ素酸塗布装置の概略的な構成図である。 従来のプラズマエッチング装置の概略的な構成図である。 本発明の一実施形態に係るプラズマエッチング装置の構成図である。 本発明の他の実施形態に係るプラズマエッチング装置の構成図である。 本発明の一実施形態に係る自然酸化膜及びシリコン基板表面の損傷部位除去過程を順に示す断面図である。 本発明の一実施形態に係る自然酸化膜及びシリコン基板表面の損傷部位除去過程を順に示す断面図である。 本発明の一実施形態に係る自然酸化膜及びシリコン基板表面の損傷部位除去過程を順に示す断面図である。 本発明の一実施形態に係る自然酸化膜及びシリコン基板表面の損傷部位除去過程を順に示す断面図である。 本発明の一実施形態に係る自然酸化膜及びシリコン基板表面の損傷部位除去過程を順に示す断面図である。 コンタクトプロファイルを示す走査電子顕微鏡(SEM)写真である。 コンタクトプロファイルを示す走査電子顕微鏡(SEM)写真である。 一定なH流量においてN流量によるエッチング率及び均一度を示すグラフである。 一定なN流量においてH流量によるエッチング率及び均一度を示すグラフである。
符号の説明
110 マイクロウェーブソースモジュール
120 プラズマアプリケータ
130 第1工程ガス流入部
140 チャンバ
150 濾過部
160 基板積載部
170 排出口
180 シリコン基板
190 第2工程ガス流入口
200 第3工程ガス流入部
210 ヒータ
220 加熱ジャケット

Claims (22)

  1. プラズマを利用して自然酸化物、化学的酸化物、または損傷された部分を有する基板の表面を洗浄する方法において、
    とNを混合したガスである第1工程ガスを洗浄装置の第1流入部に流入する段階と、
    前記洗浄装置のプラズマ生成空間内で前記第1ガスからプラズマを生成し保持する段階と、
    前記洗浄装置の第2流入部にフッ素酸ガスの第2工程ガスを流入し、前記第2工程ガスは前記第1ガスのプラズマによってフッ素酸(HF)ラジカルで活性化される段階と、
    前記基板の前記表面に前記第1ガス、前記第2ガス、及び前記物質の副産物であるNxHyFzのポリマ膜を形成する段階と、
    前記基板を熱処理して前記ポリマ膜を除去し、前記基板の前記表面を洗浄する段階とを特徴とする基板の表面を洗浄する方法。
  2. 前記第1ガスの前記プラズマを生成し保持する段階後に、
    前記第1ガスの前記プラズマを濾過してラジカルのみを通過させる段階をさらに含むことを特徴とする請求項1に記載の基板の表面を洗浄する方法。
  3. 前記ポリマ膜と前記自然酸化膜とを除去する段階後に、Hの流動とともに熱処理によって前記基板の前記表面の損傷層を除去することを特徴とする請求項1に記載の基板の表面を洗浄する方法。
  4. ガス圧力は0.1Torr乃至50Torrの範囲であり、マイクロウェーブ電力は100W乃至2000W範囲であり、N流量は500sccm以上であり、フッ素酸(HF)流量は5sccm乃至500sccm範囲である時、前記Hガスの流量は20sccm以上であることを特徴とする請求項1に記載の基板の表面を洗浄する方法。
  5. ガス圧力は0.1Torr乃至5Torrの範囲であり、マイクロウェーブ電力は100W乃至2000W範囲であり、H流量は20sccm以上であり、フッ素酸流量は5sccm乃至500sccm範囲である時、前記Nガスの流量は500sccm以上であることを特徴とする請求項1に記載の基板の表面を洗浄する方法。
  6. ガス圧力は0.1Torr乃至50Torrの範囲であり、マイクロウェーブ電力は100W乃至2000W範囲であり、フッ素酸(HF)流量は5sccm乃至500sccm範囲である時、前記Hガスの流量は20sccm以上であり、Nガスの流量は500sccm以上であることを特徴とする請求項1に記載の基板の表面を洗浄する方法。
  7. 前記熱処理は紫外線ランプまたは赤外線ランプを用いて行われることを特徴とする請求項1に記載の基板の表面を洗浄する方法。
  8. 前記洗浄装置の壁面温度は前記基板の前記表面を洗浄する全体工程の時、50℃〜120℃の間に保持されることを特徴とする請求項1に記載の基板の表面を洗浄する方法。
  9. 前記熱処理は120℃〜400℃の間で10sccm及び50sccmであるHガスの流動を通じて行われることを特徴とする請求項1に記載の基板の表面を洗浄する方法。
  10. 絶縁層を含んで少なくとも一つ以上の層を有する半導体基板上にコンタクトホールを形成するためのエッチング工程の時に形成された自然酸化膜を除去するためのプラズマを用いた表面洗浄装置において、
    前記絶縁層と前記絶縁層のエッチングの時、前記基板上に形成された自然酸化膜上にNxHyFzのポリマ膜を形成し、前記ポリマ膜はHとNとを混合した第1工程ガスの流入によってプラズマを発生させ、前記プラズマに第2工程フッ素酸(HF)ガスを流入して形成され、
    前記ポリマ膜と前記自然酸化膜とを熱処理によって除去し、前記ポリマ膜の成分は分解され、前記自然酸化膜の成分と結合して励起されて除去されることを特徴とする表面洗浄方法。
  11. 前記熱処理は120℃〜400℃の間で10sccm及び50sccmであるHガスの流動を通じて行われることを特徴とする請求項10に記載の基板の表面洗浄方法。
  12. 前記洗浄装置の壁面温度は前記基板の前記表面を洗浄する全体工程の時、50℃〜120℃の間に保持されることを特徴とする請求項10に記載の表面洗浄方法。
  13. 真空保持可能なチャンバと、基板を積載する基板積載部と、プラズマ発生及び保持のための第1工程ガスを流入する第1工程ガス流入部と、プラズマ発生部と、ラジカルのみを前記基板の方へ通過させるための濾過部と、第2工程ガス流入部を含む表面洗浄装置で集積回路の製造のためのプラズマを用いた表面洗浄方法において、
    パターニング工程の時、前記基板上の自然酸化膜上にNxHyFzのポリマ膜を形成し、前記ポリマ膜はHとNとを混合した第1工程ガスを前記第1工程ガス流入部に流入してプラズマを発生させ、前記第2工程ガス流入部を通じて前記プラズマに第2工程フッ素酸(HF)ガスを流入して形成され、前記ポリマ膜と前記自然酸化膜とを熱処理によって除去し、前記ポリマ膜の成分は分解されて前記自然酸化膜の成分と結合して励起されて除去されることを特徴とする表面洗浄方法。
  14. とNとを混合した前記第1工程ガスを流入して前記プラズマを発生させる段階と前記プラズマに前記第2工程フッ素酸(HF)ガスを流入する段階との間に前記プラズマを濾過してラジカルのみを通過させる段階をさらに含むことを特徴とする請求項13に記載の表面洗浄方法。
  15. 前記ポリマ膜と前記自然酸化膜とを除去する段階後に、Hの流動とともに熱処理によって前記基板の前記表面の損傷層を除去することを特徴とする請求項13に記載の表面洗浄方法。
  16. 前記洗浄装置の壁面温度は前記基板の前記表面を洗浄する全体工程の時、50℃〜120℃の間に保持されることを特徴とする請求項13に記載の表面洗浄方法。
  17. ガス圧力は5Torrであり、マイクロウェーブ電力は600Wであり、フッ素酸(HF)(第2工程ガス)流量は48sccmである時、前記Hガスの流量は180sccm以上であり、Nガスの流量は1800sccm以上であることを特徴とする請求項13に記載の表面洗浄方法。
  18. 真空保持可能なチャンバと、基板を積載する基板積載部と、プラズマ発生及び保持のための第1工程ガスを流入する第1工程ガス流入部と、プラズマ発生部と、ラジカルのみを前記基板の方へ通過させるための濾過部と、第2工程ガス流入部と、各ウェーハ工程の後、チャンバ内部環境を一定に保持するための第3工程ガス流入部とを含む表面洗浄装置で集積回路の製造のためのプラズマを用いた表面洗浄方法において、
    とNとを混合したガスである第1工程ガスを、第1工程ガス流入部を通じて前記チャンバへ流入する段階と、
    前記プラズマ発生部において前記第1工程ガスからプラズマを生成する段階と、
    前記第2工程ガス流入部を通じて前記チャンバにフッ素酸(HF)の第2工程ガスを流入する段階と、
    熱処理によって前記ポリマ膜と前記自然酸化膜とを除去し、前記ポリマ膜の成分は分解され、前記自然酸化膜の成分と結合して励起されて除去される段階と、
    前記洗浄工程後に第3工程ガスを前記チャンバ内へ流入して前記チャンバ環境を一定に保持する段階とを含むことを特徴とする表面洗浄方法。
  19. ガス圧力は0.1Torr乃至50Torrの範囲であり、マイクロウェーブ電力は100W乃至2000W範囲であり、フッ素酸(HF)流量は5sccm乃至500sccm範囲である時、前記Hガスの流量は20sccm以上であり、Nガスの流量は500sccm以上であることを特徴とする請求項18に記載の表面洗浄方法。
  20. 前記ポリマ膜と前記自然酸化膜は紫外線ランプまたは赤外線ランプを用いた熱処理によって除去されることを特徴とする請求項18に記載の表面洗浄方法。
  21. 前記ポリマ膜と前記自然酸化膜とを除去する段階後に、Hの流動とともに熱処理によって前記基板の前記表面の損傷層を除去することを特徴とする請求項18に記載の表面洗浄方法。
  22. 前記洗浄装置の壁面温度は前記基板の前記表面を洗浄する全体工程の時、50℃〜120℃の間に保持されることを特徴とする請求項18に記載の表面洗浄方法。
JP2007526974A 2004-05-20 2005-05-10 基板表面洗浄方法 Pending JP2007538397A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/850,261 US7111629B2 (en) 2001-01-08 2004-05-20 Method for cleaning substrate surface
PCT/KR2005/001356 WO2005114715A1 (en) 2004-05-20 2005-05-10 Method for cleaning substrate surface

Publications (1)

Publication Number Publication Date
JP2007538397A true JP2007538397A (ja) 2007-12-27

Family

ID=35428615

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007526974A Pending JP2007538397A (ja) 2004-05-20 2005-05-10 基板表面洗浄方法

Country Status (4)

Country Link
US (2) US7111629B2 (ja)
JP (1) JP2007538397A (ja)
CN (1) CN100454496C (ja)
WO (1) WO2005114715A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010110309A1 (ja) * 2009-03-26 2010-09-30 株式会社アルバック 真空処理方法及び真空処理装置
WO2011024777A1 (ja) * 2009-08-27 2011-03-03 株式会社アルバック 真空処理装置及び真空処理方法
KR20140113265A (ko) * 2013-03-14 2014-09-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 하이브리드 결합 플랫폼에서의 통합 세정 모듈

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
KR100814409B1 (ko) * 2006-08-14 2008-03-18 삼성전자주식회사 애싱 방법 및 이를 수행하기 위한 장치
KR100825796B1 (ko) * 2006-12-14 2008-04-28 삼성전자주식회사 매몰 게이트를 구비한 반도체 소자의 제조 방법
JP5256625B2 (ja) * 2007-03-05 2013-08-07 株式会社Sumco 貼り合わせウェーハの評価方法
CN101971298A (zh) 2007-11-02 2011-02-09 佳能安内华股份有限公司 表面处理设备和表面处理方法
US7888661B2 (en) * 2008-02-13 2011-02-15 Axcelis Technologies Inc. Methods for in situ surface treatment in an ion implantation system
KR20100009625A (ko) * 2008-05-30 2010-01-28 캐논 아네르바 가부시키가이샤 규소 화합물 형성 방법 및 이의 시스템
FR2955179B1 (fr) * 2010-01-13 2019-11-08 Universite De Bordeaux 1 Capteur pour la mesure des besoins d'insuline d'un patient et procede de fabrication de celui-ci
US8252679B2 (en) * 2010-02-10 2012-08-28 United Microelectronics Corp. Semiconductor process
CN102479923B (zh) * 2010-11-30 2014-04-02 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
JP5703315B2 (ja) * 2011-02-08 2015-04-15 株式会社アルバック ラジカルエッチング方法
WO2012122052A2 (en) * 2011-03-04 2012-09-13 Applied Materials, Inc. Methods for contact clean
JP2013074093A (ja) * 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
KR101402231B1 (ko) * 2012-10-17 2014-06-02 피에스케이 주식회사 기판 처리 방법
CN104022018A (zh) * 2014-06-19 2014-09-03 无锡宏纳科技有限公司 一种干法刻蚀等离子损伤修复工艺
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US9887160B2 (en) * 2015-09-24 2018-02-06 International Business Machines Corporation Multiple pre-clean processes for interconnect fabrication
US10861693B2 (en) * 2015-12-18 2020-12-08 Applied Materials, Inc. Cleaning method
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
CN106920753B (zh) * 2017-04-25 2020-04-03 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板和显示器
US10217626B1 (en) 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
CN110323115B (zh) * 2018-03-30 2021-10-22 长鑫存储技术有限公司 半导体生产设备自清洗方法及栅极字线结构制备方法
WO2019240930A1 (en) * 2018-06-11 2019-12-19 Mattson Technology, Inc. Generation of hydrogen reactive species for processing of workpieces
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
CN111710606A (zh) * 2020-06-30 2020-09-25 度亘激光技术(苏州)有限公司 一种衬底处理方法

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923559A (en) * 1975-01-13 1975-12-02 Bell Telephone Labor Inc Use of trapped hydrogen for annealing metal-oxide-semiconductor devices
US4304983A (en) * 1980-06-26 1981-12-08 Rca Corporation Plasma etching device and process
DE3717985A1 (de) * 1986-05-28 1987-12-03 Minolta Camera Kk Elektrochrome vorrichtung
JP2972926B2 (ja) 1987-08-31 1999-11-08 セイコーエプソン株式会社 変調回路及び音発生装置
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JPH06231124A (ja) 1993-01-29 1994-08-19 Toshiba Corp 音声変換システム
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
JPH0864390A (ja) 1994-08-22 1996-03-08 Ryoden Semiconductor Syst Eng Kk プラズマ処理装置
JPH08162427A (ja) 1994-12-01 1996-06-21 Mitsubishi Electric Corp 半導体装置の製造方法
US5770263A (en) * 1995-11-08 1998-06-23 Micron Technology, Inc. Method for in situ removal of particulate residues resulting from hydrofluoric acid cleaning treatments
JP2950785B2 (ja) 1996-12-09 1999-09-20 セントラル硝子株式会社 酸化膜のドライエッチング方法
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP3627451B2 (ja) 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
JPH11106929A (ja) 1997-09-30 1999-04-20 Mitsubishi Electric Corp プラズマ処理装置
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JPH11204771A (ja) * 1998-01-07 1999-07-30 Sony Corp 半導体基板の製造方法及び固体撮像装置の製造方法
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000003965A (ja) 1998-06-15 2000-01-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
KR100573929B1 (ko) 2001-12-14 2006-04-26 (주)에이피엘 플라즈마를 이용한 표면 세정 장치 및 방법
KR100404956B1 (ko) 2001-01-08 2003-11-10 (주)에이피엘 반도체 집적소자 제조공정 및 장치
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP3925088B2 (ja) * 2001-01-16 2007-06-06 株式会社日立製作所 ドライ洗浄方法
KR20030049086A (ko) * 2001-12-14 2003-06-25 (주)에이피엘 기판 건식 세정 장치 및 방법
US20030217693A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5274649B2 (ja) * 2009-03-26 2013-08-28 株式会社アルバック 真空処理方法及び真空処理装置
US8652970B2 (en) 2009-03-26 2014-02-18 Ulvac, Inc. Vacuum processing method and vacuum processing apparatus
WO2010110309A1 (ja) * 2009-03-26 2010-09-30 株式会社アルバック 真空処理方法及び真空処理装置
TWI474394B (zh) * 2009-08-27 2015-02-21 Ulvac Inc Vacuum processing device and vacuum treatment method
WO2011024777A1 (ja) * 2009-08-27 2011-03-03 株式会社アルバック 真空処理装置及び真空処理方法
JPWO2011024777A1 (ja) * 2009-08-27 2013-01-31 株式会社アルバック 真空処理装置及び真空処理方法
KR101309359B1 (ko) * 2009-08-27 2013-09-17 가부시키가이샤 알박 진공 처리 장치 및 진공 처리 방법
KR20140113265A (ko) * 2013-03-14 2014-09-24 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 하이브리드 결합 플랫폼에서의 통합 세정 모듈
KR101595620B1 (ko) 2013-03-14 2016-02-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 하이브리드 결합 플랫폼에서의 통합 세정 모듈
US9446467B2 (en) 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US10665449B2 (en) 2013-03-14 2020-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US11282697B2 (en) 2013-03-14 2022-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US11854795B2 (en) 2013-03-14 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform

Also Published As

Publication number Publication date
US20060157079A1 (en) 2006-07-20
CN1954413A (zh) 2007-04-25
WO2005114715A1 (en) 2005-12-01
US20050014375A1 (en) 2005-01-20
WO2005114715A8 (en) 2006-12-21
CN100454496C (zh) 2009-01-21
US7111629B2 (en) 2006-09-26

Similar Documents

Publication Publication Date Title
JP2007538397A (ja) 基板表面洗浄方法
JP7343543B2 (ja) 高アスペクト比の構造体のための除去方法
US20020124867A1 (en) Apparatus and method for surface cleaning using plasma
JP5374039B2 (ja) 基板処理方法、基板処理装置及び記憶媒体
JP3815937B2 (ja) 半導体装置のコンタクトホール埋め込み方法
JP4871444B2 (ja) 酸化膜除去法及び酸化膜除去のための半導体製造装置
US8895449B1 (en) Delicate dry clean
US8058178B1 (en) Photoresist strip method for low-k dielectrics
EP3038142A1 (en) Selective nitride etch
JP6995997B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び基板処理方法
US20110130007A1 (en) In-situ clean to reduce metal residues after etching titanium nitride
KR100360399B1 (ko) 반구형입자(hsg)막을 구비한 반도체소자의 제조방법
KR100573929B1 (ko) 플라즈마를 이용한 표면 세정 장치 및 방법
US8992689B2 (en) Method for removing halogen-containing residues from substrate
US11557486B2 (en) Etching method, damage layer removal method, and storage medium
KR100404956B1 (ko) 반도체 집적소자 제조공정 및 장치
JPH0748482B2 (ja) 酸化膜等の被膜除去処理後における基板表面の洗浄方法
KR101333831B1 (ko) 반도체 소자의 표면 처리 방법 및 그 표면 처리 장치
JP2002289589A (ja) エッチング方法
KR19990002648A (ko) 반도체 소자의 산화막 형성 방법
KR940007053B1 (ko) 반도체 기판의 세정방법

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081111

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090310

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090630