CN1954413A - 清洗衬底表面的方法 - Google Patents

清洗衬底表面的方法 Download PDF

Info

Publication number
CN1954413A
CN1954413A CNA200580015945XA CN200580015945A CN1954413A CN 1954413 A CN1954413 A CN 1954413A CN A200580015945X A CNA200580015945X A CN A200580015945XA CN 200580015945 A CN200580015945 A CN 200580015945A CN 1954413 A CN1954413 A CN 1954413A
Authority
CN
China
Prior art keywords
gas
plasma
process gas
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200580015945XA
Other languages
English (en)
Other versions
CN100454496C (zh
Inventor
李佶洸
金正浩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
PSK Inc
Original Assignee
PSK Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by PSK Inc filed Critical PSK Inc
Publication of CN1954413A publication Critical patent/CN1954413A/zh
Application granted granted Critical
Publication of CN100454496C publication Critical patent/CN100454496C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供了一种使用等离子体的表面清洗装置和方法,以从硅衬底表面去除自然氧化层、化学氧化层和损伤部分以及从金属表面去除污染物。H和N的混合气体被用作第一工艺气体。通过在等离子体生成器与衬底之间的接地栅格或隔板中吸收电势,使得仅基团能够到达衬底。HF被用作第二工艺气体。因此,在通过H2流进行退火的步骤中,硅衬底上形成的自然氧化层、化学氧化层或损伤部分被去除。通过在对每个晶片加工之后引入调节气体,腔室的环境被保持为恒定。因此,改进了工艺再现性。

Description

清洗衬底表面的方法
技术领域
本发明一般涉及一种使用等离子体的表面清洗装置和方法,尤其涉及这样一种使用等离子体的表面清洗装置和方法,其用于去除在制造集成电路(例如半导体器件或TFT(薄膜晶体管)LCD(液晶显示器))时由空气中的氧与硅、硅化物或金属表面之间的反应而形成的自然氧化层,去除在加工期间化学地形成于硅表面上的氧化层,去除硅表面上的损伤部分,或去除硅表面和接触孔侧壁上的污染物。
背景技术
通常,在制造诸如半导体器件、TFT LCD或FPD(平板显示器)等的集成电路时,需要进行金属化,以通过金属层来连接在下层硅衬底上形成的器件。接触孔的形成对于金属化是必需的。通常通过使用等离子体对氧化层进行干法刻蚀而形成接触孔。在形成接触孔的过程中,下层的硅、硅化物或金属表面暴露在外。在干法刻蚀之后,由于来自等离子体的离子碰撞而形成损伤部分,并且污染物(包括从刻蚀气体和被刻蚀材料分离的物质)附着在硅表面和侧壁上。由于上述损伤部分和污染物可能会增加接触电阻或者漏电流,而这对于器件特性而言非常不利,因此在制造集成电路期间通过干法或湿法清洗来去除损伤部分和污染物。除了损伤部分和污染物以外,由于空气中的氧与硅、硅化物或金属表面之间的反应会形成自然氧化层。因此,在形成接触孔之后淀积导电材料之前,也应通过干法或湿法清洗来去除自然氧化层。
此外,通过硅表面与用于后刻蚀处理的H2O2、HSO4和去离子水的混合溶液之间的反应,会化学地形成氧化层。氧化层会影响随后的步骤并有损电连接特性,从而使得所形成的半导体或TFT LCD电路的特性下降。
随着集成电路中CD(临界尺寸)的减小,自对准接触(SAC)已得到广泛应用。在SAC刻蚀期间,由氮化硅层形成的刻蚀停止(stopper)层被暴露在外。因此,在表面清洗期间,由二氧化硅层形成的侧壁或者所述氮化层不应被刻蚀,以避免氮化物膜围绕的电极与填充接触孔和最小化漏电流的导电材料之间短路。
即使位于接触孔下方的硅衬底表面没有封闭,但用于电容器的栅极、多晶硅电极或者连接线可被暴露在外。在这种情况下,与硅衬底表面暴露在外的情况类似,也应该去除损伤部分、氧化层或污染物。
在需要时,金属可用于栅电极或存储器的位线。在刻蚀接触孔期间,金属的顶部被暴露在外。类似地,必须去除金属和侧壁上的污染物,由于被刻蚀的金属组件包含在污染物中且难以去除,因此应该非常小心去除污染物的操作。
图1是传统的氟化酸喷洒装置的示意图。参照图1,传统的氟化酸喷洒装置包括氟化酸溶液10、加热腔室20、衬底30、衬底支架40、填充有氟化酸溶液60的氟溶液槽50以及氟化酸输送管70和80。为了避免形成自然氧化层,通过在硅表面上形成氟层来与氧发生反应而预先去除氧。具体地,通过使用氟化酸喷洒装置生成氟化酸蒸汽,从而在硅表面上形成氟化酸层,并通过加热进行固化。引入刻蚀装置的用以对具有氟化酸层的衬底进行刻蚀的氧、或者保留在刻蚀装置中的氧与衬底表面上的氟化酸层发生化学反应,因此,氧被去除。
尽管具有装置结构和原理简单的优点,但是以上的传统技术的缺点在于难以有效地控制精细工艺参数。
UV(紫外)光和臭氧(O3)可用于表面清洗。也就是说,通过硅与由UV光离解的O3之间的反应使得硅表面被氧化,而氧化层则通过湿法刻蚀去除。然而,氧化作用需要较长时间,从而导致准确处理较慢。
图2是传统的等离子体刻蚀装置的示意图,其中,在等离子体发生器100中,通过第一工艺气体入口90引入H2和N2而生成等离子体,然后通过第二工艺气体入口110引入NF3,从而在腔室140中对硅衬底120进行刻蚀,气体通过出口130排出。
作为主要工艺气体的NF3气体加速了等离子体离解和活化,从而生成了刻蚀中多余的氟原子和离子。因此,与损伤部分相比,硅表面被较深地过刻蚀,或者,必须避免被刻蚀的BPSG(硼磷硅玻璃)氧化层或氮化层可能也被刻蚀。这是因为在使用NF3气体时,自然氧化层刻蚀速率与硅、BPSG或氮化物的刻蚀速率之间选择较小的选择比所造成的。
将诸如Ar的惰性气体作为第一工艺气体的另一种方案显示出较低的刻蚀速率。
因此,需要提供不同于以上所述的传统湿法或干法刻蚀方法的改进的清洗方法。
发明内容
技术方案
因此,本发明的一个目的在于提供一种使用等离子体的表面清洗装置和方法,其用于在栅氧化层生长之前去除形成于硅表面上的自然氧化层或化学氧化层,以起到避免栅氧化层的特性下降的目的。
本发明的另一个目的在于提供一种使用等离子体的表面清洗装置和方法,其用于在硅化反应(CoSix或NiSIx形成)之前,从在刻蚀氧化层期间暴露在外的硅表面上去除自然氧化层/化学氧化层、损伤部分以及污染物,以避免接触电阻和漏电流的增加。
本发明的另一个目的在于提供一种使用等离子体的表面清洗装置和方法,其用于从在刻蚀氧化层以形成接触孔期间暴露在外的硅表面上去除自然氧化层/化学氧化层、损伤部分以及污染物,以避免接触电阻和漏电流的增加。
本发明的另一个目的在于提供一种使用等离子体的表面清洗装置和方法,其用于从在刻蚀氧化层以形成接触孔期间暴露在外的硅化物表面去除自然氧化层和污染物,以避免接触电阻和漏电流的增加。
本发明的另一个目的在于提供一种使用等离子体的表面清洗装置和方法,在对接触孔进行刻蚀以连接到金属层时,其用于去除接触孔侧壁上以及下层金属层的上表面上的污染物,例如聚合物。
本发明的另一个目的在于提供一种使用等离子体的表面清洗装置和方法,其用于在形成STI(浅沟槽隔离)之前,从被刻蚀的硅表面去除自然氧化层/化学氧化层、损伤部分以及污染物,以避免漏电流的增加。
本发明的另一个目的在于提供一种使用等离子体的表面清洗装置和方法,其用于在集成电路的制造中,在外延硅生长过程之前,通过从硅表面去除自然氧化层或化学氧化层而生长良好品质的外延硅。
本发明的另一个目的在于提供一种使用等离子体的表面清洗装置和方法,其用于在半球状颗粒(HSG)硅形成过程中,通过从下层硅表面去除自然氧化层或化学氧化层而生长良好品质的HSG。
本发明的另一个目的在于提供一种使用等离子体的表面清洗装置和方法,用于改进加工均匀性。
本发明的前述和其他目的可通过提供一种使用等离子体的表面清洗装置和方法来实现。根据本发明的一个方面,使用等离子体的表面清洗装置具有能够保持为真空状态的腔室,在腔室中的、用于安装衬底的衬底支架,用于在腔室中引入用以生成和保持等离子体的载运气体的第一工艺气体入口,用于通过第一工艺气体形成等离子体的等离子体生成器,在等离子体生成器与衬底支架之间的、用于仅使基团能够到达衬底的过滤器,以及在等离子体生成器与过滤器之间的、用于将第二工艺气体引入腔室中的第二工艺气体入口。
优选地,等离子体生成器使用微波发生器作为用于生成等离子体的能源。
优选地,还提供壁热外壳,用于使腔室的壁保持在预定温度,以避免基团的淀积以及通过基团形成副产品层,从而使基团聚集在衬底的表面上以获得较高刻蚀速率。特别地,腔室壁温度在50℃至120℃之间使得表面清洗速度比普通清洗环境高2-3倍。
优选地,过滤器被接地,或者将施加有方波或正弦波AC(交流)电压的栅格或隔板用作过滤器。
更优选地,进一步提供第三工艺气体入口,用于将调节气体引入腔室中,以在对每个晶片进行加工之后保持腔室的环境恒定和受控。
根据本发明的另一个方面,在使用等离子体的表面清洗方法(该方法用于去除在刻蚀期间形成的损伤部分和有害的氧化层,其中,所述刻蚀用于在具有包括绝缘层的至少一个层的硅衬底上形成接触孔)中,聚合物层首先形成于氧化层上。通过退火而去除聚合物层和氧化层,其中,聚合物层的成分被分解并与氧化层的成分结合,然后被激发和去除,并且硅衬底表面的损伤部分被去除。
优选地,在聚合物层形成步骤中,通过引入包含H2和N2的第一工艺气体而形成等离子体,通过对等离子体的过滤,仅基团能够到达硅衬底,而包含卤族元素的第二工艺气体也被引入。
优选地,第二工艺气体是HF。
优选地,使用UV灯或IR灯来执行退火,或者在热腔室中进行退火,从而去除聚合物层和氧化层。
优选地,退火气体是H2。退火期间的H2流使得去除聚合物层和氧化层的时间减少,并同时通过氢键来钝化硅、硅化物或金属的表面,这延长了随后步骤之前的可用时间。优选地,H2流量为10sccm至5,000sccm。
优选地,通过在热腔室中退火而去除硅衬底表面的损伤部分。
更优选地,在去除聚合物层和氧化层时,在同一腔室中就地执行硅损伤部分的去除步骤。
根据本发明的另一个方面,在一种使用等离子体的表面清洗方法(其用于制造集成电路,并在表面清洗装置中执行,所述表面清洗装置具有能够保持为真空状态的腔室、安装衬底的衬底支架、引入用于生成和保持等离子体的载运气体的第一工艺气体入口、等离子体生成器、用于仅使基团能够到达所述衬底的过滤器以及第二工艺气体入口)中,将第一工艺气体引入腔室中,在等离子体生成器中通过第一工艺气体形成等离子体,将第二工艺气体引入腔室中,然后将第三工艺气体引入腔室中以在对每一晶片加工之后保持腔室环境的恒定。
优选地,第一工艺气体包含H2和N2
优选地,过滤器被接地,或接收AC电压。
优选地,第二工艺气体包含卤族元素。
更优选地,第二工艺气体是HF。
优选地,第三工艺气体包含含有H、F、O和N的气体的至少之一。
优选地,退火气体是H2、N2或Ar的至少其中之一。
有益效果
根据如下所述的本发明,(1)通过在生长栅氧化层之前去除形成于硅表面上的自然氧化层或化学氧化层,避免了栅氧化层的特性下降;(2)通过去除在用于接触孔的刻蚀之后暴露在外的硅表面上形成的自然氧化层或化学氧化层、以及硅表面在刻蚀期间损伤的部分,避免了接触电阻和接触处的漏泄的增加;(3)通过去除刻蚀金属接触孔期间在接触的侧壁和下层金属之间边界处存在的诸如聚合物的有机污染物,减小了金属接触电阻;(4)通过在外延硅生长过程中从硅表面去除自然氧化层或化学氧化层,能够生长良好品质的外延硅;(5)通过在HSG硅形成过程中从硅表面去除自然氧化层或化学氧化层,能够生长良好品质的HSG;以及(6)通过在加工每个晶片之后引入调节气体以保持腔室环境的恒定,改进了加工均匀性。
附图说明
本发明的上述和其它目的、特征和有益效果将通过以下结合附图的详细描述而变得更加明显,其中:
图1是传统的氟化酸喷洒装置的示意图;
图2是传统的等离子体刻蚀装置的示意图;
图3是根据本发明的等离子体刻蚀装置的一个实施方案的示意图;
图4是根据本发明的等离子体刻蚀装置的另一个实施方案的示意图;
图5至9是顺序示出了去除硅衬底表面的自然氧化层和损伤部分的过程的一个实施方案的剖视图;
图10和11是示出了接触轮廓的SEM(扫描电子显微镜)图像;
图12是示出了在H2流量恒定时根据N2流量的刻蚀速率和均匀性的曲线图;
图13是示出了在N2流量恒定时根据H2流量的刻蚀速率和均匀性的曲线图。
具体实施方式
下面将参照附图描述本发明的优选实施方案。在以下描述中,为了本发明描述的简要性,将不对众所周知的功能和结构进行详细描述。
图3示出了根据本发明的等离子体刻蚀装置的一个实施方案的结构。该等离子体刻蚀装置使用微波等离子体执行干法清洗。参照图3,在硅衬底180安装在位于可维持在真空状态的腔室140下方的衬底支架160上之后,通过第一工艺气体入口130引入N2和H2的混合气体。N2和H2的混合气体起到生成和维持等离子体的载运气体的作用。接着,通过操作微波源模块110和等离子体施放器120而生成等离子体,HF气体则通过第二工艺气体入口190引入。含氟卤族元素或者诸如HCl、BCl3或ClF3的卤族元素可替代HF。等离子体在朝向衬底180向下运动时通过过滤器150。过滤器150为接地隔板或栅格,其吸收电势以使得只有基团能够到达硅衬底180。加热器210用于退火,其中硅衬底表面的损伤部分通过在热腔室中进行退火而被去除。壁热外壳220使得腔室140的壁保持在适当温度,以避免基团粘附到腔室140的壁和形成副产品层,以及使基团聚集在硅衬底180的表面上从而产生较高的刻蚀速率。通过第三工艺气体入口200引入含有H、O、N或F的气体作为调节气体,以保持腔室140内部的环境恒定,从而增加刻蚀后的刻蚀再现性。调节气体是含有H、F、O或N的气体,或者这些气体的混合物。
所使用的工艺气体通过出口170被排出。
图4示出了根据本发明的等离子体刻蚀装置的另一个实施方案的结构。该等离子体刻蚀装置使用远程等离子体执行干法清洗。参照图4,除了提供RF功率以生成等离子体之外,该等离子体刻蚀装置与使用微波等离子体的等离子体刻蚀装置相似。该等离子体刻蚀装置对于本领域技术人员来说是公知的,此处不再赘述。
图5至9是顺序示出了根据本发明一个实施方案的、去除硅衬底表面的自然氧化层和损伤部分的过程的剖视图。
图5示出了在硅衬底40上形成层间绝缘层41、然后通过在接触区域刻蚀层间绝缘层41而形成接触孔的步骤。硅衬底40暴露在该接触区域内的表面在刻蚀期间被损伤。因此,在硅衬底40上相继形成损伤部分42和自然氧化层43。由于自然氧化层43和损伤部分42增加了接触电阻和漏电流而使得器件特性下降,因此必须去除自然氧化层43和损伤部分42。
图6示出了使用由N2和H2生成的等离子体而在自然氧化层43、层间绝缘层41和接触孔的侧壁上形成NxHyFz聚合物层44或副产品的步骤,其中HF气作为第二工艺气体。通过将栅格或隔板接地用以过滤等离子体,或者通过施加AC电压,使得等离子体中仅基团能够到达衬底表面。
图7示出了通过退火而去除聚合物层44的步骤。由于聚合物层44的成分通过退火而分解,因而被分解的成分与下层的自然氧化层43的成分结合,被激发为N2O、F、HF、NH3、SiF4等,然后被去除。退火温度介于120和400之间。优选地,退火气体是H2、N2或Ar的至少其中之一。特别地,使用H2气体的退火结束于si衬底表面。可通过使用UV灯或IR(红外)灯辐射进行退火来代替热退火,从而去除聚合物层或副产品。
图8示出了在通过退火去除自然氧化层43之后在硅衬底表面上执行疏水清洗的步骤。
图9示出了在同一腔室中就地去除硅损伤部分42的步骤。可使用远程等离子体来去除硅损伤部分42,所述远程等离子体由HF/H2、HF/O2、NF3/O2、SF6/O2和CF4/O2的至少其中之一,或者适当组合诸如NF3、CF4和C2F2的气体、诸如O2、CO2、NO2和N2的气体、以及诸如He、Ne、Xe和Ar的惰性气体。在去除包括金属刻蚀(例如,为了形成金属接触窗口的刻蚀)的加工期间造成的硅衬底的硅损伤部分时,使用包括Cl的远程等离子体(例如HCl、ClF3等)更有效。
下面的表是使用HF作为第二工艺气体的本发明与使用NF3作为第二工艺气体的现有技术之间的比较,其中,使用N2和H2的混合气体作为第一工艺气体。如表所示,本发明在刻蚀速率(/min)、硅衬底粗糙度(RMS:nm)和金属污染物方面具有很大改进。
    项目     HF     NF3     参考
刻蚀速率(/min)     42     30     -
氧化物与氮化物(或Si衬底)的选择性     >8     >6     -
SI表面粗糙度(RMS:nm)     0.2803     0.3623     0.1043
金属污染物(/cm3)     Al     7.91E+10     4.38E+12     3.44E+09
    Cr     1.79E+09     5.36E+09     1.79E+09
    Fe     3.98E+10     1.43E+11     1.66E+09
    Ni     1.60E+09     9.61E+09     1.60E+09
图10示出了在去除自然氧化层之前的接触轮廓,而图11示出了在对自然氧化层进行60秒钟处理后的接触轮廓。在接触轮廓中在CD和衬底损伤方面几乎没有改变。
图12是示出了在H2流量恒定时根据N2流量的刻蚀速率和均匀性的曲线图。这时,气体压力为5托,微波功率为600W,H2流量为30sccm(标准每分钟立方厘米),而HF(第二工艺气体)流量为48sccm。如果N2流量为零,则SiO2的刻蚀速率(/min)可以忽略。随着N2流量增加,SiO2的刻蚀速率(/min)也增加。在N2流量达到900sccm之后,刻蚀速率饱和。在压力处于0.1托至50托范围内、微波功率处于100W至2000W范围内、HF流量处于5sccm至500sccm范围内时也具有类似趋势。使用当前的工艺设备,在N2流量增加时均匀性也得到改进,当N2流量为1,800sccm时,具有约10%的均匀性。
图13是示出了在N2流量恒定时根据H2流量的刻蚀速率和均匀性的曲线图。这时,气体压力为5托,微波功率为600W,N2流量为1,800sccm(标准每分钟立方厘米),而HF(第二工艺气体)流量为48sccm。如果没有H2流量,则SiO2的刻蚀速率(/min)可以忽略。随着N2流量增加,SiO2的刻蚀速率(/min)也增加。在压力处于0.1托至50托范围内、微波功率处于100W至2000W范围内、HF流量处于5sccm至500sccm范围内时也具有类似趋势。使用当前的工艺设备,在H2流量增加时均匀性也得到改进。
根据图12和图13可发现,为了获得大于50(/min)的SiO2的刻蚀速率,至少500sccm的N2流量和至少20sccm的H2流量的组合是优选的。
特别地,通过在包括等离子体生成步骤、聚合物形成和退火的整个清洗过程中使得腔室壁温度保持在50℃至120℃之间,可获得与通常的清洗环境相比高2-3倍的表面清洗速度。在整个清洗过程中使得腔室壁温度保持在50℃至120℃之间还可避免在壁上形成污染物颗粒。
虽然已经参照本发明的特定优选实施方案对本发明进行了描述,但是本领域技术人员可以理解,可进行形式和细节上的各种改变,而并不脱离由所附权利要求限定的本发明的精神和范围。

Claims (22)

1.一种使用等离子体清洗衬底表面的方法,所述衬底表面具有诸如自然氧化物、化学氧化物的物质或者所述衬底表面上具有损伤部分,所述方法包括以下步骤:
将第一工艺气体引入清洗装置的第一入口中,所述第一工艺气体是H2和N2的混合气体;
在所述清洗装置的等离子体生成空间中生成并保持所述第一气体的等离子体;
将HF气体构成的第二工艺气体加入所述清洗装置中的第二入口中,其中所述第二气体通过所述第一气体的等离子体而被激活为HF基团;
形成作为所述第一气体、所述第二气体和所述衬底的所述表面上的所述物质的副产品的NxHyFz聚合物层;以及
通过对所述衬底退火而去除所述聚合物层,以清洗所述衬底的所述表面。
2.如权利要求1所述的方法,进一步包括在生成并保持所述第一气体的所述等离子体的所述步骤之后,过滤所述第一气体的所述等离子体以仅使基团通过的步骤。
3.如权利要求1所述的方法,进一步包括在通过以H2流来退火以去除所述聚合物层和所述自然氧化层的所述步骤之后,去除所述衬底的所述表面的损伤部分的步骤。
4.如权利要求1所述的方法,其中,当气体压力处于0.1托至50托范围内、微波功率处于100W至2000W范围内、N2气体的流量大于500sccm而HF的流量处于5sccm至500sccm范围内时,所述H2气体的流量大于20sccm。
5.如权利要求1所述的方法,其中,当气体压力处于0.1托至5托范围内、微波功率处于100W至2000W范围内、H2气体的流量大于20sccm而HF(第二工艺气体)的流量处于5sccm至500sccm范围内时,所述N2气体的流量大于500sccm。
6.如权利要求1所述的方法,其中,当气体压力处于0.1托至50托范围内、微波功率处于100W至2000W范围内而HF(第二工艺气体)的流量处于5sccm至500sccm范围内时,所述H2气体的流量大于20sccm,所述N2气体的流量大于500sccm。
7.如权利要求1所述的方法,其中,使用UV灯或IR灯来执行所述退火。
8.如权利要求1所述的方法,其中,在对所述衬底的所述表面进行所述清洗的整个过程中,所述清洗装置的壁温度保持在50℃至120℃之间。
9.如权利要求1所述的方法,其中,在温度为120℃至400℃之间时,使用10sccm至5,000sccm的H2气体流来执行所述退火。
10.一种使用等离子体的表面清洗方法,所述方法用于去除在刻蚀期间形成的自然氧化层,所述刻蚀用于在具有包括绝缘层的至少一个层的半导体衬底上形成接触孔,所述方法包括以下步骤:
在绝缘层和自然氧化层上形成NxHyFz聚合物层,所述自然氧化层是在刻蚀所述绝缘层期间在所述衬底上形成的,其中,形成所述聚合物层包括通过引入H2和N2混合气体构成的第一工艺气体来生成等离子体的步骤、以及将HF气体构成的第二工艺气体引入所述等离子体中的步骤;以及
通过退火来去除所述聚合物层和所述自然氧化层,其中,所述聚合物层的成分被分解并与所述自然氧化层的成分结合,然后被激发和去除。
11.如权利要求10所述的方法,在温度为120℃至400℃之间时,使用10sccm至5,000sccm的H2气体流来执行所述退火。
12.如权利要求10所述的方法,其中在对所述衬底的所述表面进行所述清洗的整个过程中,所述清洗装置的壁温度保持在50℃至120℃之间。
13.一种使用等离子体的表面清洗方法,所述方法用于制造集成电路,并在表面清洗装置中执行,所述表面清洗装置具有能够保持为真空状态的腔室、安装衬底的衬底支架、引入用于生成和保持等离子体的载运气体的第一工艺气体入口、等离子体生成器、用于仅使基团能够到达所述衬底的过滤器以及第二工艺气体入口,所述方法包括以下步骤:
在构图步骤中,在所述衬底上的自然氧化层上形成NxHyFz的聚合物层,其中,形成所述聚合物层包括:通过将H2和N2混合气体构成的第一工艺气体引入所述第一工艺气体入口来生成等离子体的步骤、以及将HF气体构成的第二工艺气体引入所述第二工艺气体入口中并引入所述等离子体中的步骤;以及
通过退火来去除所述聚合物层和所述自然氧化层,其中,所述聚合物层的成分被分解并与所述自然氧化层的成分结合,然后被激发和去除。
14.如权利要求13所述的方法,进一步包括在通过引入H2和N2混合气体构成的第一工艺气体来生成等离子体的所述步骤与将HF气体构成的第二工艺气体引入所述等离子体中的所述步骤之间,过滤所述等离子体以仅使基团通过的步骤。
15.如权利要求13所述的方法,进一步包括在以H2流来退火以去除所述聚合物层和所述自然氧化层的所述步骤之后,去除所述衬底表面的损伤部分的步骤。
16.如权利要求13所述的方法,其中,在对所述衬底的所述表面进行所述清洗的整个过程中,所述清洗装置的壁温度保持在50℃至120℃之间。
17.如权利要求13所述的方法,其中,当气体压力为5托、微波为600W而HF(第二工艺气体)的流量为48sccm时,所述H2气体的流量大于180sccm,所述N2气体的流量大于1,800sccm。
18.一种使用等离子体的表面清洗方法,所述方法用于制造集成电路,并在表面清洗装置中执行,所述表面清洗装置具有能够保持为真空状态的腔室、安装衬底的衬底支架、引入用于生成和保持等离子体的载运气体的第一工艺气体入口、等离子体生成器、用于仅使基团能够到达所述衬底的过滤器、第二工艺气体入口以及用于引入第三工艺气体以在对每个晶片进行加工之后保持所述腔室环境恒定的第三工艺气体入口,所述方法包括以下步骤:
经由所述第一工艺气体入口将H2和N2混合气体构成的第一工艺气体引入所述腔室;
在所述等离子体生成器中通过所述第一工艺气体形成等离子体;
经由所述第二工艺气体入口将HF气体构成的第二工艺气体引入所述腔室;
通过退火而去除所述聚合物层和所述自然氧化层,其中,所述聚合物层的成分被分解并与所述自然氧化层的成分结合,然后被激发和去除;
在处理所述清洗过程之后,将第三工艺气体引入所述腔室,以保持所述腔室环境的恒定。
19.如权利要求18所述的方法,其中,当气体压力处于0.1托至50托范围内、微波功率处于100W至2000W范围内而HF(第二工艺气体)的流量处于5sccm至500sccm范围内时,所述H2气体的流量大于20sccm,所述N2气体的流量大于500sccm。
20.如权利要求18所述的方法,其中,使用UV灯或IR灯来执行所述退火,从而去除所述聚合物层和所述自然氧化层。
21.如权利要求18所述的方法,进一步包括在以H2流来退火以去除所述聚合物层和所述自然氧化层的所述步骤之后,去除所述衬底表面的损伤部分的步骤。
22.如权利要求18所述的方法,其中,在对所述衬底的所述表面进行所述清洗的整个过程中,所述清洗装置的壁温度保持在50℃至120℃之间。
CNB200580015945XA 2004-05-20 2005-05-10 清洗衬底表面的方法 Expired - Fee Related CN100454496C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/850,261 2004-05-20
US10/850,261 US7111629B2 (en) 2001-01-08 2004-05-20 Method for cleaning substrate surface

Publications (2)

Publication Number Publication Date
CN1954413A true CN1954413A (zh) 2007-04-25
CN100454496C CN100454496C (zh) 2009-01-21

Family

ID=35428615

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200580015945XA Expired - Fee Related CN100454496C (zh) 2004-05-20 2005-05-10 清洗衬底表面的方法

Country Status (4)

Country Link
US (2) US7111629B2 (zh)
JP (1) JP2007538397A (zh)
CN (1) CN100454496C (zh)
WO (1) WO2005114715A1 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102479923A (zh) * 2010-11-30 2012-05-30 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
CN102933143A (zh) * 2010-01-13 2013-02-13 波尔多一大 用于测量胰腺β细胞或胰岛活动的传感器及其制造和使用
CN103779183A (zh) * 2012-10-17 2014-05-07 Psk有限公司 基板处理方法
CN104022018A (zh) * 2014-06-19 2014-09-03 无锡宏纳科技有限公司 一种干法刻蚀等离子损伤修复工艺
CN104550133A (zh) * 2014-12-11 2015-04-29 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
CN106920753A (zh) * 2017-04-25 2017-07-04 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板和显示器
CN110323115A (zh) * 2018-03-30 2019-10-11 长鑫存储技术有限公司 半导体生产设备自清洗方法及栅极字线结构制备方法
CN111710606A (zh) * 2020-06-30 2020-09-25 度亘激光技术(苏州)有限公司 一种衬底处理方法
CN112219260A (zh) * 2018-06-11 2021-01-12 玛特森技术公司 用于处理工件的氢反应性核素的生成

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546264B2 (en) * 2005-06-02 2013-10-01 The Regents Of The University Of California Etching radical controlled gas chopped deep reactive ion etching
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
KR100814409B1 (ko) * 2006-08-14 2008-03-18 삼성전자주식회사 애싱 방법 및 이를 수행하기 위한 장치
KR100825796B1 (ko) * 2006-12-14 2008-04-28 삼성전자주식회사 매몰 게이트를 구비한 반도체 소자의 제조 방법
JP5256625B2 (ja) * 2007-03-05 2013-08-07 株式会社Sumco 貼り合わせウェーハの評価方法
JP5006938B2 (ja) * 2007-11-02 2012-08-22 キヤノンアネルバ株式会社 表面処理装置およびその基板処理方法
US7888661B2 (en) * 2008-02-13 2011-02-15 Axcelis Technologies Inc. Methods for in situ surface treatment in an ion implantation system
JP4914902B2 (ja) * 2008-05-30 2012-04-11 キヤノンアネルバ株式会社 シリサイド形成方法とその装置
KR101297926B1 (ko) 2009-03-26 2013-08-19 가부시키가이샤 알박 진공 처리 방법 및 진공 처리 장치
US20120156887A1 (en) * 2009-08-27 2012-06-21 Youhei Ono Vacuum processing apparatus and vacuum processing method
US8252679B2 (en) * 2010-02-10 2012-08-28 United Microelectronics Corp. Semiconductor process
WO2012108321A1 (ja) * 2011-02-08 2012-08-16 株式会社アルバック ラジカルエッチング装置及び方法
CN103443906B (zh) * 2011-03-04 2016-03-30 应用材料公司 触点清洁的方法
JP2013074093A (ja) * 2011-09-28 2013-04-22 Renesas Electronics Corp リフロー前処理装置およびリフロー前処理方法
US9446467B2 (en) 2013-03-14 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Integrate rinse module in hybrid bonding platform
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US9887160B2 (en) * 2015-09-24 2018-02-06 International Business Machines Corporation Multiple pre-clean processes for interconnect fabrication
TWI692799B (zh) * 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
US10217626B1 (en) 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3923559A (en) * 1975-01-13 1975-12-02 Bell Telephone Labor Inc Use of trapped hydrogen for annealing metal-oxide-semiconductor devices
US4304983A (en) * 1980-06-26 1981-12-08 Rca Corporation Plasma etching device and process
DE3717985A1 (de) * 1986-05-28 1987-12-03 Minolta Camera Kk Elektrochrome vorrichtung
JP2972926B2 (ja) 1987-08-31 1999-11-08 セイコーエプソン株式会社 変調回路及び音発生装置
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
KR0155158B1 (ko) * 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
JPH06231124A (ja) 1993-01-29 1994-08-19 Toshiba Corp 音声変換システム
US5505816A (en) * 1993-12-16 1996-04-09 International Business Machines Corporation Etching of silicon dioxide selectively to silicon nitride and polysilicon
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP3328416B2 (ja) * 1994-03-18 2002-09-24 富士通株式会社 半導体装置の製造方法と製造装置
JPH0864390A (ja) 1994-08-22 1996-03-08 Ryoden Semiconductor Syst Eng Kk プラズマ処理装置
JPH08162427A (ja) 1994-12-01 1996-06-21 Mitsubishi Electric Corp 半導体装置の製造方法
US5770263A (en) * 1995-11-08 1998-06-23 Micron Technology, Inc. Method for in situ removal of particulate residues resulting from hydrofluoric acid cleaning treatments
JP2950785B2 (ja) 1996-12-09 1999-09-20 セントラル硝子株式会社 酸化膜のドライエッチング方法
US6055927A (en) * 1997-01-14 2000-05-02 Applied Komatsu Technology, Inc. Apparatus and method for white powder reduction in silicon nitride deposition using remote plasma source cleaning technology
JP3627451B2 (ja) * 1997-06-04 2005-03-09 東京エレクトロン株式会社 表面処理方法及びその装置
JPH11106929A (ja) 1997-09-30 1999-04-20 Mitsubishi Electric Corp プラズマ処理装置
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JPH11204771A (ja) * 1998-01-07 1999-07-30 Sony Corp 半導体基板の製造方法及び固体撮像装置の製造方法
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
JP2000003965A (ja) * 1998-06-15 2000-01-07 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6284149B1 (en) * 1998-09-18 2001-09-04 Applied Materials, Inc. High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100322545B1 (ko) 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
JP3929261B2 (ja) * 2000-09-25 2007-06-13 株式会社日立国際電気 基板処理装置および基板処理方法
KR100573929B1 (ko) 2001-12-14 2006-04-26 (주)에이피엘 플라즈마를 이용한 표면 세정 장치 및 방법
KR100404956B1 (ko) 2001-01-08 2003-11-10 (주)에이피엘 반도체 집적소자 제조공정 및 장치
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
JP3925088B2 (ja) * 2001-01-16 2007-06-06 株式会社日立製作所 ドライ洗浄方法
KR20030049086A (ko) * 2001-12-14 2003-06-25 (주)에이피엘 기판 건식 세정 장치 및 방법
US20030217693A1 (en) * 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102933143A (zh) * 2010-01-13 2013-02-13 波尔多一大 用于测量胰腺β细胞或胰岛活动的传感器及其制造和使用
CN102479923A (zh) * 2010-11-30 2012-05-30 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
CN102479923B (zh) * 2010-11-30 2014-04-02 中芯国际集成电路制造(北京)有限公司 相变存储器的制作方法
CN103779183A (zh) * 2012-10-17 2014-05-07 Psk有限公司 基板处理方法
CN104022018A (zh) * 2014-06-19 2014-09-03 无锡宏纳科技有限公司 一种干法刻蚀等离子损伤修复工艺
CN104550133A (zh) * 2014-12-11 2015-04-29 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
CN106920753A (zh) * 2017-04-25 2017-07-04 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板和显示器
CN106920753B (zh) * 2017-04-25 2020-04-03 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板和显示器
CN110323115A (zh) * 2018-03-30 2019-10-11 长鑫存储技术有限公司 半导体生产设备自清洗方法及栅极字线结构制备方法
CN112219260A (zh) * 2018-06-11 2021-01-12 玛特森技术公司 用于处理工件的氢反应性核素的生成
CN111710606A (zh) * 2020-06-30 2020-09-25 度亘激光技术(苏州)有限公司 一种衬底处理方法

Also Published As

Publication number Publication date
WO2005114715A1 (en) 2005-12-01
US20060157079A1 (en) 2006-07-20
WO2005114715A8 (en) 2006-12-21
US20050014375A1 (en) 2005-01-20
US7111629B2 (en) 2006-09-26
CN100454496C (zh) 2009-01-21
JP2007538397A (ja) 2007-12-27

Similar Documents

Publication Publication Date Title
CN100454496C (zh) 清洗衬底表面的方法
US20020124867A1 (en) Apparatus and method for surface cleaning using plasma
US10861693B2 (en) Cleaning method
TWI721321B (zh) 於磊晶成長前預清潔基板表面之方法及設備
KR20160075358A (ko) 선택적인 질화물 에칭
JPH09232299A (ja) Cvd装置のインシチュウクリーニング
CN1750234A (zh) 形成半导体器件精细图形的方法及用其形成接触的方法
CN115784774B (zh) 一种改善SiC Mos界面特性的方法
KR101321424B1 (ko) 반도체 소자의 표면 처리 및 박막 성장 방법, 그리고 이를 구현하는 표면 처리 및 박막 성장 장치
CN1107254A (zh) 制造半导体集成电路的方法和设备
US6914208B2 (en) Method for semiconductor wafer etching
CN101764044B (zh) 等离子装置工艺腔预处理的方法
US8992689B2 (en) Method for removing halogen-containing residues from substrate
KR100573929B1 (ko) 플라즈마를 이용한 표면 세정 장치 및 방법
US6124218A (en) Method for cleaning wafer surface and a method for forming thin oxide layers
US20130095665A1 (en) Systems and methods for processing substrates
KR101333831B1 (ko) 반도체 소자의 표면 처리 방법 및 그 표면 처리 장치
JP2007073959A (ja) 半導体装置の作製方法
KR100724266B1 (ko) 대기압 플라즈마를 이용한 실리콘 웨이퍼 표면 세정 방법및 장치
KR100768726B1 (ko) 플라즈마 세정을 이용한 HSG-Si 형성방법
KR100291439B1 (ko) 반도체소자의제조방법
JP4055581B2 (ja) Hsg膜の形成方法
KR940007053B1 (ko) 반도체 기판의 세정방법
KR20070036300A (ko) 반도체 소자의 제조방법
JP2005210138A (ja) 半導体装置の製造装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090121

Termination date: 20160510