JP2006523015A - 水素含有ラジカルによる未変性酸化物の洗浄 - Google Patents

水素含有ラジカルによる未変性酸化物の洗浄 Download PDF

Info

Publication number
JP2006523015A
JP2006523015A JP2006503556A JP2006503556A JP2006523015A JP 2006523015 A JP2006523015 A JP 2006523015A JP 2006503556 A JP2006503556 A JP 2006503556A JP 2006503556 A JP2006503556 A JP 2006503556A JP 2006523015 A JP2006523015 A JP 2006523015A
Authority
JP
Japan
Prior art keywords
gas
substrate
hydrogen
chamber
ratio
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006503556A
Other languages
English (en)
Other versions
JP2006523015A5 (ja
JP4673290B2 (ja
Inventor
ウッド,ビングク,サン
カワグチ,マーク,エヌ.
パパヌ,ジェームス,エス.
モセリー,ロダリック,シー.
ライ,チウキン,スティーブン
カオ,チェン−テウ
アル,フア
ワン,ウェイ,ダヴリュー.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006523015A publication Critical patent/JP2006523015A/ja
Publication of JP2006523015A5 publication Critical patent/JP2006523015A5/ja
Application granted granted Critical
Publication of JP4673290B2 publication Critical patent/JP4673290B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

基板洗浄装置は、イオン水素含有化学種とラジカル水素含有化学種との第一比率を有する活性ガスを形成するために水素含有ガスを遠隔励起するリモートソースを有する。本装置は、基板支持体と、遠隔励起ガスをろ過して、イオン水素含有化学種とラジカル水素含有化学種の第二比率を有し、第二比率が第一比率と異なる、ろ過された励起ガスを形成するイオンフィルタと、チャンバにろ過された励起ガスを導入するガス分配器とを備えたプロセスチャンバを有する。

Description

クロスリファレンス
本出願は、アプライドマテリアルズ社に共同譲渡されたWoodらの2003年2月13日出願の米国仮特許出願第60/447,372号からの優先権を主張する。その出願の開示内容は本明細書に全体で援用されている。
背景
半導体又はディスプレイのような基板処理においては、基板上に物質が堆積され、エッチングされて、導電性相互接続部、コンタクト及びバイアを形成する。例えば、電気相互接続ラインのパターンは、基板上に金属含有導体を堆積し、導体上に抵抗パターンを形成し、導体をエッチングして相互接続ラインを形成し、その後、エッチングされた相互接続ライン上に誘電体層を堆積させることによって形成され得る。誘電体層は、下にある金属含有導体物質又は他の基板領域をそれぞれ露出させるコンタクトホール又はバイアを形成するために更にエッチングすることもできる。その後、導電性物質がエッチングされたホールに堆積されて下にある導体と電気的に接触する。例えば、銅含有相互接続部形成においては、誘電体層は、下にある銅導体物質を露出するコンタクトホールを形成するためにエッチングされ得る。その後、少なくとも部分的にコンタクトホールを充填する続いての銅電気めっきプロセスを容易にするために、コンタクトホールの露出した銅導体物質と表面上に銅のシード薄層を堆積させることができる。
しかしながら、金属含有導体物質は、続いてのプロセスステップを行うことができる前に洗浄を必要とする物質の堆積物を含み得る。例えば、堆積物は、中間物プロセスステップ中に導体が酸素化学種に晒される場合に形成する未変性酸化物膜を含み得る。未変性酸化物膜は、酸素含有ガスプラズマが残留抵抗を取り除くために用いられるレジストストリッピングプロセスにおいてしばしば生じる。また、未変性酸化物は、エッチングステップ、ストリッピングステップ、洗浄プロセスステップ間のような異なるプロセスチャンバ間に基板を搬送するときに形成し得る。露出した導体表面と続いて堆積された導電性物質との間の接触界面では電気抵抗が増大することから、未変性酸化物膜が望ましくない。堆積物は、また、以前のプロセスステップから残存している他のプロセス堆積物、例えば、カーボン含有残留物、シリコン含有残留物、フッ素含有残留物、窒素含有残留物を含むことができる。また、例えば、露出物質と堆積物質間の界面にボイド又は不規則性を形成することによる、露出した導体表面上に導電性物質の堆積に不利に影響し得ることから、堆積物は望ましくない。
未変性酸化物膜は、露出した導体表面上に導電性物質を堆積する前に行われる“前洗浄”プロセスにおいて金属含有導体から除去することができる。典型的な前洗浄プロセスにおいては、金属含有導体の露出した表面は、励起されたアルゴンイオンを基板に物理的に衝撃を加えて膜をスパッタするアルゴンプラズマによって洗浄される。しかしながら、励起されたイオンに適用される正確なエネルギーレベルを求めることは難しい。過度のイオンエネルギーは下にある金属をスパッタすることができ、エネルギーレベルが低すぎると基板上に膜を残留させ得る。膜は、また、例えば、Cohenらの米国特許第6,346,480号に記載されるように、例えば、水素のような励起された還元ガスを用いて洗浄することもでき、膜と化学的に反応させて膜中の酸化物を揮発性ヒドロキシルと水蒸気に還元する。その特許の開示内容は本明細書に全体で援用されている。しかしながら、還元剤は周囲の物質に対して不利な化学作用を有することがあり、例えば、水素化学種は露出した金属と化学反応して金属水素化物を形成し、露出部の導電性に再び望ましくない影響を及ぼす。
従来の洗浄プロセスは、カリフォルニア州サンタクララのアプライドマテリアルズ社によって製造された、例えば、BlackDiamond(登録商標)、低kオキシ炭化シリコンのような低k(低誘電率)物質によって取り囲まれた金属含有表面を洗浄するのに特に適していない。このような洗浄プロセスにおいて、洗浄ガスは低k物質と反応して誘電値を変化させる。例えば、O2、O2/N2、O2/H2O、O2/N2/H2O、O2/CF4及びO2/CF4/H2Oのような洗浄ガスの組合せを用いた従来の洗浄プロセスは、低k誘電体のk値を約2.7のk値から酸化シリコンのk値と同様の約4.0の高いk値に上げることができる。これらのプロセスにおいて生成されたイオン、及び水素イオンやヘリウムイオンのような特に“軽”イオンが低k物質に深く浸透し膜の構造を損傷させ得ることから、低k物質は、少なくとも一部にはこれらの洗浄プロセスの損傷を特に受けやすいと考えられる。低k誘電体は性能と速度を改善するために半導体デバイスに頻繁に用いられているので、誘電率値に不利に影響せずにこれらの基板を効果的に洗浄することができるプロセスを有することが望ましい。
従って、清浄な導電性表面上に未変性酸化物の形成又は他の汚染物質堆積物を表面上に形成することなく金属含有物質を堆積させることが望ましい。更に周囲の物質に不利に影響することなく導体を洗浄することができることが望ましい。例えば、基板上の周囲の低k誘電材料のk値を変化させることなく金属含有導体から未変性酸化物膜を洗浄することが望ましい。
概要
基板洗浄装置は、イオン水素含有化学種とラジカル水素含有化学種の第一比率を含む遠隔励起ガスを形成するために水素含有ガスを励起させるリモートソースを有する。洗浄装置は、また、基板支持体、遠隔励起ガスをろ過して、第二比率が第一比率と異なる、イオン水素含有化学種とラジカル水素含有化学種の第二比率を有するろ過励起ガスを形成するイオンフィルタ、チャンバ内にろ過励起ガスを導入するガス分配器を有するプロセスチャンバを有する。
一変形例においては、基板洗浄装置は、遠隔励起ガスをろ過してろ過励起ガスを形成することを援助する石英ガス分配プレートと石英チャンバライナを備えている。
装置内の基板を洗浄するために、基板は装置のプロセスゾーンに配置される。遠隔ゾーンにおいて、エネルギーは水素含有ガスに結合してイオン水素含有化学種とラジカル水素含有化学種の第一比率を有する遠隔励起ガスを形成する。遠隔励起ガスをろ過して第一比率と異なるイオン水素含有化学種とラジカル水素含有化学種の第二比率を有するろ過励起ガスを形成し、ろ過励起ガスがプロセスゾーンに導入されて基板を洗浄する。
装置の他の変形例は、基板から堆積物を洗浄することも基板上に金属含有導体を堆積することもできるものである。この装置においては、洗浄チャンバは、洗浄チャンバ内で約10mTorr未満の圧力を維持する排気システムを含んでいる。装置は、また、基板支持体を有する堆積チャンバ、基板支持体に向いているスパッタリングターゲット、スパッタリングチャンバにガスを導入するガス分配システム、ガスを励起して基板上のターゲットから物質をスパッタするガスエナジャイザー、堆積チャンバからガスを排気する排気システムを有する。搬送チャンバは、洗浄チャンバからスパッタリングチャンバに基板を搬送させるロボットを有する。搬送チャンバは、基板を約10mTorr未満の低圧環境で維持することができるエンクロージャーと低圧環境を維持する搬送チャンバからガスを排気する排出口を有する。コントローラは、洗浄チャンバ、スパッタリングチャンバ、基板上の第一金属含有導体から堆積物を洗浄し、その後、基板をスパッタリングチャンバに搬送して洗浄された第一金属含有導体上に第二金属含有導体を堆積させるロボットを作動させる。
一変形例においては、下にある金属含有導体を露出する特徴部がその中に形成された誘電物質を有する基板から堆積物を洗浄するための方法が提供される。該方法は、熱処理ステップと洗浄ステップとを含んでいる。熱処理ステップにおいては、基板温度を少なくとも約100℃に維持しつつ、基板は水素含有ガスに晒される。洗浄ステップにおいては、基板は遠隔励起ガス、水素含有ラジカル種を有する遠隔励起ガスに晒されて基板を洗浄する。
基板から堆積物を洗浄するとともに熱処理プロセスを行う装置の一変形例においては、装置は熱処理チャンバと洗浄チャンバを有する。熱処理チャンバは、基板支持体、基板を加熱するヒータ、チャンバに水素含有ガスを導入するガス搬送システム、熱処理チャンバからガスを排気する排気システムを有する。洗浄チャンバは、基板支持体、水素含有ガスを遠隔励起してイオン水素含有化学種とラジカル水素含有化学種の第一比率を含む励起ガスを形成するリモートソース、チャンバに遠隔励起ガスを導入するガス分配器、遠隔励起ガスをろ過して第二比率が第一比率と異なるイオン水素含有化学種とラジカル水素含有化学種の第二比率を有するろ過された励起ガスを形成するイオンフィルタ、洗浄チャンバからガスを排気する排気システムを有する。装置は、また、熱処理チャンバから洗浄チャンバに基板を搬送するロボットを有する搬送チャンバ、真空環境で基板を維持することができるエンクロージャーを有する搬送チャンバ、搬送チャンバからガスを排気して真空環境を維持するために排出口を有する。装置は、また、熱処理チャンバ、洗浄チャンバ、熱処理チャンバ内で基板を処理するとともに基板を洗浄チャンバに搬送して基板上の金属含有導体から堆積物を洗浄するロボットを作動させるコントローラを有する。
本発明のこれらの特徴、態様、及び利点は、本発明の例を示す、以下の説明、添付された特許請求の範囲、次の図面に関してより良く理解される。しかしながら、特徴の各々は、単に特定の図面に関連するのでなく、一般に本発明に使用し得ることは理解されるべきであり、本発明はこれらの特徴のあらゆる組み合わせを含んでいる。
説明
洗浄プロセスは、基板10上の金属含有導体16の表面14を洗浄するために行われる。例えば、洗浄プロセスは、酸素含有環境に表面を晒すことから形成された未変性酸化物膜12のような表面14上に形成された堆積物12を除去するのに有効である。堆積物12は、例えば、炭素、窒素、フッ素、シリコンを含有する残留物のような前のプロセスステップにおいて形成されたプロセス残留物、更に、高分子残留物さえも含み得る。洗浄プロセスは、例えば、銅、アルミニウム、チタン、タングステン、又はその合金又は化合物を含む金属含有導体16の表面14を洗浄することができる。金属含有導体16を含む基板10の実施形態は、図1A-図1Dに示されている。基板10は、下にある金属含有導体16を含み、その上に低k誘電体層18が形成されている。低k誘電体層18は、k値が約2.0〜約3.0のような約3.0未満、更にk値が約2.7未満もの誘電体物質を含んでいる。例えば、適した低k誘電体層は、カリフォルニア州サンタクララのアプライドマテリアルズ社によって製造されたBlackDiamond(登録商標)、低kオキシ炭化シリコンを含むことができる。他の適した低k誘電体層は、シリコンと酸素、炭素、水素及び他の元素の少なくとも1種との組合わせを含むことができる。誘電体層18は、図1Aと図1Bに示されるように、堆積物12を有する金属含有導体16の表面14を露出させる特徴部20を含んでいる。洗浄プロセスは、図1C及び図1Dに示されるように、堆積物12を金属含有導体16の表面14から除去して洗浄された表面14と続いての基板10上に堆積される物質間に良好な電気接触を可能にする。
改善された洗浄プロセスが、水素含有ラジカルを含む励起された洗浄ガスに基板10を晒すことを含むことが見出された。水素含有ラジカルは、1つの不対電子を有するので、非常に化学的に反応性であるが、正電荷又は負電荷をもたない水素含有化学種、例えば、水素原子(H・)、ヒドロキシルラジカル(OH・)、窒素含有ラジカル(NH2・、NH3・)、メチルラジカル(CH3・)のような炭素含有ラジカルである。水素含有ラジカルは、未変性酸化物のような堆積物12の酸素成分と化学的に反応することによって比較的緩やかな洗浄プロセスを与えて排気され得る揮発性のヒドロキシルや水蒸気を形成し、それにより堆積物12が金属含有導体16の表面14から除去されると考えられる。従って、励起された水素含有ラジカルは、堆積物12と特に相互作用し、周囲の低k誘電体層18の構造を望ましく損傷する。例えば、水素含有ラジカル洗浄プロセスの層18のk値の増加は、約0.1未満、更に約0.05未満でさえあってもよい。
対照的に、正電荷又は負電荷を有する水素含有化学種である水素含有イオンはしばしば基板10エネルギー的に衝突するので、低k誘電体層18の構造を過度に損傷させるとともに層18のk値を増加させ得る。従って、改善された洗浄プロセスは、水素含有ラジカルを含み、ほとんど水素含有イオンを含まない励起ガスに基板10を晒すことが望ましい。例えば、励起洗浄ガスにおける水素含有ラジカルと水素含有イオンの適切な比率は、少なくとも約10,000:1であってもよい。
励起洗浄ガスは、例えば、一つ以上のH2、H2O、NH3及びSiH4の1種以上の適切な水素含有ガスにエネルギーを結合することによって形成される。多くの水素含有ラジカルを形成するために、洗浄ガスは大部分の水素含有ガス成分を含むことが望ましい。例えば、洗浄ガスは、少なくとも約80%容積の水素含有ガス、少なくとも90%容積でさえ、例えば、90%容積のH2を含み得る。適切な容量流量の水素含有ガスは、約100sccm〜約5リットル/分であってもよい。H2Oを供給すると、励起ガスにおける水素含有ラジカルの形成を促進させることができ、チャンバ106aにおける部品の腐食を減少させることもできることが見出された。例えば、洗浄ガスは、約1%〜約20%容積のH2O、例えば、約1%〜約5%容積のH2Oを含むことができる。従って、一変形例においては、洗浄ガスは、約50sccm〜約1000sccmのH2、約300〜約600sccmのH2、約0〜約10sccmのH2O、例えば、約1〜約5sccmのH2Oを含むことができる。
一変形例においては、洗浄ガスは窒素含有ガスを含んでいる。例えば、洗浄ガスは、水素ラジカルと窒素ラジカルの双方を得るために解離するガス組成物を含むことができる。窒素を含む適切な洗浄ガス組成物の例としては、(i)NH3、(ii)NH3とH2O、(iii)N2とH2、(iv)N2と、H2と、H2O、(v)NH3と、H2OとH2を挙げることができる。一変形例においては、洗浄ガスは、約80%〜約100%容積のH2、約1%〜約20%容積のH2O、約1%〜約20%容積のNH3を含んでいる。洗浄ガスは、また、層18からエッチングされた炭素を補充するために炭素源を供給することにより低k誘電体層18を保護するために炭素含有ガスを含むことができる。適した炭素含有ガスの例としては、CO、CH4、CO2及びC26の少なくとも1種を挙げることができる。洗浄ガスは、また、N2、Ar、He及びNeの少なくとも1種のような追加ガスを含むこともできる。
洗浄ガスは、例えば、図2Aに示されるように、遠隔ゾーン30においてガスにエネルギーを結合することによって励起させることができ、それにより、励起されたイオン種とラジカル種を含む遠隔励起ガスが形成される。遠隔ゾーン30は洗浄チャンバ106aのプロセスゾーン108から離れた適切な距離に位置し、遠隔ゾーン30で形成された励起イオン種の多くがプロセス領域108に到達する前に再結合する。ラジカル種は頻繁に再結合せず、平均寿命が同じ距離でイオン種より長いので、遠隔ゾーン30において励起ガスを形成すると、プロセスゾーン108に搬送すべきラジカル種の数が多くなり、イオン種が少なくなることが可能である。遠隔ゾーン30は、プロセスゾーン108から距離dに配置され、距離d全体の遠隔励起ガスの行程、例えば、遠隔ゾーン30からガス分配プレート72までが、ガスをろ過してイオン種の数が減少し、且つラジカル種の数とイオン種の数の比率が高い、励起ガスになる。洗浄チャンバ106aのプロセスゾーン108から遠隔ゾーン30の適切な距離は、例えば、少なくとも約1.5インチ(3.8cm)、例えば、約1インチ(2.5cm)〜約4インチ(10cm)であってもよい。
その後、活性洗浄ガスは、遠隔励起ガスをろ過するイオンフィルタ50を通過させて遠隔ゾーン30で形成された化学種の比率と異なるイオン水素含有化学種とラジカル水素含有化学種の比率を有するろ過された励起ガスを形成する。望ましくは、イオンフィルタ50が励起洗浄ガスにおけるイオン種の数を減少させて、遠隔ゾーン30で形成されたろ過されていない励起ガスの比率より低いろ過ガスにおけるイオン種とラジカル種の比率を得る。ろ過された励起ガスにおけるイオン種とラジカル種の比(Rf)は、少なくとも約1:100、例えば、少なくとも1:500、少なくとも約1:10,000だけろ過されていない比(Rl)より少なくすることができる。ろ過されていない比率(R1)値は、ろ過された比率(Rf)の少なくとも約100倍、例えば、ろ過された比率の少なくとも約500倍、ろ過された比率の少なくとも約10,000倍さえであってもよい。例えば、ろ過された比率は、Rf=(Rf/R1)・R1に等しくなることができる。ここで、ろ過された比率はRf=If/Nfであり、ろ過されていない比率はR1=I1/Niであり、lfとl1はそれぞれろ過されたガスとろ過されていないガスのイオン数であり、NfとNiはそれぞれろ過されたガスとろ過されていないガスのラジカル種の数がである。イオン種とラジカル種の数によって記述されると、ろ過された比率はRf=(lf/Nf)(Ni/li)・Riと等しい。ろ過されたガスとろ過されていないガスにおけるラジカル種の数がほぼ同じであることを前提とすると、即ち、ラジカルの数は約20%未満だけ変化し、そのときNfはNiに等しく、これらの因子は方程式から取り消され、Rf=(lf/li)Riに等しいろ過された比率が残る。そのようにろ過された比率は、ろ過されたイオンの数とろ過されていないイオン数の比率(lf/li)とほぼ等しい倍率だけ減少したろ過されていない比率に等しくなることができ、望ましくは、約1:100未満、例えば、約1:500未満、約1:10,000未満もの比である。このように、基板10を洗浄するために励起された洗浄ガスにラジカル種が残ることを可能にしつつ、イオンフィルタ50がさもなければ低k誘電体層188に損傷を与えることができる励起ガスからイオン種を除去する。
所望された比率が得られたかを求めるために励起ガスにおけるイオン種とラジカル種の相対比率を検出するいくつかの方法がある。プラズマ放射を用いた水素ラジカルの相対量は、例えば、656nmで水素放出スペクトルの強度を検出することによってモニタすることができる。励起ガスにおける水素ラジカルの相対量は、通常水素放出ラインの強度に比例するので、放出ライン強度が高いほど水素ラジカル相対濃度が高いことが示される。励起ガスにおける水素イオンの相対量は、例えば、基板支持体110のDCバイアスの変化を測定することによって測定することができる。支持体110上に衝突させ帯電するプラズマの水素の数は、支持体110で測定されたDCバイアスに比例している。このように、支持体110で測定されたDCバイアスと水素放出ライン強度の比率によって、励起されたガスにおけるイオン水素含有化学種とラジカル水素含有化学種の比率を概算することができる。水素イオン濃度は、先端に衝突したイオンによって与えられる電荷からのイオン電流フラックスのようなパラメータを測定するために励起されたガスに挿入される導電性プローブの先端を備えているラングミュアプローブで測定することができる。ラングミュアプローブの例は、Carlileらの米国特許第5,339,039号に記載され、この開示内容は本明細書全体で援用されている。
水素放出強度の1つ以上、DCバイアス、ラングミュアプローブ又は他の方法を用いてラジカル種及びイオン種の少なくとも1種の相対量と濃度を測定することができる検出器202が設けられる。検出器202は、その領域におけるイオン及びラジカルの少なくとも1種の濃度を測定するためにチャンバ106と装置102の異なる領域に位置させることができる。検出器202は、ろ過されていない励起ガスのラジカル及びイオンの1種以上の量を検出するために遠隔チャンバ40内又はその周りに位置させることができる。例えば、ろ過されていない励起ガスのイオンの検出濃度は、約1011〜約1012イオン/cm3オーダーであってもよい。検出器202は、ろ過されたガスにおけるイオン及びラジカルの1種以上の量を検出するために、例えば、プロセスゾーン108近傍にチャンバ106の周りに位置させることもできる。例えば、ろ過された励起ガスにおけるイオンの検出濃度は、約108〜約109イオン/cm3オーダーであってもよい。従って、装置102の異なる領域におけるイオン種及びラジカル種の少なくとも1種の数は、ろ過されたガスとろ過されていないガスにおけるイオン種とラジカル種の相対量を求めるために検出器102によって測定することができる。
一変形例においては、イオンフィルタ50は、図2Aと図2Bに示されるように、励起洗浄ガスが通過することができるその中に開口54を有する導電性ワイヤグリッド52を備えている。ワイヤグリッド52は電気的に接地し得る(図示されるように)のでグリッド52上に衝突したイオン種からの電荷がグリッド52を通って接地電位に伝わり、それによって帯電イオン種が励起洗浄ガスから除去される。ワイヤグリッド52は、帯電されたイオン種に反発する電位ゾーンを生じるように任意にバイアスをかけることもできる。ワイヤグリッド52は、それを通る良好なガスフローを可能にするのに十分に高い開口54の面積とワイヤグリッド52の面積比、例えば、約10:1〜約1:10の比、少なくとも1:1さえも含んでいる。ワイヤグリッド52は、例えば、モリブデン、チタン、ニッケルクロム合金及びアルミニウム合金の少なくとも1種の適切な導電性物質を含んでいる。
ワイヤグリッド52は、例えば、プロセスゾーン108上の遠隔ゾーン30と基板10間の活性洗浄ガスの流路内に配置されている。一変形例においては、図2Aと図2Bに示されるように、ワイヤグリッド52は、プロセスゾーン108に遠隔ゾーン30から受容した励起洗浄ガスを分配するガス分配プレート72上に取り付けられている。ワイヤグリッド52はガス分配プレート72上に取り付けられ、ワイヤグリッドにおける開口54は、ワイヤグリッド52とガス分配プレート72を通って励起洗浄ガスフローを可能にするためにガス分配プレート72におけるアパーチャ71と一致している。ワイヤグリッド52は、ガス分配プレート72の上面73上に取り付けられ、ワイヤグリッド52の腐食を抑制するために図2Aに示されるようにガス分配プレート72に少なくとも部分的に埋込まれることさえもできる。ガス分配プレート72は、アルミナ、サファイア、シリカ及び石英の少なくとも1種のような導電性物質、シリコン、アルミニウム及び炭化シリコンのス1種のような導電性物質を含むこともできる。ワイヤグリッドは、更に又は或いは、ガス分配プレート72の底面75に取り付けることができ、又はガス分配プレート72から隔置することもできる。また、プレート72が励起ガスフローをそれを通って巻き込んでいるバッフルとして働くので、ガス分配プレート72はそれ自体イオンフィルタ50として作用することができ、それにより励起ラジカル種の再結合を促進させる。
励起洗浄ガスは、石英を含む表面60上に励起ガスを通すことによってイオン種を除去するようにろ過することができる。石英表面60は、水素含有種が水素結合し、表面60に吸着することができる表面を設けることによってラジカルの再結合を減少させるためにイオンフィルタ50として作用すると考えられる。石英表面60上に衝突する水素含有化学種は、吸着した水素含有ラジカルを励起ガスに放出し、それにより水素ラジカルが再生する。水素イオンは、石英表面60によって再生されないので、これらイオンは再結合して電気的に中性の非イオン種を形成する。このように、活性洗浄ガスを石英表面上に通ることにより、イオン種は励起洗浄ガスから効果的にろ過され、ラジカル種は保存される。
石英表面60は、励起洗浄ガスから水素イオン種を最適にろ過するように配置されることができる。一変形例において、石英表面60は、図2Aに示されるように、遠隔ゾーン30とプロセスチャンバ100間で接続コンジット62の一部の内部表面を含んでいる。例えば、接続コンジット62は石英チューブから構成することができる。他の変形例においては、石英表面60は、図2Aにも示されるように、ガス分配器70の1つ以上の表面を含んでいる。例えば、ガス分配器70は、石英を含んでいるガス分配プレート72を含むことができる。石英表面60は、ガス分配プレート72とガス分配器流入口83との間にあるガス分配プレナム76を取り囲んでいる壁78、80の表面上にあり得る。例えば、上部と側部のプレナム壁78、80は石英から製造され得る。プレナム壁78、80は、壁78、80を並べたライナ82を含むこともでき、石英ラインのような石英表面60を含むこともできる。石英表面60を含むライナ82は、チャンバ側壁114、底面壁116、シーリング118、ガス分配プレート72のような他のコンポーネントの少なくとも一部の表面のような、チャンバ100内に他の表面を裏打ちすることもできる。
基板10の温度は、堆積物12における酸化物の低減に最適条件を与えるように設定され、水素含有ラジカルと堆積物12間の化学反応を促進させるようにさえ設定することができる。例えば、基板の温度は約0℃〜約500℃、例えば、約150℃〜約450℃、約25℃〜約350℃にさえ、例えば、約150℃〜約350℃に維持することができる。一変形例においては、高バイアス電力レベルが励起洗浄ガスにおけるイオンによって基板10の衝突を増加させることができるので、洗浄プロセス中に基板10に印加されるバイアス電力レベルは望ましくは低くてもよい。適切なバイアス電力レベルは、約100ワット未満、例えば、約0〜10ワット、約1ワット〜約10ワットにさえしてもよく、実質的に0にさえすることができる。他の変形例においては、100ワットより大きい、約100ワット〜約200ワットものバイアス電力レベルのような高いバイアス電力レベルは洗浄速度を増加するために適用することができる。
基板10の洗浄が、熱処理又はアニールステップを行って基板10から堆積物12を除去することにより改善し得ることが更に見出された。熱処理ステップにおいて、基板10は、例えば、堆積物12を揮発させることにより、基板10から物質を脱ガスするのに十分に高い温度に加熱する。基板10上の酸化物の形成を阻止するために熱処理ステップの間、還元ガスのフローを供給することもできる。適切な還元ガスは、例えば、H2のような水素含有ガスを含むことができる。熱処理ステップは、遠隔励起水素ラジカル洗浄ステップの前に、基板10の比較的緩やかな初期洗浄を与えるために、実質的に還元ガスを励起させずに、例えば、実質的に還元ガスにRF又はマイクロ波エネルギーを結合せずに行うことができる。
熱処理ステップの一変形例においては、基板10は、少なくとも約100℃、例えば、約150℃〜約350℃の温度に加熱される。H2フローは、約1000sccm〜約5リットル/分の容量の流量で供給される。熱処理ステップ中の圧力は、約100mTorr〜約100Torr、約30Torr未満にさえ維持することができ、適切な加熱時間は約1分〜10分であってもよい。
一変形例においては、熱処理ステップは水素ラジカル洗浄ステップと同じチャンバ106aで行われる。例えば、チャンバ106aは、温度制御システム140とチャンバ106a内で基板10を加熱するように適合されたヒータ142を含むことができる。図2Aに示された実施形態においては、ヒータ142は基板支持体110の基板10の下に抵抗加熱素子111を含んでいる。ヒータ142は、例えば、頭上式加熱ランプ143の、他の加熱デバイスを含むこともできる。他の変形例においては、熱処理ステップは、別個の熱処理チャンバ106c内で行われ、その実施形態は図2cに示されている。熱処理チャンバ106cは、例えば、真空搬送チャンバ117によって洗浄チャンバ106aと真空接触していることが望ましく、基板10が加熱プロセスチャンバ106cから洗浄チャンバ106aまで真空を破壊することなく搬送され得る。
一実施形態においては、下にある低k誘電体層18の表面19を露出するためにほぼ完全にレジスト層22が除去された後、洗浄プロセス、任意の熱処理プロセスが行われる。ストリッピングプロセスは、図1Aに示されるように、レジスト層22を含む基板10をストリッピングチャンバにおいて酸素含有ガスのような励起ストリッピングガスに晒すことを含むことができる。一旦レジスト層22のストリッピングが完了し、図1Bに示されるように、レジスト層22はほぼ完全に除去されると、基板10は別個の洗浄チャンバ106aに搬送される。ストリッピングプロセスが基板10から大量の物質の除去を必要とすることがあり、ストリッピングチャンバと洗浄チャンバ106a又は他のチャンバとの真空統合によってストリッピングチャンバからの汚染を生じ得るので、洗浄チャンバ106aは、ストリッピングチャンバと真空接触していない別個のマルチチャンバ装置の一部であることが望ましい。別個の熱処理チャンバ106cが用いられる場合、熱処理チャンバ106cは、ストリッピングチャンバと真空接触していないことが望ましく、洗浄チャンバ106aを含むマルチチャンバ真空装置の一部であり得る。堆積物12の未変性酸化物成分は、図1Bに示されるように、酸素含有ストリッピング環境に金属含有導体16を晒す際に、又はストリッピングチャンバから洗浄チャンバ106aへの基板10の搬送中に周囲雰囲気に晒す際に、金属含有導体16の表面14上に形成し得る。
適切な洗浄プロセスの一変形例においては、約50〜約1000sccmのH2、例えば、300sccmのH2と約0〜10sccmのH2O、例えば、3scmのH2Oを含む洗浄ガスは、約300ワット〜約3000ワットの電力レベル、例えば、1050ワットを印加することによってリモートソース35で活性化される。チャンバ圧は、約10Torr未満、例えば、約1Torrに維持される。約0〜約100ワットのバイアス電力レベル、例えば、50ワットは、基板10にバイアスをかけるために印加され、基板10の温度は、約150〜約450℃、例えば、250℃に維持される。洗浄プロセスは、図1Cに示されるように、堆積物12をほぼ除去し、洗浄された表面14が得られる。
洗浄プロセスが完了した後、チャンバ106a内の圧力は廃洗浄ガスと洗浄副生成物を排気するとともに洗浄チャンバ106aのそばのマルチチャンバ装置汚染の可能性を減少させるために約10mTorr未満に減圧される。その後、基板10は、図1Dに示されるように、新たに洗浄された金属含有導体表面14上に、銅、アルミニウム、タンタル、タングステン、窒化タンタル及び窒化タングステンの少なくとも1種のような第二金属含有導体21を堆積するために搬送ロボット119を有する基板搬送チャンバ117によって減圧下で堆積チャンバ106bに搬送され得る。
水素含有ラジカル洗浄プロセスに適した洗浄チャンバ106aを含む装置102の実施形態は、図2Aに示されてる。本明細書に示される装置102の具体的な実施形態は、半導体ウェハのような基板10を洗浄するのに適し、フラットパネルディスプレイ、ポリマーパネル、又は他の電気回路受容基板10のような他の基板10を洗浄するために当業者によって適合させることができる。一般的に、洗浄チャンバ106aは、エンクロージャーのような1つ以上の壁107を含み、プロセスゾーン108を封じるシーリング118、側壁114、底面壁116を含むことができる。励起洗浄ガスは、リモートソース35とガス分配器70を含むガス供給源130によってプロセスゾーン108に供給される。洗浄ガスは、リモートソース35によって励起され、流入口83を有する接続コンジット62を経てガス分配器70に受容される。ガス分配器70は、プロセスゾーン108にガスを分配するためにその中にアパーチャ71を有するガス分配プレート72を含み得る。ガス分配器70は、また、基板10の周辺に1つ以上のコンジットを任意に含むことができる。廃ガスや副生成物は、プロセスゾーン108からガスを受容する排気ポート177を含むことができる排気システム144を通ってチャンバ106aから排気され、チャンバ106a内のガス圧を制御するためにスロットルバルブ135と、1つ以上の排気ポンプ152、例えば、ターボ分子排気ポンプを更に含むことができる。排気システム144は、チャンバ106aの大気圧未満を維持することができる。
洗浄ガスを遠隔励起するのに適したリモートソース35は、遠隔ゾーン30、洗浄ガスソース39、リモートガスエナジャイザー37を有するリモートチャンバ40を含んでいる。動作中、洗浄ガスはリモートチャンバ40における洗浄ガスソース39から受容する。リモートチャンバ40への洗浄ガスの流量を制御するためにフローバルブ41を設けることができる。リモートガスエナジャイザー37は、遠隔ゾーン30で洗浄ガスにエネルギーを結合して洗浄ガスを励起し、それにより励起イオン種とラジカル種を含む励起洗浄ガスが形成される。リモートガスエナジャイザー37は、例えば、洗浄ガスにRFとマイクロ波エネルギーの少なくとも1種を結合することができる。一変形例においては、リモートガスエナジャイザー37は、遠隔領域30における洗浄ガスにRFエネルギーを誘導結合させる誘導アンテナを含んでいる。洗浄ガスに結合するのに適したRF電力レベルは、約100ワット〜約10kワットであってもよい。他の変形例においては、リモートガスエナジャイザー37は、例えば、Smithらの米国特許第6,150,628号に記載されるように、遠隔ゾーン30で洗浄ガスにエネルギーを結合するトロイダル型ガスエナジャイザーを含んでいる。その特許の開示内容は本明細書全体に援用されている。トロイダル型ガスエナジャイザーによって印加された適切なRF電力レベルは、約1000ワット〜約10,000ワットであってもよい。マイクロ波ガスアクチベータを含むリモートガスエナジャイザー37を設けることもできる。適切なマイクロ波電力レベルは、約300ワット〜約5kワットであってもよい。チャンバ106aは、チャンバ106aのプロセスゾーン108においてガスにエネルギーを結合するチャンバガスエナジャイザーを任意に含むこともできる。例えば、チャンバガスエナジャイザーは、RFエネルギーを結合させる電極及び誘導アンテナの1種以上を含むことができる。
基板10は、基板受容表面180を有する支持110上のプロセスゾーン108に保持される。支持体110は、電圧源91から電力レベルを印加することによって電気的にバイアスをかけ得る電極90を任意に含むことができる。電極90は、支持体110上の基板10を静電的に保持するためにバイアスをかけることができる。電極90と基板10は、プロセスの特性、例えば、基板10へのイオン衝撃の程度に影響するようにバイアスをかけることも可できる。しかしながら、支持体110はいかなる帯電可能電極を存在しないこともあり得る。温度制御システム140は、基板10の温度を維持するために設けられ、例えば、基板10の下の支持体110に抵抗加熱素子111を含むことができる。温度制御システム140は、1種以上の他の熱交換デバイス、例えば、熱交換流体が供給される熱交換コンジットや加熱ランプを含むこともできる。温度制御システム140は、基板10の温度をモニタするとともにチャンバコントローラ300に温度に関して信号を送る熱電対のような温度モニタを含むこともできる。
プロセスチャンバ195を含む装置102は、複数のプロセスチャンバ106a‐dを含む大きなマルチチャンバ装置102の一部でもあり得る。基板10を処理するのに適した装置102の実施形態は、図3に示されるように、1つ以上のプロセスチャンバ106a−dを含んでいる。チャンバ106a‐dは、電気プラミング、及び他の支持機能を設ける、カリフォルニア州サンタクララのアプライドマテリアルズ社からPrecision5000(登録商標)のようなプラットフォーム上に取り付けられる。プラットフォーム109は、典型的には、処理すべき基板10のカセット115を受容するロードロック113と処理するためにカセット115から異なるチャンバ106a‐dに基板を搬送するとともにプロセス後に基板を戻すためにロボット119を含む基板搬送チャンバ117を支持する。異なるチャンバ106a‐dは、例えば、堆積洗浄チャンバ106a、ウエハ上に物質を堆積するための堆積チャンバ106b、任意に、熱処理チャンバ106c、他処理チャンバを含むことができる。例えば、一変形例においては、チャンバ106a‐dの一つは、基板10上の金属含有導体16上に形成された堆積物12を除去するための洗浄チャンバ106aを含んでいる。洗浄プロセスが終了した後、、基板10は洗浄された基板上の金属含有導体のような物質を堆積する堆積チャンバ106bにロボット119によって搬送され得る。基板10は、第一チャンバ内で堆積された第一物質上に、他の金属含有導体のような他の物質を堆積することができる第二堆積チャンバ106にロボット119によって搬送され得る。また、熱処理プロセス後、基板10は、ロボット119によって熱処理チャンバ106cから洗浄チャンバ106aに搬送され得る。チャンバ106a‐dは、プロセスが連続して処理することができる装置102内で連続真空環境を形成するために相互接続され、それにより異なるプロセス段階の別個のチャンバ間でウェハを搬送する場合に生じることがある基板10の汚染が減少する。搬送チャンバ117は、チャンバ106a‐dの汚染を減少させるために、ガスを排気するとともに低圧環境、例えば、約10mTorr未満の圧力を維持する流出口129を有する排気システムを備えている。
基板10上に物質を堆積することができるチャンバ106bの例示的な変形例は、図4Bに概略的に示されている。チャンバ106bは、代表的な自己イオン化プラズマチャンバ、例えば、カリフォルニア州サンタクララのアプライドマテリアルズ社によって開発されたSIP+型チャンバである。しかしながら、本発明の態様は、SIP+型チャンバを含む堆積チャンバに限定されず、他の堆積チャンバも適している。典型的なチャンバ106bは、側壁120、底面壁122、シーリング124を含むエンクロージャー218を含んでいる。基板支持体230は、チャンバ106b内で基板10を支持するために設けられている。基板支持体230は、例えば、RF電源203であってもよい、ペデスタル電源210によって電気的に浮動してもバイアスがかけられてもよい。基板10は、チャンバ106bの側壁120にある基板装填流入口(図示せず)を通ってチャンバ106b内に導入され、支持230上に配置される。支持体230は、支持リフトベローズ(図示せず)によって持ち上げることも下げることもでき、リフトフィンガーアセンブリ(図示せず)は、チャンバ106b内外へ基板10の搬送中に支持体230に基板10を持ち上げ、下げるために使用し得る。
スパッタリングガスのようなプロセスガスは、それを通って設定流量ガスを通過させるマスフローコントローラのようなガスフロー制御バルブ158a‐cを有するコンジット156a‐cにそれぞれ送り込むガスソース154a‐cを含むプロセスガス供給源252を通ってチャンバ160bに導入される。コンジット156a‐cによって、ガスが所望のプロセスガス組成物を形成するように混合される混合マニホールド160にガスが送り込まれる。ガス流出口164は、基板支持体230の周辺を完了するためにチャンバ側壁120を通過することができる。プロセスガスは、ターゲット211上に励起的に衝突させるとともにターゲット211から物質をスパッタする、アルゴン又はキセノンのような非反応性ガスを含むことができる。プロセスガスは、基板10上に層を形成するためにスパッタされた物質と反応することができる酸素含有ガス及び窒素含有ガスの1種以上のような反応性ガスを含むこともできる。廃プロセスガスや副生成物は、廃プロセスガスを受容する1つ以上の排気ポート170を含む排気システム168を通ってチャンバ106bから排気され、チャンバ106bにおけるガスの圧力を制御するスロットルバルブ174がある排気コンジット172に廃ガスを移すことができる。排気コンジット172によって、1つ以上の排気ポンプ176に送り込まれる。典型的には、チャンバ106bにおけるスパッタリングガスの圧力は、大気圧未満レベルに設定される。
スパッタリングチャンバ106bは、更に、基板10と向いたスパッタリングターゲット211を含んでいる。スパッタリングターゲット211は、図4Bに示される形状のような所望の形状を含むことができる。スパッタリングターゲット211は、ほぼ平面のスパッタリング表面をもつほぼ平面の形状を備えることもできる。スパッタリングチャンバ106bは、スパッタされた物質からチャンバ106bの壁218を保護するとともに、典型的には、カソードターゲット211についてアノードとしても働くシールド128を備えることもできる。シールド128は、電気的に浮動していても接地されてもよい。ターゲット211は、チャンバ106bから電気的に分離され、パルスDC電源のようなターゲット電源201に接続されるが、他のタイプの電圧源であってもよい。一変形例においては、ターゲット電源201、ターゲット211、シールド128は、ターゲット211から物質をスパッタするためにスパッタリングガスを励起することができるガスエナジャイザー180として作動させる。ターゲット電源201は、シールド128に相対してターゲット211にバイアス電圧を印加する。スパッタリングターゲット211に印加された電圧からチャンバ106b内に生成された電界は、ターゲットから、また、基板10上に物質をスパッタさせるためにターゲット211上に励起的に衝突させ、それに衝突させるプラズマを形成するためのスパッタリングガスを励起させる。プロセスガスを励起させるためのパルスDC電圧の適したパルス周波数は、例えば、少なくとも約50kHz、更に好ましくは約300kHz未満であり、最も好ましくは約100kHzであってもよい。プロセスガスを励起させるために適したDC電圧レベルは、例えば約200〜約800ボルトであってもよい。
チャンバ106bは、チャンバ106bのターゲット211近傍に磁界を生成して、ターゲット211に隣接した高密度プラズマ領域226におけるイオン密度を増加させて、ターゲット物質のスパッタリングを改善する、磁界ゼネレータ401を含むマグネトロン403を更に含んでいる。改善されたマグネトロン403は、例えば“回転スパッタマグネトロンアセンブリ”と称するFuの米国特許第6,183,614号、 “銅バイア充填のための集積化プロセス”と称するGopalrajaらの米国特許第6,274,008号に記載されるように、ターゲット衝突のために非反応性ガスの要求を最少にしつつ、銅の自己スパッタリング、又はアルミニウム、チタン又は他の金属のスパッタリングを持続させるために用いることができる。それらの特許は共に本明細書に全体で援用されている。
任意の熱処理チャンバ106cの実施形態は図4Bに示されている。熱処理チャンバは、プロセスゾーン408を封じるエンクロージャー壁407を備えている。熱処理ガスは、例えば、熱処理ガス源432や接続コンジット436を通って供給源から熱処理ガスを受容するガス分配器434を備えている、ガス分配システム430によってプロセスゾーン408に供給される。ガス分配システム430は、プロセスゾーン408へのガスフローを制御するためにフローメータ438を更に備えることができる。基板10は、支持体410上のプロセスゾーン408に保持される。支持体410は、支持体410上に基板10を保持させるために電気的にバイアスをかけ得る電極(図示せず)を任意に備えていてもよい。廃ガスや副生成物は、プロセスゾーン408からガスを受容する排気ポート477を含むことができる排気システム444を通ってチャンバ106cから排気され、チャンバ106aにおけるガス圧を制御するスロットルバルブ435と、ターボ分子排気ポンプのような1つ以上の排気ポンプ452を含むこともできる。
加熱プロセスチャンバ106cは、所望の温度に基板10を加熱するとともに基板10を所望の温度で維持することができるヒータ142を有する温度制御システムを備えている。図4Aに示されるような実施形態においては、ヒータ142は、基板10の表面上に熱放射を送るように適合された加熱ランプ143のアレイ445を備えている。温度制御システム140は、支持体410における抵抗加熱素子又は熱交換流体を供給する熱交換コンジットのような1つ以上の他の加熱デバイスを備えることもできる。温度制御システム140は、基板10の温度をモニタするとともにチャンバコントローラ300に温度に関する信号を送る熱電対のような温度モニタを備えることもできる。
マルチチャンバ装置102は、図5に示されるように、ハードウェアインタフェース304を経てコントローラ300によって作動させることができる。コントローラ300は、例えば、カリフォルニア州のシナジーマイクロシステムズ社から市販されている680640マイクロプロセッサ、又はカリフォルニア州サンタクララのインテル社から市販されているペンティアムプロセッサのような中央プロセッサ装置(CPU)306を有するコンピュータ302を備え、メモリ308と周辺コンピュータコンポーネントに結合されている。好ましくは、メモリ308は、例えば、CD又はフロッピードライブの交換可能記憶媒体310、例えば、ハードドライブの交換不可記憶媒体312、ランダムアクセスメモリ314を含むことができる。コントローラ300は、例えば、アナログやデジタルの入力や出力のボードを含む複数のインタフェースカード、インタフェースボード、モータコントローラボードを更に備えることができる。オペレータとコントローラ300間のインタフェースは、例えば、ディスプレイ316やライトペン318であり得る。ライトペン318は、ライトペン318の先端の光センサでモニタディスプレイ316によって出される光を検出する。具体的なスクリーン又は機能を選択するために、オペレータはモニタ316上のスクリーンの明示領域に触れ、ライトペン318でボタンを押す。典型的には、触れた領域は色が変わるか、又は新しいメニューが表示され、ユーザーとコントローラ300間の情報が確認される。
一変形例においては、コントローラ300は、例えば、交換不可記憶媒体312又は交換可能記憶媒体310のメモリ308に保存することができるコンピュータ読取り可能プログラム320を備えている。コンピュータ読取り可能なプログラム320は、通常は、例えば、チャンバ106a‐dとそれらのコンポーネント、搬送チャンバ117、ロボット119を作動させるプログラムコードを備えているプロセス制御ソフトウェア、チャンバ106a‐dで行われるプロセスをモニタするプロセスモニタリングソフトウェア、安全システムソフトウェア、他の制御ソフトウェアを備えている。コンピュータ読み取り可能なプログラム320は、従来の任意のコンピュータ読取り可能なプログラミング言語、例えば、アセンブリ言語、C++又はFortanで書き込むことができる。適切なプログラムコードは、従来のテキストエディタを用いメモリ308のコンピュータ使用可能な媒体において保存又は具体化されたシングルファイル又はマルチファイル内に入力される。入力されたコードテキストが高級言語である場合には、コードはコンパイルされ、その後、得られたコンパイラコードがプリコンパイルされたライブラリルーチンのオブジェクトコードにリンクされる。リンクされコンパイルされたオブジェクトコードを実行するために、ユーザーはオブジェクトコードを呼び出し、CPU306がコードを読み込み実行させてプログラムで同定されたタスクを行う。
コンピュータ読取り可能なプログラム320の個々の実施形態の階層制御構造の説明的ブロックダイアグラムは、図10に示されている。ライトペンインタフェースを用いて、ユーザーはCRTターミナルに表示されたメニュー又はスクリーンに応答してコンピュータ読取り可能なプログラム320にプロセス設定とチャンバ番号を入力する。コンピュータ読取り可能なプログラムは、基板位置、ガスフロー、ガス圧、温度、RF電力レベル、、具体的なプロセスの他のパラメータ、チャンバプロセスをモニタするコードを制御するためのプログラムコードを含んでいる。プロセス設定は、指定されたプロセスを行うのに必要なプロセスパラメータの所定のグループである。プロセスパラメータは、ガス組成、ガス流量、温度、圧力、RF電力レベルのようなガスエナジャイザー設定を含むがそれらに限定されないプロセス条件である。
プロセスシーケンサプログラムコード322は、コンピュータ読取り可能なプログラム320からチャンバの種類とプロセスパラメータの設定を受け入れ且つその動作を制御するプログラムコードを備えている。シーケンサプログラムコード322は、プロセスチャンバ106a‐dにおけるマルチプロセスタスクを制御するチャンバマネージャープログラムコード324に具体的なプロセスパラメータを移すことによりプロセス設定の実行を開始する。典型的には、プロセスチャンバプログラムコード324には、基板配置プログラムコード326、ガスフロー制御プログラムコード328、ガス圧制御プログラムコード330、温度制御プログラムコード332、ガスエナジャイザー制御プログラムコード334、プロセスモニタリングプログラムコード336が含まれている。
典型的には、基板配置プログラムコード326は、チャンバ106a‐d内で支持体110、230上に基板10を装填し、任意にチャンバ106a‐d内で所望の高さに基板10を持ち上げるために用いられるチャンバコンポーネントを制御するための指示を備えている。基板配置プログラムコード334は、マルチチャンバ装置102におけるチャンバ間で基板10を搬送するために搬送チャンバ117内のロボット119を制御することもできる。ガスフロー制御プログラムコード328は、洗浄ガス、熱処理ガス又はスパッタリングガスのようなプロセスガスの異なる成分の流量を制御するための指示を備えている。ガスフロー制御プログラムコード328は、1つ以上のガスフローバルブ41、158a、c、436の開口サイズを調節してチャンバ106a‐cへの所望のガス流量を得る。
温度制御プログラムコード332は、基板10の温度のようなチャンバ106a‐c内の温度を制御するためのプログラムコードを備えている。例えば、温度制御プログラムコードは、支持体の抵抗加熱素子111のようなヒータ142に加えられる電流を制御するとともに温度センサーから信号をモニタして所望の温度を維持することによって洗浄チャンバ106aにおける基板10の温度を制御し得る。温度制御プログラムコードは、同様に、ヒータ142に加えられた電流を制御するとともに基板温度をモニタすることにより、別個の熱処理チャンバ106cにおいて基板10の温度を制御することができる。ガスネナジャイザ制御プログラムコード334は、例えば、ガスを励起させるために印加された電力レベルを設定することにより、リモートガスエナジャイザー37やスパッタリングガスエナジャイザ180のようなガスエナジャイザーを制御するための指示を備えている。プロセスモニタリングプログラムコード334は、チャンバ106a−cにおけるプロセスをモニタする、例えば、検出器202によってろ過されていない励起ガス及びろ過された励起ガスの1つ以上におけるイオン種とラジカル種の比率をモニタするための指示を備えている。圧力制御プログラムコード330は、例えば、スロットルバルブ174、135、477を制御することによって、チャンバ106a−cや搬送チャンバ117における圧力を制御するための指示を備えている。
一変形例においては、コントローラは、洗浄チャンバ106aにおいて堆積物12を基板10上の最初の金属含有伝導帯116から洗浄し、基板10をスパッタリングチャンバ106bに搬送し、且つ第二金属含有導体21を洗浄された第一金属含有導体16上に堆積させるために、洗浄チャンバ106a、スパッタリングチャンバ106b、ロボット119を作動させるプログラムコードを備えている。コントローラ300は、堆積物12を洗浄する洗浄チャンバ106aに基板10を搬送する前に、最初の熱処理ステップを行う熱処理チャンバ106cを作動させるプログラムコードを任意に備えていてもよい。
コントローラ300よって受容及び/又は評価されたデータ信号は、ファクトリオートメーションホストコンピュータ338に送信することができる。ファクトリオートメーションホストコンピュータ318は、(i)基板10に行われたプロセス、(ii)基板10全体に統計的関係で変化することができる特性、又は(iii)基板10のバッチ全体に統計的関係で変化することができる特性の統計的プロセス制御パラメータを確認するために、いくつかのシステム、プラットフォーム又はチャンバ106a‐dからのデータと、基板10のバッチについて又は長時間にわたるデータを評価するホストソフトウェアプログラム340を備えることができる。ホストソフトウェアプログラム340は、進行中のインサイチュプロセス評価又は他のプロセスパラメータの制御のデータを用いることもできる。適切なホストソフトウェアプログラムは、前述のアプライドマテリアルズ社から入手できるWORKSTREAM(登録商標)ソフトウェアプログラムを備えている。ファクトリオートメーションホストコンピュータ338は、更に、(i)例えば、基板特性が不十分又は統計的に求められた数値の範囲内に入っていない、又はプロセスパラメータが許容範囲からそれている場合には、処理シーケンスから具体的な基板10を取り出す;(ii)具体的なチャンバ106a−dにおけるプロセスを終わらせる、又は(iii)基板10の適していない特性又はプロセスパラメータを求める際にプロセス条件を調節するための指示信号を送るように適合させることができる。ファクトリオートメーションホストコンピュータ338は、ホストソフトウェア340によるデータの評価に応答して基板10の処理の開始又は終了で指示信号を送ることもできる。
実施例
以下の実施例は、励起された水素含有ラジカルによって得られた改善された洗浄結果を示すものである。実施例においては、基板10は、その上に低k誘電体層が形成された銅導体16を備えたものである。銅導体16は、低k誘電体層18における特徴部20まで露出したその上に酸化銅12の層を有した。誘電体層のk値の変化は、Hgプローブを用いて測定した。
実施例1
本実施例は、異なる厚さの低k誘電体に対する従来の洗浄プロセスによるk値に対する影響を示すものである。従来の洗浄プロセスは、プロセスゾーンにおいて95%のHeと5%のH2を含む洗浄ガスを供給すること;プロセスゾーンにおいて洗浄ガスに450ワットのRF電力レベルを誘導結合させること;チャンバ圧を80mTorrに維持すること;10ワットのバイアス電力レベルを印加すること含んだものである。基板10を洗浄ガスに40秒間晒した。以下の表1は、低k誘電体層の厚さの関数としてk値の変化を示すものである。
Figure 2006523015
表1は、低k誘電体層18の厚さが減少するにつれて洗浄された基板10のk値の変化が増大することを示している。1500オングストローム以下のような薄い膜厚でのk値の急な増加は、低k誘電体層18の上面19が従来の洗浄プロセスによって最も激しく損傷される領域であるという事実によると考えられる。バルク誘電体層の厚さが減少するにつれて、この上面19のキャパシタンスは層18の全体のキャパシタンスに一層寄与する。従って、従来のプロセスは、低k誘電体層18を有する基板、特に厚さが約1500オングストローム以下の低k誘電体層18を有する基板の十分な洗浄を与えることはできない。
実施例2
実施例2は、洗浄プロセスに対する種々のプロセスパラメータの影響を示すものである。これらの実施例においては、出発低k誘電体層の厚さが1500オングストロームである基板10は、励起洗浄ガスがプロセスゾーンで形成され且つ洗浄ガスがイオン数を減少させるようにろ過されなかった従来の洗浄プロセスに供された。基板バイアス電力レベル、ガス励起電力レベル、ガス圧を含む種々のプロセスパラメータで多因子試験を行った。図6は、多因子条件下で処理された基板10の低k誘電体層の厚さの変化(Δt)とk値への変化(Δk)を示している。
図6は、基板バイアス電力レベルがk値の変化と低k誘電体層18の厚さの変化に対して強い影響を有し、しばしば低k誘電体層18からスパッタリングすることにより低下することを示している。ライン501と502が示すように、厚さの変化とk値の変化は、基板10に印加されるバイアス電力レベルが上がるにつれて増大する。対照的に、ガス励起電力レベルと圧力はk値と厚さに強く影響するように見えない。ライン503と504は、増加するガス活性化電力レベルに対して、それぞれ厚さとk値の変化を示している。ライン505と506は、増加する圧力に対して、それぞれ厚さとk値の変化を示している。バイアス電力レベルが増加すると、イオン種による基板10の衝撃が増加する。従って、このデータは、k値の変化の主な要因の1つがイオン種による基板10へ衝撃であることを示している。従って、改善された洗浄プロセスは、洗浄ガスからイオン種をろ過して基板10の衝撃を減少させる。
実施例3
本実施例においては、洗浄ガス組成が異なる従来の洗浄プロセスから生じるk値の変化を評価した。基板10は、厚さが1500オングストロームの低k誘電体層18を含むプロセスで洗浄した。洗浄プロセスにおいては、表2に挙げた洗浄ガスをプロセスゾーンにおいてガスをエネルギーに結合することによって励起させた。第一洗浄プロセスにおいては、基板バイアス電力レベルは300ワット、ガス圧は0.5mTorrとした。第二洗浄プロセスにおいては、基板バイアス電力レベルは10ワット、ガス圧は80mTorrとした。低k誘電体層のk値の変化パーセントは、各洗浄プロセス後に測定した。洗浄プロセスの結果を、以下表2に示す。
Figure 2006523015
このように、表2は、タイプの洗浄ガスの種類が異なる従来の洗浄プロセスは、k値が付随し望ましくない増加をせずに基板10の良好な洗浄をもたらすことができないことを示している。k値の増加は、水素含有ガスをによる洗浄プロセスの30%程度増加した。Ar洗浄ガスプロセスに対するk値変化パーセントは最も小さいが、Arガスはk値の許容できない増加を生じる大きなバイアス電力レベルを印加せずに基板10から金属酸化物をしばしばほとんど洗浄しない。
実施例4
本実施例においては、多数の水素ラジカル含有種を供給して基板10から金属酸化物を洗浄することによる比較的穏やかな洗浄プロセスにより基板10を洗浄した。比較的穏やかな洗浄プロセスは、遠隔ゾーンにおいて励起された水素含有ガスを形成し、ガスにおけるイオン種の数を減少させるとともに多くの水素ラジカル含有化学種を供給するために石英表面60上に励起ガスを通すことにより励起ガスをろ過することを必要とした。基板10は、厚さが1500オングストロームの低k誘電体層18を備えたプロセスで洗浄した。洗浄ガスは3000sccmのH2を含み、洗浄プロセスは以下の表3に挙げたガス励起電力レベルと温度で40秒間行った。誘電体層18のk値の変化を測定し、表3に示す。
Figure 2006523015
このように、表1、2、3の比較は、冷機洗浄ガスが多くの水素含有ラジカルを含む洗浄プロセスが、結果としてk値の変化がかなり減少するので、ろ過せずにガス中のイオン種の数を減少させるプロセスより洗浄ガスが改善することを示している。イオン種をろ過しない従来の洗浄プロセスにより、イオン種の数が減少した洗浄ガスプロセスに対するk値の変化パーセントより20倍ほど、30倍さえもあり得るk値の変化パーセントが得られる。従って、低k誘電体層を有する基板10の洗浄改善は、励起水素含有ガスをろ過してガス中のイオン種の数を減少させることにより得ることができ、それにより処理された基板10の品質を改善するとともに厚さが薄い誘電体層18を本質的に層を損傷させずに洗浄させることを可能にする。
実施例5
本実施例は、水素含有ラジカル種を含む励起された洗浄ガスによる基板の洗浄において温度の重要性を示すものである。厚さが1500オングストロームの低k誘電体層を備えた基板10を、1400ワットのマイクロ波電力レベルを印加することによりリモートソース35において3000sccmのH2と30sccmのH2Oを含む洗浄ガスを励起することによって洗浄した。基板10の温度は、以下表4に示されるように、洗浄される各基板10について変化させた。k値を洗浄前後に測定し、k値の変化パーセントを求めた。k値は、洗浄後約3であった。ウェハとウェハ間の変化によるノイズや洗浄される基板が比較的少ないことから、測定されたk値の変化が実際の変化より大きくなり、上記表3に示されたものより大きくなることは留意すべきである。しかしながら、表4は温度の増加によるk値の増加の一般傾向を示している。
Figure 2006523015
洗浄された基板の反射率も測定され、反射率パーセントについて図7において基板温度増加をグラフにした。高い反射率は、より多くの未変性酸化物が銅導体16の表面14から除去されたことを示している。ライン507は、洗浄プロセス前の平均反射率であり、ライン508は洗浄プロセス後の平均反射率であり、ライン509とライン510はそれぞれ洗浄プロセス前後の反射率測定の標準偏差パーセントである。このように、基板10の良好な洗浄プロセスは高い温度で得られるが、良好な洗浄プロセスが80℃の低い基板温度でもなお得られることをグラフは示している。従って、表4と図7のグラフは、良好な洗浄プロセス結果が水素含有ラジカルによる洗浄で得ることができることを示し、また、温度が低k誘電体層のk値を過度に上げることなく最適な洗浄を与えるように慎重に選ぶべきであることを示している。
実施例6
本実施例は、熱処理ステップを行うことによって得ることができる改善された結果を示すものである。本実施例においては、H2ガスフローを供給しつつ、銅導体16と低k誘電体層18を備えている基板10を少なくとも約100℃の温度に基板を加熱することを含む熱処理プロセスで処理した。その後、熱処理基板10をろ過された水素含有ラジカル種を含む遠隔励起ガスによる洗浄プロセスにおいて洗浄して堆積物12を銅導体16の表面14から除去した。その後、洗浄された表面14上に窒化タンタル層を含む第二金属含有導体21を堆積させるために堆積プロセスを行った。銅と窒化タンタル相互接続特徴部20の電気抵抗について、図8におけるライン600に示されるように、基板10全体の特徴部20を測定した。
熱処理結果を比較するために、基板10を、熱処理ステップを含めずに処理し、基板10全体の特徴部20の電気抵抗を測定した。一プロセスにおいては、基板10上に窒化タンタル層を堆積する前に、別個の熱処理ステップを含めずにろ過された水素含有ラジカルを含む遠隔励起ガスによって基板10を洗浄し、その結果はライン602で示されている。他のプロセスにおいては、前洗浄又は熱処理ステップを行わずに窒化タンタル層を基板10上に堆積し、その結果はライン604で示されている。
図8は、接触抵抗の低い基板20全体の特徴部20の割合は、洗浄し基板上に物質を堆積する前に熱処理ステップで処理された基板については非常に高いことを示している。図8は、抵抗測定値以下の抵抗を有する特徴部の割合を示すグラフであり、測定された抵抗値はグラフのx軸上に示され、特徴部の割合はグラフのy軸上に示されている。ライン600に示されるように、熱処理ステップは、基板全体の約95%より大きい特徴部20について約1オーム/特徴部未満の抵抗を与える。対照的に、熱処理せずに処理された基板10は、抵抗が約1オーム/特徴部未満である特徴部20が約5%未満である。
実施例7
本実施例は、更に、ろ過された水素含有ラジカルを含む励起洗浄ガスによって得られた改善された洗浄結果を示すものである。炭素含有残留物のような堆積物12を洗浄する洗浄ガスの能力を求めるために、フォトレジスト層を含む基板10を、水素含有ラジカルを含む励起洗浄ガスに晒した。これらの基板のフォトレジスト除去速度を測定し、従来の洗浄ガスに晒された層フォトレジストを有する基板10のフォトレジスト除去速度と比較した。図9は、バー700に示される水素含有ラジカルを含む励起洗浄ガスのフォトレジスト除去速度と、バー702に示される従来の洗浄ガスのフォトレジスト除去速度を示している。図9が示すように、フォトレジスト除去速度は、ろ過された水素含有ラジカルを含む励起洗浄ガスにより約3倍速いので、この洗浄ガスは従来の洗浄ガスより洗浄結果を著しく改善する。
実施例8
本実施例は、また、ろ過された水素含有ラジカルを含む励起洗浄ガスによって得られた改善された洗浄結果を示すものである。本実施例においては、図10のライン704で示されるように、その上に未変性酸化物膜12を備えた金属含有導体16を有する基板10の反射率を測定した。その後、水素含有ラジカルを含む励起洗浄ガスに基板10を晒すことにより基板10を洗浄し、洗浄された基板10の反射率を図10のライン706に示されるように測定した。洗浄された基板10の反射率は基板10全体で約120%に近く、洗浄されていない基板10の約40%の比較的低い反射率に比較して、未変性酸化物12のほぼ完全な除去が示された。従って、ろ過された水素含有ラジカルを含む励起洗浄ガスによる洗浄によって、基板10上の金属含有導体16の表面の良好な洗浄が得られる。
実施例9
本実施例においては、ろ過された水素含有ラジカルを含む励起洗浄ガスにより洗浄された特徴部20の抵抗減少を示したものである。改善された抵抗を示すために、金属含有導体16を備えた特徴部20を有する基板を、続いての金属含有導体を堆積し複数の特徴部20を接続した相互接続構造を形成する前に、水素含有ラジカルを含むガスで洗浄した。その後、オーム/構造の相互接続構造の抵抗を試験し、図11のライン708で示されるように、測定された抵抗値と測定された抵抗を有する相互接続構造のパーセントをグラフにした。洗浄ステップを含まずに形成された相互接続特徴部の抵抗を測定し、図11のライン709としてグラフにした。このように、ろ過された水素含有ラジカルを含む励起洗浄ガスによる洗浄プロセスによって、洗浄せずに形成された相互接続構造より抵抗が低い相互接続構造の割合が高くなった。
実施例10
本実施例においては、キャパシタンスに対するろ過された水素含有ラジカルを含む励起洗浄ガスによる洗浄プロセスの影響を測定した。その上に続いての金属含有導体を堆積して相互接続構造を形成する前に、金属含有導体16を有する基板10を洗浄して堆積物を除去した。その後、図12のライン710で示されるように、処理された基板によって形成されたキャパシタのキャパシタンスを測定した。図12のライン712で示されるように、洗浄結果を、洗浄ステップを含めずに処理された基板上のキャパシタのキャパシタンスと比較した。このように、図12が示すように、ろ過された水素含有ラジカルを含む励起洗浄ガスによる洗浄プロセスは、基板10上の構造のキャパシタンスをほとんど変化させない。従って、誘電体層のk値に対する洗浄プロセスの影響は、望ましく最少であると考えられる。
本発明の例示的実施形態が図示され説明されるが、当業者は本発明を組込み、また、本発明の範囲内にある他の実施形態を講じることができる。例えば、当業者に明らかであるように、チャンバ106aは特に記載された以外のコンポーネントを備えることができる。更に、下に、上に、底面、上面、上へ、下へ、第一、第二という用語、及び他の相対的又は位置の用語は、図面における例示的実施形態に関して示され、置き換えることができる。それ故、添付の特許請求の範囲は、本発明を具体的に説明するために本明細書に記載された好適な変形例、物質、又は空間的配置の説明に制限されるべきでない。
図1Aは、基板が金属含有導体と低k誘電体層を有する、様々な処理段階における基板の実施形態の部分断面図である。 図1Bは、基板が金属含有導体と低k誘電体層を有する、様々な処理段階における基板の実施形態の部分断面図である。 図1Cは、基板が金属含有導体と低k誘電体層を有する、様々な処理段階における基板の実施形態の部分断面図である。 図1Dは、基板が金属含有導体と低k誘電体層を有する、様々な処理段階における基板の実施形態の部分断面図である。 図2Aは、イオンフィルタを含む洗浄装置の実施形態の断面図である。 図2Bは、ガス分配プレート上に取り付けられたワイヤグリッドの実施形態の部分平面図である。 図3は、マルチチャンバ装置の実施形態の部分平面図である。 図4Aは、熱処理チャンバの実施形態の部分断面図である。 図4Bは、スパッタリングチャンバの実施形態の部分断面図である。 図5は、コンピュータ読取り可能プログラムを含む説明的コントローラブロック図である; 図6は、増加する基板バイアス電力レベル、ガス励起電力レベル、圧力に対する厚さの変化と低k誘電体層のk値変化を示すグラフである。 図7は、基板洗浄プロセス後の増加する基板温度に対する反射率と反射率パーセントの標準偏差のグラフである。 図8は、増加する接触抵抗値に対して測定した接触抵抗を有する基板上の特徴部パーセントのグラフである。 図9は、洗浄プロセスのフォトレジスト除去割合を示す比較図である。 図10は、基板洗浄プロセス前後の基板全体に測定された反射率パーセントのグラフである。 図11は、増加する抵抗値に対して測定した抵抗を有する基板上に相互接続構造のパーセントのグラフである。 図12は、増加するキャパシタンス値に対して測定したキャパシタンスを有する基板上のキャパシタのパーセントのグラフである。
符号の説明
10 基板
12 堆積物
14 表面
16 導体
18 低k誘電体層
20 特徴部
30 リモートゾーン
35 リモートソース
40 リモートチャンバ
50 イオンフィルタ
52 グリッド
54 開口
62 コンジット
70 ガス分配器
72 ガス分配プレート
100 プロセスチャンバ
102 装置
106 チャンバ
108 プロセスゾーン
110 基板支持体
117 搬送チャンバ
119 ロボット
128 シールド
129 流出口
130 ガス供給源
140 温度制御システム
142 ヒータ
144 排気システム
168 排気システム
170 排気ポート
174 スロットルバルブ
211 ターゲット

Claims (28)

  1. 基板洗浄装置であって、
    (a)水素含有ガスを遠隔励起してイオン水素含有化学種とラジカル水素含有化学種との第一比率を含む遠隔励起ガスを形成するリモートソースと、
    (b)プロセスチャンバであって、
    (i)基板支持体と、
    (ii)該遠隔励起ガスをろ過してイオン水素含有化学種とラジカル水素含有化学種との第二比率を有するろ過された励起ガスを形成するイオンフィルタであって、該第二比率が該第一比率と異なる、前記イオンフィルタと、
    (iii)該ろ過された励起ガスを該チャンバに導入するガス分配器と、
    を備えている、前記チャンバと、
    を備えている、前記装置。
  2. 該イオンフィルタが該遠隔励起ガスをろ過して該第一比率より低い第二比率を有するろ過された励起ガスを形成する、請求項1記載の装置。
  3. 該イオンフィルタが該ガス分配プレート上にワイヤグリッドを備えている、請求項1記載の装置。
  4. 該励起ガスにおけるイオン水素含有化学種の数を減少させる石英表面を備えている、請求項1記載の装置。
  5. 該石英表面が、(i)チャンバライナ、又は(ii)該リモートソースとチャンバを接続するコンジットの少なくとも1つの表面である、請求項4記載の装置。
  6. 該装置内の1つ以上の位置においてラジカルとイオン濃度の少なくとも1つを検出するように適合された検出器を備えている、請求項1記載の装置。
  7. 該プロセスチャンバが大気圧未満を維持することができる排気システムを含んでいる、請求項1記載の装置。
  8. 基板洗浄装置であって、
    (a)水素含有ガスを遠隔励起してイオン水素含有化学種とラジカル水素含有化学種との第一比率を含む遠隔励起ガスを形成するリモートソースと、
    (b)プロセスチャンバであって、
    (i)基板支持体と、
    (ii)該遠隔励起ガスを該チャンバに導入するガス分配器であって、該ガス分配器が該遠隔励起ガスをそれを通って通過するアパーチャを有する石英プレートを備えている、前記ガス分配器と、
    (iii)石英チャンバライナと、
    (iv)排気システムと、
    を備えている、前記チャンバと、
    を備えている、前記装置。
  9. 該リモートソースが該石英プレートから距離dに配置され、該距離d全体の該遠隔励起ガスの行程によって、イオン水素含有化学種とラジカル水素含有化学種との第二比率を有するろ過された励起ガスが得られ、該第二比率が該第一比率と異なっている、請求項8記載の装置。
  10. 該第一比率の値が該第二比率の値の少なくとも約100倍である、請求項9記載の装置。
  11. 基板洗浄方法であって、
    (a)プロセスゾーン内に基板を配置するステップと、
    (b)リモートゾーンにおいて、水素含有ガスにエネルギーを結合してイオン水素含有化学種とラジカル水素含有化学種との第一比率を含んでいる励起ガスを形成するステップと、
    (c)該励起ガスをろ過してイオン水素含有化学種とラジカル水素含有化学種との第二比率を有するろ過された励起ガスを形成し、該第二比率が該第一比率と異なっているステップと、
    (d)該ろ過された励起ガスを該プロセスゾーンに導入して該基板を洗浄するステップと、
    を含む、前記方法。
  12. (c)が該励起ガスをろ過してろ過された励起ガスを形成し、イオン水素含有化学種とラジカル水素含有化学種との該第二比率が該第一比率より低いステップを含んでいる、請求項11記載の方法。
  13. 該第一比率が該第二比率の値の少なくとも約100倍である、請求項11記載の方法。
  14. (c)が(i)該リモートゾーンと該プロセスゾーン間に電気的に接地されたグリッドを維持する工程と、(ii)該イオン水素含有化学種の数を減少させることができる石英表面全体に該励起ガスを通す工程の少なくとも1つを含んでいる、請求項11記載の方法。
  15. (b)において、該水素含有ガスが少なくとも80%のH2を含んでいる、請求項11記載の方法。
  16. (b)において、該水素含有ガスがH2Oを含んでいる、請求項11記載の方法。
  17. 該励起ガスが窒素含有ガスを含んでいる、請求項11記載の方法。
  18. (b)において、該水素含有ガスがNH3を含んでいる、請求項11記載の方法。
  19. (b)において、該水素含有ガスが約80%〜約100%容積のH2と約1%〜約20%容積のH2Oを含んでいる、請求項11記載の方法。
  20. (b)において、該水素含有ガスが約80%〜約100%容積のH2と、約1%〜約20%容積のH2Oと、約1%〜約20%のNH3とを含んでいる、請求項11記載の方法。
  21. (d)が該基板の下の電極に約100ワット未満のバイアス電力レベルを印加する工程を更に含んでいる、請求項11記載の方法。
  22. 該基板の温度を約150℃〜約350℃に維持するステップを更に含んでいる、請求項11記載の方法。
  23. 基板を洗浄するとともに基板上に金属含有導体を堆積するための装置であって、
    (a)洗浄チャンバであって、
    (i)基板支持体と、
    (ii)水素含有ガスを遠隔励起してイオン水素含有化学種とラジカル水素含有化学種との第一比率を含む励起ガスを形成するリモートソースと、
    (iii)該遠隔励起ガスをろ過してイオン水素含有化学種とラジカル水素含有化学種との第二比率を有するろ過された励起ガスを形成するイオンフィルタであって、該第二比率が該第一比率と異なり、該イオンフィルタが該遠隔励起ガスを該チャンバに導入する石英ガス分配器と石英チャンバライナを含んでいる、前記フィルタと、
    (iv)該ガスを該洗浄チャンバから排気する排気システムであって、該排気システムが該洗浄チャンバにおいて約10mTorr未満の圧力を維持することができる、前記システムと、
    を備えているチャンバと、
    (b)堆積チャンバであって、
    (i)基板支持体と、
    (iii)ガスを該堆積チャンバに導入するガス分配システムと、
    (iv)該ガスを励起して該基板上に物質を堆積させるガスエナジャイザーと、
    (v)該堆積チャンバから該ガスを排気する排気システムと、
    を備えている、前記チャンバと、
    (c)該洗浄チャンバから該堆積チャンバへ該基板を搬送するロボットを備えている搬送チャンバであって、該搬送チャンバが約10mTorr未満の低圧環境において該基板を維持することができるエンクロージャーと、ガスを該搬送チャンバから排気して該低圧環境を維持する流出口を備えている、前記チャンバと、
    (d)該洗浄チャンバと、堆積チャンバと、該基板上の第一金属含有導体から堆積物を洗浄するとともに該基板を該堆積チャンバに搬送して該洗浄された第一金属含有導体上に第二金属含有導体を堆積させるロボットを作動させるコントローラと、
    を備えている、前記装置。
  24. 下にある金属含有導体を露出する特徴部がその中に形成された誘電材料を含む基板を洗浄する方法であって、
    (a)熱処理ステップにおいて、少なくとも約100℃の該基板の温度を維持しつつ該基板を水素含有ガスに晒すステップと、
    (b)洗浄ステップにおいて、該基板を遠隔励起ガスに晒して該基板を洗浄するステップであって、該遠隔励起ガスがラジカル水素含有化学種を含んでいる、前記ステップと、
    を含んでいる、前記方法。
  25. 該誘電材料が約3.0未満のk値を含んでいる、請求項25記載の方法。
  26. (a)が約150℃〜約350℃の基板の温度を維持する工程を含んでいる、請求項25記載の方法。
  27. (a)が約100sccm〜毎分約5リットルの容量流量でプロセスゾーンにH2フローを供給する工程と、約30Torr未満のプロセスゾーンの圧力を維持する工程を含んでいる、請求項25記載の方法。
  28. (b)が(i)リモートゾーンにおいて第二水素含有ガスにエネルギーを結合して遠隔励起ガスを形成する工程であって、該遠隔励起ガスがイオン水素含有化学種とラジカル水素含有化学種との第一比率を含んでいる、前記工程と、(ii)該遠隔励起ガスをろ過してイオン水素含有化学種とラジカル水素含有化学種との第二比率を有するろ過された励起ガスを形成する工程であって、該第二比率が該第一比率より低い、前記工程と、(iii)該基板を該ろ過された励起ガスに晒して該基板を洗浄する工程と、を含んでいる、請求項25記載の方法。
JP2006503556A 2003-02-14 2004-02-12 水素含有ラジカルによる未変性酸化物の洗浄 Expired - Lifetime JP4673290B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US44737203P 2003-02-14 2003-02-14
PCT/US2004/004278 WO2004074932A2 (en) 2003-02-14 2004-02-12 Method and apparatus for cleaning of native oxides with hydroge-containing radicals

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010255015A Division JP5061231B2 (ja) 2003-02-14 2010-11-15 水素含有ラジカルによる未変性酸化物の洗浄

Publications (3)

Publication Number Publication Date
JP2006523015A true JP2006523015A (ja) 2006-10-05
JP2006523015A5 JP2006523015A5 (ja) 2010-03-04
JP4673290B2 JP4673290B2 (ja) 2011-04-20

Family

ID=32908430

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006503556A Expired - Lifetime JP4673290B2 (ja) 2003-02-14 2004-02-12 水素含有ラジカルによる未変性酸化物の洗浄
JP2010255015A Expired - Fee Related JP5061231B2 (ja) 2003-02-14 2010-11-15 水素含有ラジカルによる未変性酸化物の洗浄
JP2012127100A Expired - Fee Related JP5542172B2 (ja) 2003-02-14 2012-06-04 水素含有ラジカルによる未変性酸化物の洗浄

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2010255015A Expired - Fee Related JP5061231B2 (ja) 2003-02-14 2010-11-15 水素含有ラジカルによる未変性酸化物の洗浄
JP2012127100A Expired - Fee Related JP5542172B2 (ja) 2003-02-14 2012-06-04 水素含有ラジカルによる未変性酸化物の洗浄

Country Status (5)

Country Link
US (1) US7604708B2 (ja)
JP (3) JP4673290B2 (ja)
KR (3) KR101276694B1 (ja)
CN (2) CN100468611C (ja)
WO (1) WO2004074932A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009256747A (ja) * 2008-04-18 2009-11-05 Canon Anelva Corp マグネトロンスパッタリング装置及び薄膜の製造法
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
JP2016076716A (ja) * 2007-05-30 2016-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板洗浄チャンバ及び構成部品

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
TWI233168B (en) * 2003-09-01 2005-05-21 Macronix Int Co Ltd Method of cleaning surface of wafer by hydroxyl radical of deionized water
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP4032058B2 (ja) * 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法
US20060016783A1 (en) * 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060137711A1 (en) * 2004-12-27 2006-06-29 Kun-Yuan Liao Single-wafer cleaning procedure
US20070272270A1 (en) * 2004-12-27 2007-11-29 Kun-Yuan Liao Single-wafer cleaning procedure
US7144808B1 (en) * 2005-06-13 2006-12-05 Texas Instruments Incorporated Integration flow to prevent delamination from copper
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US8317929B2 (en) 2005-09-16 2012-11-27 Asml Netherlands B.V. Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7799138B2 (en) * 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
JP5554469B2 (ja) * 2007-05-14 2014-07-23 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
WO2008139621A1 (ja) * 2007-05-15 2008-11-20 Canon Anelva Corporation 半導体素子の製造方法
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
US8609545B2 (en) 2008-02-14 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve mask critical dimension uniformity (CDU)
US20090258487A1 (en) * 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8138076B2 (en) * 2008-05-12 2012-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. MOSFETs having stacked metal gate electrodes and method
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
SG10201602599XA (en) * 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR20140107580A (ko) * 2011-12-23 2014-09-04 어플라이드 머티어리얼스, 인코포레이티드 원자 수소로 기판 표면들을 세정하기 위한 방법들 및 장치
SG11201404775RA (en) 2012-02-24 2014-09-26 California Inst Of Techn Method and system for graphene formation
JPWO2013129701A1 (ja) * 2012-03-02 2015-07-30 独立行政法人科学技術振興機構 導電性膜の形成方法
CN103377971A (zh) * 2012-04-30 2013-10-30 细美事有限公司 用于清洗基板的装置和方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US20140179110A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Methods and apparatus for processing germanium containing material, a iii-v compound containing material, or a ii-vi compound containing material disposed on a substrate using a hot wire source
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20150011025A1 (en) * 2013-07-03 2015-01-08 Tsmc Solar Ltd. Enhanced selenium supply in copper indium gallium selenide processes
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN104425289B (zh) * 2013-09-11 2017-12-15 先进科技新加坡有限公司 利用激发的混合气体的晶粒安装装置和方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
RU2556433C1 (ru) * 2013-12-26 2015-07-10 Общество с ограниченной ответственностью ООО "Инновационно-промышленный комплекс "Беседы" Способ реактивного магнетронного нанесения наноразмерного слоя оксида на подложку
US9269585B2 (en) 2014-01-10 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning metal gate surface
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9396992B2 (en) * 2014-03-04 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a barrier-seed tool for forming fine-pitched metal interconnects
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10854472B2 (en) * 2014-03-19 2020-12-01 Globalfoundries Inc. Method for forming a metal gate including de-oxidation of an oxidized surface of the metal gate utilizing a reducing agent
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20160013085A1 (en) * 2014-07-10 2016-01-14 Applied Materials, Inc. In-Situ Acoustic Monitoring of Chemical Mechanical Polishing
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160042916A1 (en) * 2014-08-06 2016-02-11 Applied Materials, Inc. Post-chamber abatement using upstream plasma sources
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160126134A1 (en) * 2014-10-29 2016-05-05 Applied Materials, Inc. Systems and methods for removing contamination from seed layer surface
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018091888A1 (en) * 2016-11-15 2018-05-24 Oxford University Innovation Limited Method and apparatus for applying atomic hydrogen to an object
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7074956B2 (ja) * 2017-09-29 2022-05-25 東京エレクトロン株式会社 基板に流体をコーティングする方法及びシステム
US10269574B1 (en) 2017-10-03 2019-04-23 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10656539B2 (en) * 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source for lithography process
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10840082B2 (en) * 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11107695B2 (en) 2018-12-21 2021-08-31 Beijing E-town Semiconductor Technology Co., Ltd. Surface smoothing of workpieces
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112601836A (zh) 2019-04-30 2021-04-02 玛特森技术公司 使用甲基化处理选择性沉积
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
US20210123139A1 (en) * 2019-10-29 2021-04-29 Applied Materials, Inc. Method and apparatus for low resistance contact interconnection
CN111081524B (zh) * 2019-12-31 2022-02-22 江苏鲁汶仪器有限公司 一种可旋转的法拉第清洗装置及等离子体处理系统
KR20230001280A (ko) * 2021-06-28 2023-01-04 주식회사 원익아이피에스 챔버내부처리방법 및 기판처리방법

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63224233A (ja) * 1987-03-13 1988-09-19 Science & Tech Agency 表面処理方法
JPH01290224A (ja) * 1988-05-18 1989-11-22 Fujitsu Ltd 表面平坦化処理工程をもつ半導体装置の製造方法
JPH04206719A (ja) * 1990-11-30 1992-07-28 Tokyo Electron Ltd 基板処理装置および基板処理方法
JPH05215064A (ja) * 1992-02-04 1993-08-24 Nec Corp イオンエンジン
JPH0837176A (ja) * 1994-07-25 1996-02-06 Fujitsu Ltd 水素プラズマダウンフロー装置の洗浄方法および半導体装置の製造方法
JPH0982689A (ja) * 1995-09-19 1997-03-28 Toshiba Corp プラズマ処理装置およびその方法
JPH1022279A (ja) * 1996-07-02 1998-01-23 Toshiba Mach Co Ltd 誘導結合型プラズマcvd装置
JP2000150479A (ja) * 1998-11-12 2000-05-30 Canon Inc プラズマ処理装置及び処理方法
JP2001500322A (ja) * 1997-07-02 2001-01-09 トーキョー エレクトロン アリゾナ インコーポレイテッド 均一でかつ与える損傷が少なくかつ異方的な処理のための装置と方法
JP2001203194A (ja) * 1999-09-02 2001-07-27 Applied Materials Inc 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法
JP2002500276A (ja) * 1997-12-30 2002-01-08 アプライド マテリアルズ インコーポレイテッド サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法
JP2002505804A (ja) * 1997-01-31 2002-02-19 東京エレクトロン株式会社 高アスペクト比を持つ珪素半導体デバイス接点を金属化する方法及び装置
JP2002289596A (ja) * 2001-01-08 2002-10-04 Apl Co Ltd プラズマを利用した表面処理装置及び方法

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
JPS62158859A (ja) 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
JPS62213126A (ja) * 1986-03-13 1987-09-19 Fujitsu Ltd マイクロ波プラズマ処理装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
DE3725358A1 (de) * 1987-07-30 1989-02-09 Telog Systems Gmbh Vorrichtung und verfahren zur oberflaechenbehandlung von materialien
JPH0732137B2 (ja) 1988-02-29 1995-04-10 東京エレクトロン東北株式会社 熱処理炉
KR930004115B1 (ko) * 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
GB8905073D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US5232872A (en) * 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
US4988644A (en) * 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
US5326794A (en) * 1990-05-08 1994-07-05 Industrial Technology Research Institute Barbituric acid-modified bismaleimide with diamine and polyisocyanate-modified epoxy resin
JP2646811B2 (ja) 1990-07-13 1997-08-27 ソニー株式会社 ドライエッチング方法
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
JP3038953B2 (ja) 1991-02-28 2000-05-08 ソニー株式会社 配線形成方法
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
DE4132559A1 (de) 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
DE69218720T2 (de) 1991-10-17 1997-07-17 Applied Materials Inc Plasmareaktor
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
JPH0684835A (ja) 1992-09-02 1994-03-25 Kawasaki Steel Corp 表面処理方法及びその装置
JP3231426B2 (ja) * 1992-10-28 2001-11-19 富士通株式会社 水素プラズマダウンフロー処理方法及び水素プラズマダウンフロー処理装置
JPH06204191A (ja) 1992-11-10 1994-07-22 Sony Corp 金属プラグ形成後の表面処理方法
US5409543A (en) * 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5336366A (en) * 1993-04-05 1994-08-09 Vlsi Technology, Inc. New dry etch technique
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5384465A (en) 1993-09-17 1995-01-24 Applied Materials, Inc. Spectrum analyzer in an ion implanter
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451263A (en) * 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
IT1271636B (it) 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
US5698469A (en) * 1994-09-26 1997-12-16 Endgate Corporation Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections
JP3339200B2 (ja) 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
JP2809113B2 (ja) * 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
JPH08186085A (ja) * 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5565681A (en) 1995-03-23 1996-10-15 Applied Materials, Inc. Ion energy analyzer with an electrically controlled geometric filter
US5720097A (en) * 1995-04-07 1998-02-24 Axis Usa, Inc. Apparatus for inserting insulators
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP3862305B2 (ja) * 1995-10-23 2006-12-27 松下電器産業株式会社 不純物の導入方法及びその装置、並びに半導体装置の製造方法
BE1009839A3 (fr) 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
US5904571A (en) * 1996-06-28 1999-05-18 Lam Research Corp. Methods and apparatus for reducing charging during plasma processing
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5801098A (en) * 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
GB2319532B (en) * 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
GB2319533B (en) * 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
JPH1116912A (ja) 1997-06-25 1999-01-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6130436A (en) 1998-06-02 2000-10-10 Varian Semiconductor Equipment Associates, Inc. Acceleration and analysis architecture for ion implanter
US6148832A (en) * 1998-09-02 2000-11-21 Advanced Micro Devices, Inc. Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces
US6511575B1 (en) * 1998-11-12 2003-01-28 Canon Kabushiki Kaisha Treatment apparatus and method utilizing negative hydrogen ion
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6326794B1 (en) * 1999-01-14 2001-12-04 International Business Machines Corporation Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
GB2347686B (en) * 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
US6251775B1 (en) * 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6504159B1 (en) 1999-09-14 2003-01-07 International Business Machines Corporation SOI plasma source ion implantation
US6555832B1 (en) 1999-10-13 2003-04-29 Applied Materials, Inc. Determining beam alignment in ion implantation using Rutherford Back Scattering
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6710358B1 (en) 2000-02-25 2004-03-23 Advanced Ion Beam Technology, Inc. Apparatus and method for reducing energy contamination of low energy ion beams
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6639227B1 (en) 2000-10-18 2003-10-28 Applied Materials, Inc. Apparatus and method for charged particle filtering and ion implantation
KR100404956B1 (ko) * 2001-01-08 2003-11-10 (주)에이피엘 반도체 집적소자 제조공정 및 장치
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
JP2004022902A (ja) 2002-06-18 2004-01-22 Fujitsu Ltd 半導体装置の製造方法
US6758949B2 (en) 2002-09-10 2004-07-06 Applied Materials, Inc. Magnetically confined metal plasma sputter source with magnetic control of ion and neutral densities
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7189980B2 (en) 2003-05-09 2007-03-13 Varian Semiconductor Equipment Associates, Inc. Methods and systems for optimizing ion implantation uniformity control
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7235795B2 (en) 2004-08-12 2007-06-26 Applied Materials, Inc. Semiconductor device manufacturing apparatus and a method of controlling a semiconductor device manufacturing process
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63224233A (ja) * 1987-03-13 1988-09-19 Science & Tech Agency 表面処理方法
JPH01290224A (ja) * 1988-05-18 1989-11-22 Fujitsu Ltd 表面平坦化処理工程をもつ半導体装置の製造方法
JPH04206719A (ja) * 1990-11-30 1992-07-28 Tokyo Electron Ltd 基板処理装置および基板処理方法
JPH05215064A (ja) * 1992-02-04 1993-08-24 Nec Corp イオンエンジン
JPH0837176A (ja) * 1994-07-25 1996-02-06 Fujitsu Ltd 水素プラズマダウンフロー装置の洗浄方法および半導体装置の製造方法
JPH0982689A (ja) * 1995-09-19 1997-03-28 Toshiba Corp プラズマ処理装置およびその方法
JPH1022279A (ja) * 1996-07-02 1998-01-23 Toshiba Mach Co Ltd 誘導結合型プラズマcvd装置
JP2002505804A (ja) * 1997-01-31 2002-02-19 東京エレクトロン株式会社 高アスペクト比を持つ珪素半導体デバイス接点を金属化する方法及び装置
JP2001500322A (ja) * 1997-07-02 2001-01-09 トーキョー エレクトロン アリゾナ インコーポレイテッド 均一でかつ与える損傷が少なくかつ異方的な処理のための装置と方法
JP2002500276A (ja) * 1997-12-30 2002-01-08 アプライド マテリアルズ インコーポレイテッド サブクオーターミクロン適用のための、メタライゼーションに先立つ予備洗浄方法
JP2000150479A (ja) * 1998-11-12 2000-05-30 Canon Inc プラズマ処理装置及び処理方法
JP2001203194A (ja) * 1999-09-02 2001-07-27 Applied Materials Inc 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法
JP2002289596A (ja) * 2001-01-08 2002-10-04 Apl Co Ltd プラズマを利用した表面処理装置及び方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016076716A (ja) * 2007-05-30 2016-05-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板洗浄チャンバ及び構成部品
JP2009256747A (ja) * 2008-04-18 2009-11-05 Canon Anelva Corp マグネトロンスパッタリング装置及び薄膜の製造法
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法

Also Published As

Publication number Publication date
KR101127294B1 (ko) 2012-03-30
KR20120068982A (ko) 2012-06-27
CN1762039A (zh) 2006-04-19
KR20110079859A (ko) 2011-07-08
WO2004074932A3 (en) 2004-10-21
US7604708B2 (en) 2009-10-20
CN101457338B (zh) 2011-04-27
KR101352995B1 (ko) 2014-01-21
JP2011082536A (ja) 2011-04-21
JP2012199576A (ja) 2012-10-18
CN100468611C (zh) 2009-03-11
JP4673290B2 (ja) 2011-04-20
JP5542172B2 (ja) 2014-07-09
US20040219789A1 (en) 2004-11-04
CN101457338A (zh) 2009-06-17
KR20050101339A (ko) 2005-10-21
WO2004074932A2 (en) 2004-09-02
JP5061231B2 (ja) 2012-10-31
KR101276694B1 (ko) 2013-06-19

Similar Documents

Publication Publication Date Title
JP5542172B2 (ja) 水素含有ラジカルによる未変性酸化物の洗浄
US7464717B2 (en) Method for cleaning a CVD chamber
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
JP4801045B2 (ja) ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法
KR101226297B1 (ko) 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법
US7887637B2 (en) Method for cleaning treatment chamber in substrate treating apparatus and method for detecting endpoint of cleaning
US6852242B2 (en) Cleaning of multicompositional etchant residues
WO2003008955A1 (en) Monitoring process for oxide removal
JP2003535458A (ja) 加工物のプラズマクリーニング方法とその装置
US7097716B2 (en) Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
US6814814B2 (en) Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
JP4716566B2 (ja) 基板上の銅酸化物を還元するプラズマ加工チャンバ、及び、その方法
JP2008124460A (ja) レジスト除去及びレジスト下のフィーチャのファセット制御のためのプラズマ

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090120

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090420

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090427

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090520

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090915

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20091215

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20091222

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20100115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100713

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101012

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101115

TRDD Decision of grant or rejection written
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101214

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101221

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110120

R150 Certificate of patent or registration of utility model

Ref document number: 4673290

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140128

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250