JP2011082536A - 水素含有ラジカルによる未変性酸化物の洗浄 - Google Patents
水素含有ラジカルによる未変性酸化物の洗浄 Download PDFInfo
- Publication number
- JP2011082536A JP2011082536A JP2010255015A JP2010255015A JP2011082536A JP 2011082536 A JP2011082536 A JP 2011082536A JP 2010255015 A JP2010255015 A JP 2010255015A JP 2010255015 A JP2010255015 A JP 2010255015A JP 2011082536 A JP2011082536 A JP 2011082536A
- Authority
- JP
- Japan
- Prior art keywords
- gas
- substrate
- cleaning
- hydrogen
- chamber
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000004140 cleaning Methods 0.000 title claims abstract description 206
- 229910052739 hydrogen Inorganic materials 0.000 title claims abstract description 103
- 239000001257 hydrogen Substances 0.000 title claims abstract description 101
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 title claims abstract description 92
- 239000007789 gas Substances 0.000 claims abstract description 315
- 239000000758 substrate Substances 0.000 claims abstract description 233
- 238000000034 method Methods 0.000 claims abstract description 183
- 230000008569 process Effects 0.000 claims abstract description 158
- 239000004020 conductor Substances 0.000 claims abstract description 63
- 229910052751 metal Inorganic materials 0.000 claims abstract description 48
- 239000002184 metal Substances 0.000 claims abstract description 48
- 239000003989 dielectric material Substances 0.000 claims abstract description 9
- 230000005284 excitation Effects 0.000 claims description 55
- 238000010438 heat treatment Methods 0.000 claims description 52
- 239000000463 material Substances 0.000 claims description 39
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 23
- 239000010453 quartz Substances 0.000 claims description 20
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 12
- 229910052799 carbon Inorganic materials 0.000 claims description 12
- 238000001914 filtration Methods 0.000 claims description 9
- 230000008878 coupling Effects 0.000 claims description 6
- 238000010168 coupling process Methods 0.000 claims description 6
- 238000005859 coupling reaction Methods 0.000 claims description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 4
- 239000013626 chemical specie Substances 0.000 abstract 4
- 150000003254 radicals Chemical class 0.000 description 63
- 150000002500 ions Chemical class 0.000 description 38
- 230000008859 change Effects 0.000 description 25
- 238000009826 distribution Methods 0.000 description 22
- 238000012546 transfer Methods 0.000 description 21
- 238000004544 sputter deposition Methods 0.000 description 20
- 238000000151 deposition Methods 0.000 description 19
- 238000012545 processing Methods 0.000 description 16
- -1 argon ions Chemical class 0.000 description 15
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 14
- 229910052802 copper Inorganic materials 0.000 description 14
- 239000010949 copper Substances 0.000 description 14
- 229910052760 oxygen Inorganic materials 0.000 description 13
- 230000008021 deposition Effects 0.000 description 11
- 230000001965 increasing effect Effects 0.000 description 11
- 239000010408 film Substances 0.000 description 10
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 230000000694 effects Effects 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 5
- YZCKVEUIGOORGS-IGMARMGPSA-N Protium Chemical compound [1H] YZCKVEUIGOORGS-IGMARMGPSA-N 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 238000002310 reflectometry Methods 0.000 description 5
- 239000000523 sample Substances 0.000 description 5
- 238000005477 sputtering target Methods 0.000 description 5
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 5
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 4
- 230000002411 adverse Effects 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 4
- 239000006227 byproduct Substances 0.000 description 4
- 238000011109 contamination Methods 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000003990 capacitor Substances 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 230000006870 function Effects 0.000 description 3
- 229910052734 helium Inorganic materials 0.000 description 3
- 238000012544 monitoring process Methods 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 239000010936 titanium Substances 0.000 description 3
- 229910052719 titanium Inorganic materials 0.000 description 3
- 230000032258 transport Effects 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- 239000010937 tungsten Substances 0.000 description 3
- 235000012431 wafers Nutrition 0.000 description 3
- 238000005406 washing Methods 0.000 description 3
- 239000002912 waste gas Substances 0.000 description 3
- 239000002699 waste material Substances 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 230000007423 decrease Effects 0.000 description 2
- 239000010432 diamond Substances 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 150000002431 hydrogen Chemical class 0.000 description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 2
- GPRLSGONYQIRFK-UHFFFAOYSA-N hydron Chemical compound [H+] GPRLSGONYQIRFK-UHFFFAOYSA-N 0.000 description 2
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000012528 membrane Substances 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229920000642 polymer Polymers 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000005215 recombination Methods 0.000 description 2
- 230000006798 recombination Effects 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 238000007789 sealing Methods 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 1
- 239000005751 Copper oxide Substances 0.000 description 1
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 1
- 238000003070 Statistical process control Methods 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 239000012190 activator Substances 0.000 description 1
- 229910045601 alloy Inorganic materials 0.000 description 1
- 239000000956 alloy Substances 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 239000012298 atmosphere Substances 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000002925 chemical effect Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 239000000356 contaminant Substances 0.000 description 1
- 229910000431 copper oxide Inorganic materials 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000011157 data evaluation Methods 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000009713 electroplating Methods 0.000 description 1
- 238000000295 emission spectrum Methods 0.000 description 1
- 238000011156 evaluation Methods 0.000 description 1
- 238000011049 filling Methods 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 229910052987 metal hydride Inorganic materials 0.000 description 1
- 150000004681 metal hydrides Chemical class 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 239000011733 molybdenum Substances 0.000 description 1
- 239000006199 nebulizer Substances 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 229910000623 nickel–chromium alloy Inorganic materials 0.000 description 1
- 150000002831 nitrogen free-radicals Chemical class 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 238000009428 plumbing Methods 0.000 description 1
- 239000010909 process residue Substances 0.000 description 1
- 230000001172 regenerating effect Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- 239000013077 target material Substances 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000007669 thermal treatment Methods 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 238000013396 workstream Methods 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/02—Pretreatment of the material to be coated
- C23C14/021—Cleaning or etching treatments
- C23C14/022—Cleaning or etching treatments by means of bombardment with energetic particles or radiation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32357—Generation remote from the workpiece, e.g. down-stream
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32853—Hygiene
- H01J37/32871—Means for trapping or directing unwanted particles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67028—Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02043—Cleaning before device manufacture, i.e. Begin-Of-Line process
- H01L21/02046—Dry cleaning only
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Health & Medical Sciences (AREA)
- Epidemiology (AREA)
- Public Health (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Cleaning Or Drying Semiconductors (AREA)
- Drying Of Semiconductors (AREA)
- Physical Vapour Deposition (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Cleaning In General (AREA)
Abstract
【解決手段】イオン水素含有化学種とラジカル水素含有化学種との第一比率を有する活性ガスを形成するために水素含有ガスを遠隔励起するリモートソース35を有し、基板10の支持体110と、遠隔励起ガスをろ過して、イオン水素含有化学種とラジカル水素含有化学種の第二比率を有し、第二比率が前記第一比率と異なる、ろ過された励起ガスを形成するイオンフィルタ50と、チャンバ106aのプロセスゾーン108にろ過された励起ガスを導入するガス分配器70とを備える。
【選択図】図2A
Description
以下の実施例は、励起された水素含有ラジカルによって得られた改善された洗浄結果を示すものである。実施例においては、基板10は、その上に低k誘電体層が形成された銅導体16を備えたものである。銅導体16は、低k誘電体層18における特徴部20まで露出したその上に酸化銅12の層を有した。誘電体層のk値の変化は、Hgプローブを用いて測定した。
本実施例は、異なる厚さの低k誘電体に対する従来の洗浄プロセスによるk値に対する影響を示すものである。従来の洗浄プロセスは、プロセスゾーンにおいて95%のHeと5%のH2を含む洗浄ガスを供給すること;プロセスゾーンにおいて洗浄ガスに450ワットのRF電力レベルを誘導結合させること;チャンバ圧を80mTorrに維持すること;10ワットのバイアス電力レベルを印加すること含んだものである。基板10を洗浄ガスに40秒間晒した。以下の表1は、低k誘電体層の厚さの関数としてk値の変化を示すものである。
実施例2は、洗浄プロセスに対する種々のプロセスパラメータの影響を示すものである。これらの実施例においては、出発低k誘電体層の厚さが1500オングストロームである基板10は、励起洗浄ガスがプロセスゾーンで形成され且つ洗浄ガスがイオン数を減少させるようにろ過されなかった従来の洗浄プロセスに供された。基板バイアス電力レベル、ガス励起電力レベル、ガス圧を含む種々のプロセスパラメータで多因子試験を行った。図6は、多因子条件下で処理された基板10の低k誘電体層の厚さの変化(Δt)とk値への変化(Δk)を示している。
本実施例においては、洗浄ガス組成が異なる従来の洗浄プロセスから生じるk値の変化を評価した。基板10は、厚さが1500オングストロームの低k誘電体層18を含むプロセスで洗浄した。洗浄プロセスにおいては、表2に挙げた洗浄ガスをプロセスゾーンにおいてガスをエネルギーに結合することによって励起させた。第一洗浄プロセスにおいては、基板バイアス電力レベルは300ワット、ガス圧は0.5mTorrとした。第二洗浄プロセスにおいては、基板バイアス電力レベルは10ワット、ガス圧は80mTorrとした。低k誘電体層のk値の変化パーセントは、各洗浄プロセス後に測定した。洗浄プロセスの結果を、以下表2に示す。
本実施例においては、多数の水素ラジカル含有種を供給して基板10から金属酸化物を洗浄することによる比較的穏やかな洗浄プロセスにより基板10を洗浄した。比較的穏やかな洗浄プロセスは、遠隔ゾーンにおいて励起された水素含有ガスを形成し、ガスにおけるイオン種の数を減少させるとともに多くの水素ラジカル含有化学種を供給するために石英表面60上に励起ガスを通すことにより励起ガスをろ過することを必要とした。基板10は、厚さが1500オングストロームの低k誘電体層18を備えたプロセスで洗浄した。洗浄ガスは3000sccmのH2を含み、洗浄プロセスは以下の表3に挙げたガス励起電力レベルと温度で40秒間行った。誘電体層18のk値の変化を測定し、表3に示す。
本実施例は、水素含有ラジカル種を含む励起された洗浄ガスによる基板の洗浄において温度の重要性を示すものである。厚さが1500オングストロームの低k誘電体層を備えた基板10を、1400ワットのマイクロ波電力レベルを印加することによりリモートソース35において3000sccmのH2と30sccmのH2Oを含む洗浄ガスを励起することによって洗浄した。基板10の温度は、以下表4に示されるように、洗浄される各基板10について変化させた。k値を洗浄前後に測定し、k値の変化パーセントを求めた。k値は、洗浄後約3であった。ウェハとウェハ間の変化によるノイズや洗浄される基板が比較的少ないことから、測定されたk値の変化が実際の変化より大きくなり、上記表3に示されたものより大きくなることは留意すべきである。しかしながら、表4は温度の増加によるk値の増加の一般傾向を示している。
本実施例は、熱処理ステップを行うことによって得ることができる改善された結果を示すものである。本実施例においては、H2ガスフローを供給しつつ、銅導体16と低k誘電体層18を備えている基板10を少なくとも約100℃の温度に基板を加熱することを含む熱処理プロセスで処理した。その後、熱処理基板10をろ過された水素含有ラジカル種を含む遠隔励起ガスによる洗浄プロセスにおいて洗浄して堆積物12を銅導体16の表面14から除去した。その後、洗浄された表面14上に窒化タンタル層を含む第二金属含有導体21を堆積させるために堆積プロセスを行った。銅と窒化タンタル相互接続特徴部20の電気抵抗について、図8におけるライン600に示されるように、基板10全体の特徴部20を測定した。
本実施例は、更に、ろ過された水素含有ラジカルを含む励起洗浄ガスによって得られた改善された洗浄結果を示すものである。炭素含有残留物のような堆積物12を洗浄する洗浄ガスの能力を求めるために、フォトレジスト層を含む基板10を、水素含有ラジカルを含む励起洗浄ガスに晒した。これらの基板のフォトレジスト除去速度を測定し、従来の洗浄ガスに晒された層フォトレジストを有する基板10のフォトレジスト除去速度と比較した。図9は、バー700に示される水素含有ラジカルを含む励起洗浄ガスのフォトレジスト除去速度と、バー702に示される従来の洗浄ガスのフォトレジスト除去速度を示している。図9が示すように、フォトレジスト除去速度は、ろ過された水素含有ラジカルを含む励起洗浄ガスにより約3倍速いので、この洗浄ガスは従来の洗浄ガスより洗浄結果を著しく改善する。
本実施例は、また、ろ過された水素含有ラジカルを含む励起洗浄ガスによって得られた改善された洗浄結果を示すものである。本実施例においては、図10のライン704で示されるように、その上に未変性酸化物膜12を備えた金属含有導体16を有する基板10の反射率を測定した。その後、水素含有ラジカルを含む励起洗浄ガスに基板10を晒すことにより基板10を洗浄し、洗浄された基板10の反射率を図10のライン706に示されるように測定した。洗浄された基板10の反射率は基板10全体で約120%に近く、洗浄されていない基板10の約40%の比較的低い反射率に比較して、未変性酸化物12のほぼ完全な除去が示された。従って、ろ過された水素含有ラジカルを含む励起洗浄ガスによる洗浄によって、基板10上の金属含有導体16の表面の良好な洗浄が得られる。
本実施例においては、ろ過された水素含有ラジカルを含む励起洗浄ガスにより洗浄された特徴部20の抵抗減少を示したものである。改善された抵抗を示すために、金属含有導体16を備えた特徴部20を有する基板を、続いての金属含有導体を堆積し複数の特徴部20を接続した相互接続構造を形成する前に、水素含有ラジカルを含むガスで洗浄した。その後、オーム/構造の相互接続構造の抵抗を試験し、図11のライン708で示されるように、測定された抵抗値と測定された抵抗を有する相互接続構造のパーセントをグラフにした。洗浄ステップを含まずに形成された相互接続特徴部の抵抗を測定し、図11のライン709としてグラフにした。このように、ろ過された水素含有ラジカルを含む励起洗浄ガスによる洗浄プロセスによって、洗浄せずに形成された相互接続構造より抵抗が低い相互接続構造の割合が高くなった。
本実施例においては、キャパシタンスに対するろ過された水素含有ラジカルを含む励起洗浄ガスによる洗浄プロセスの影響を測定した。その上に続いての金属含有導体を堆積して相互接続構造を形成する前に、金属含有導体16を有する基板10を洗浄して堆積物を除去した。その後、図12のライン710で示されるように、処理された基板によって形成されたキャパシタのキャパシタンスを測定した。図12のライン712で示されるように、洗浄結果を、洗浄ステップを含めずに処理された基板上のキャパシタのキャパシタンスと比較した。このように、図12が示すように、ろ過された水素含有ラジカルを含む励起洗浄ガスによる洗浄プロセスは、基板10上の構造のキャパシタンスをほとんど変化させない。従って、誘電体層のk値に対する洗浄プロセスの影響は、望ましく最少であると考えられる。
12 堆積物
14 表面
16 導体
18 低k誘電体層
20 特徴部
30 リモートゾーン
35 リモートソース
40 リモートチャンバ
50 イオンフィルタ
52 グリッド
54 開口
62 コンジット
70 ガス分配器
72 ガス分配プレート
100 プロセスチャンバ
102 装置
106 チャンバ
108 プロセスゾーン
110 基板支持体
117 搬送チャンバ
119 ロボット
128 シールド
129 流出口
130 ガス供給源
140 温度制御システム
142 ヒータ
144 排気システム
168 排気システム
170 排気ポート
174 スロットルバルブ
211 ターゲット
Claims (14)
- 基板洗浄方法であって、
(a)プロセスゾーン内に、炭素含有材料を有するk値が3未満の低k誘電体層によって取り囲まれた金属含有表面を備える基板を配置するステップと、
(b)リモートゾーンにおいて、少なくとも80%容積のH2を含む洗浄ガスにエネルギーを結合してイオン水素含有化学種とラジカル水素含有化学種との第一比率を含んでいる励起ガスを形成するステップと、
(c)該励起ガスをろ過してイオン水素含有化学種とラジカル水素含有化学種との第二比率を有するろ過された励起ガスを形成し、該第二比率が該第一比率と異なっているステップと、
(d)該ろ過された励起ガスを該プロセスゾーンに導入して、該基板上の該低k誘電体層の損傷を減少させつつ該基板を洗浄するステップと、
を含む、前記方法。 - (c)が該励起ガスをろ過してろ過された励起ガスを形成し、イオン水素含有化学種とラジカル水素含有化学種との該第二比率が該第一比率より低いステップを含んでいる、請求項1記載の方法。
- 該第一比率が該第二比率の値の少なくとも約100倍である、請求項1記載の方法。
- (c)が(i)該リモートゾーンと該プロセスゾーン間に電気的に接地されたグリッドを維持する工程と、(ii)該イオン水素含有化学種の数を減少させることができる石英表面全体に該励起ガスを通す工程の少なくとも1つを含んでいる、請求項1記載の方法。
- (b)において、該洗浄ガスがH2Oを含んでいる、請求項1記載の方法。
- (b)において、該洗浄ガスが窒素含有ガスを含んでいる、請求項1記載の方法。
- (b)において、該洗浄ガスがNH3を含んでいる、請求項1記載の方法。
- (b)において、該洗浄ガスが約80%〜約100%容積のH2と約1%〜約20%容積のH2Oを含んでいる、請求項1記載の方法。
- (b)において、該洗浄ガスが約80%〜約100%容積のH2と、約1%〜約20%容積のH2Oと、約1%〜約20%のNH3とを含んでいる、請求項1記載の方法。
- (d)が該基板の下の電極に約100ワット未満のバイアス電力レベルを印加する工程を更に含んでいる、請求項1記載の方法。
- 該基板の温度を約150℃〜約350℃に維持するステップを更に含んでいる、請求項1記載の方法。
- 下にある金属含有導体を露出する特徴部がその中に形成され、炭素含有材料を有するk値が3未満の低k誘電材料を含む基板を洗浄する方法であって、
(a)熱処理ステップにおいて、少なくとも約100℃の該基板の温度を維持しつつ該基板を水素含有ガスに晒すステップと、
(b)洗浄ステップにおいて、該基板をろ過された遠隔励起ガスに晒して該基板を洗浄するステップであって、該ろ過された遠隔励起ガスがラジカル水素含有化学種を含んでいる、前記ステップと、
を含み、該ろ過された遠隔励起ガスは、
(i)リモートゾーンにおいて少なくとも80%容積のH2を含む洗浄ガスにエネルギーを結合して遠隔励起ガスを形成する工程であって、該遠隔励起ガスがイオン水素含有化学種とラジカル水素含有化学種との第一比率を含んでいる、前記工程と、
(ii)該遠隔励起ガスをろ過してイオン水素含有化学種とラジカル水素含有化学種との第二比率を有するろ過された励起ガスを形成する工程であって、該第二比率が該第一比率より低い、前記工程と、
(iii)該基板を該ろ過された励起ガスに晒して、該基板上の炭素を含有する該低k誘電材料の損傷を減少させつつ該基板を洗浄する工程と、
により形成される、前記方法。 - (a)が約150℃〜約350℃の基板の温度を維持する工程を含んでいる、請求項12記載の方法。
- (a)が約100sccm〜毎分約5リットルの容量流量でプロセスゾーンにH2フローを供給する工程と、約30Torr未満のプロセスゾーンの圧力を維持する工程を含んでいる、請求項12記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US44737203P | 2003-02-14 | 2003-02-14 | |
US60/447,372 | 2003-02-14 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006503556A Division JP4673290B2 (ja) | 2003-02-14 | 2004-02-12 | 水素含有ラジカルによる未変性酸化物の洗浄 |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012127100A Division JP5542172B2 (ja) | 2003-02-14 | 2012-06-04 | 水素含有ラジカルによる未変性酸化物の洗浄 |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2011082536A true JP2011082536A (ja) | 2011-04-21 |
JP2011082536A5 JP2011082536A5 (ja) | 2011-07-28 |
JP5061231B2 JP5061231B2 (ja) | 2012-10-31 |
Family
ID=32908430
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006503556A Expired - Lifetime JP4673290B2 (ja) | 2003-02-14 | 2004-02-12 | 水素含有ラジカルによる未変性酸化物の洗浄 |
JP2010255015A Expired - Fee Related JP5061231B2 (ja) | 2003-02-14 | 2010-11-15 | 水素含有ラジカルによる未変性酸化物の洗浄 |
JP2012127100A Expired - Fee Related JP5542172B2 (ja) | 2003-02-14 | 2012-06-04 | 水素含有ラジカルによる未変性酸化物の洗浄 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2006503556A Expired - Lifetime JP4673290B2 (ja) | 2003-02-14 | 2004-02-12 | 水素含有ラジカルによる未変性酸化物の洗浄 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2012127100A Expired - Fee Related JP5542172B2 (ja) | 2003-02-14 | 2012-06-04 | 水素含有ラジカルによる未変性酸化物の洗浄 |
Country Status (5)
Country | Link |
---|---|
US (1) | US7604708B2 (ja) |
JP (3) | JP4673290B2 (ja) |
KR (3) | KR101352995B1 (ja) |
CN (2) | CN101457338B (ja) |
WO (1) | WO2004074932A2 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2013232650A (ja) * | 2012-04-30 | 2013-11-14 | Semes Co Ltd | 基板洗浄装置及び基板洗浄方法 |
KR20140029289A (ko) | 2012-08-30 | 2014-03-10 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법 |
JP2021501986A (ja) * | 2017-09-29 | 2021-01-21 | 東京エレクトロン株式会社 | 基板に流体をコーティングする方法及びシステム |
Families Citing this family (227)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101352995B1 (ko) * | 2003-02-14 | 2014-01-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 수소-함유 라디칼을 이용한 자연 산화물 세정 |
TWI233168B (en) * | 2003-09-01 | 2005-05-21 | Macronix Int Co Ltd | Method of cleaning surface of wafer by hydroxyl radical of deionized water |
US7095179B2 (en) * | 2004-02-22 | 2006-08-22 | Zond, Inc. | Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US20070123051A1 (en) | 2004-02-26 | 2007-05-31 | Reza Arghavani | Oxide etch with nh4-nf3 chemistry |
US7780793B2 (en) | 2004-02-26 | 2010-08-24 | Applied Materials, Inc. | Passivation layer formation by plasma clean process to reduce native oxide growth |
JP4032058B2 (ja) | 2004-07-06 | 2008-01-16 | 富士通株式会社 | 半導体装置および半導体装置の製造方法 |
US20060016783A1 (en) * | 2004-07-22 | 2006-01-26 | Dingjun Wu | Process for titanium nitride removal |
US20060130971A1 (en) * | 2004-12-21 | 2006-06-22 | Applied Materials, Inc. | Apparatus for generating plasma by RF power |
US20070272270A1 (en) * | 2004-12-27 | 2007-11-29 | Kun-Yuan Liao | Single-wafer cleaning procedure |
US20060137711A1 (en) * | 2004-12-27 | 2006-06-29 | Kun-Yuan Liao | Single-wafer cleaning procedure |
US7144808B1 (en) * | 2005-06-13 | 2006-12-05 | Texas Instruments Incorporated | Integration flow to prevent delamination from copper |
US8617672B2 (en) | 2005-07-13 | 2013-12-31 | Applied Materials, Inc. | Localized surface annealing of components for substrate processing chambers |
US7479457B2 (en) * | 2005-09-08 | 2009-01-20 | Lam Research Corporation | Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof |
US8317929B2 (en) * | 2005-09-16 | 2012-11-27 | Asml Netherlands B.V. | Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus |
US7704887B2 (en) * | 2005-11-22 | 2010-04-27 | Applied Materials, Inc. | Remote plasma pre-clean with low hydrogen pressure |
US7695567B2 (en) * | 2006-02-10 | 2010-04-13 | Applied Materials, Inc. | Water vapor passivation of a wall facing a plasma |
US7799138B2 (en) * | 2006-06-22 | 2010-09-21 | Hitachi Global Storage Technologies Netherlands | In-situ method to reduce particle contamination in a vacuum plasma processing tool |
US7981262B2 (en) | 2007-01-29 | 2011-07-19 | Applied Materials, Inc. | Process kit for substrate processing chamber |
US9157152B2 (en) * | 2007-03-29 | 2015-10-13 | Tokyo Electron Limited | Vapor deposition system |
JP5554469B2 (ja) * | 2007-05-14 | 2014-07-23 | 東京エレクトロン株式会社 | 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置 |
KR101157938B1 (ko) * | 2007-05-15 | 2012-06-22 | 캐논 아네르바 가부시키가이샤 | 반도체 소자 제조 방법 |
US7942969B2 (en) | 2007-05-30 | 2011-05-17 | Applied Materials, Inc. | Substrate cleaning chamber and components |
JP2009010043A (ja) * | 2007-06-26 | 2009-01-15 | Tokyo Electron Ltd | 基板処理方法,基板処理装置,記録媒体 |
JP2009016453A (ja) * | 2007-07-02 | 2009-01-22 | Tokyo Electron Ltd | プラズマ処理装置 |
US20090078675A1 (en) * | 2007-09-26 | 2009-03-26 | Silverbrook Research Pty Ltd | Method of removing photoresist |
US8609545B2 (en) | 2008-02-14 | 2013-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method to improve mask critical dimension uniformity (CDU) |
US20090258487A1 (en) * | 2008-04-14 | 2009-10-15 | Keng-Chu Lin | Method for Improving the Reliability of Low-k Dielectric Materials |
JP2009256747A (ja) * | 2008-04-18 | 2009-11-05 | Canon Anelva Corp | マグネトロンスパッタリング装置及び薄膜の製造法 |
US20090269507A1 (en) * | 2008-04-29 | 2009-10-29 | Sang-Ho Yu | Selective cobalt deposition on copper surfaces |
US8138076B2 (en) * | 2008-05-12 | 2012-03-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | MOSFETs having stacked metal gate electrodes and method |
US20100025370A1 (en) * | 2008-08-04 | 2010-02-04 | Applied Materials, Inc. | Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method |
US20100130017A1 (en) * | 2008-11-21 | 2010-05-27 | Axcelis Technologies, Inc. | Front end of line plasma mediated ashing processes and apparatus |
US20100270262A1 (en) * | 2009-04-22 | 2010-10-28 | Applied Materials, Inc. | Etching low-k dielectric or removing resist with a filtered ionized gas |
US9324576B2 (en) | 2010-05-27 | 2016-04-26 | Applied Materials, Inc. | Selective etch for silicon films |
JP5698043B2 (ja) * | 2010-08-04 | 2015-04-08 | 株式会社ニューフレアテクノロジー | 半導体製造装置 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8771539B2 (en) | 2011-02-22 | 2014-07-08 | Applied Materials, Inc. | Remotely-excited fluorine and water vapor etch |
SG192967A1 (en) * | 2011-03-04 | 2013-09-30 | Novellus Systems Inc | Hybrid ceramic showerhead |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US8771536B2 (en) | 2011-08-01 | 2014-07-08 | Applied Materials, Inc. | Dry-etch for silicon-and-carbon-containing films |
US8679982B2 (en) | 2011-08-26 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and oxygen |
US8679983B2 (en) | 2011-09-01 | 2014-03-25 | Applied Materials, Inc. | Selective suppression of dry-etch rate of materials containing both silicon and nitrogen |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
WO2013070436A1 (en) | 2011-11-08 | 2013-05-16 | Applied Materials, Inc. | Methods of reducing substrate dislocation during gapfill processing |
CN104025264B (zh) * | 2011-12-23 | 2017-09-12 | 应用材料公司 | 用原子氢清洁基板表面的方法和设备 |
CN104136368B (zh) * | 2012-02-24 | 2017-02-22 | 加州理工学院 | 用于石墨烯形成的方法和系统 |
US9082618B2 (en) * | 2012-03-02 | 2015-07-14 | Japan Science And Technology Agency | Method of forming a conductive film |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9034770B2 (en) | 2012-09-17 | 2015-05-19 | Applied Materials, Inc. | Differential silicon oxide etch |
US9023734B2 (en) | 2012-09-18 | 2015-05-05 | Applied Materials, Inc. | Radical-component oxide etch |
US9390937B2 (en) | 2012-09-20 | 2016-07-12 | Applied Materials, Inc. | Silicon-carbon-nitride selective etch |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US8765574B2 (en) | 2012-11-09 | 2014-07-01 | Applied Materials, Inc. | Dry etch process |
US8969212B2 (en) | 2012-11-20 | 2015-03-03 | Applied Materials, Inc. | Dry-etch selectivity |
US8980763B2 (en) | 2012-11-30 | 2015-03-17 | Applied Materials, Inc. | Dry-etch for selective tungsten removal |
US9064816B2 (en) | 2012-11-30 | 2015-06-23 | Applied Materials, Inc. | Dry-etch for selective oxidation removal |
US9111877B2 (en) | 2012-12-18 | 2015-08-18 | Applied Materials, Inc. | Non-local plasma oxide etch |
US20140179110A1 (en) * | 2012-12-21 | 2014-06-26 | Applied Materials, Inc. | Methods and apparatus for processing germanium containing material, a iii-v compound containing material, or a ii-vi compound containing material disposed on a substrate using a hot wire source |
US8921234B2 (en) | 2012-12-21 | 2014-12-30 | Applied Materials, Inc. | Selective titanium nitride etching |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9040422B2 (en) | 2013-03-05 | 2015-05-26 | Applied Materials, Inc. | Selective titanium nitride removal |
US8801952B1 (en) | 2013-03-07 | 2014-08-12 | Applied Materials, Inc. | Conformal oxide dry etch |
US10170282B2 (en) | 2013-03-08 | 2019-01-01 | Applied Materials, Inc. | Insulated semiconductor faceplate designs |
US20140273525A1 (en) | 2013-03-13 | 2014-09-18 | Intermolecular, Inc. | Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films |
US20140262028A1 (en) * | 2013-03-13 | 2014-09-18 | Intermolecular, Inc. | Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9114438B2 (en) | 2013-05-21 | 2015-08-25 | Applied Materials, Inc. | Copper residue chamber clean |
US20150011025A1 (en) * | 2013-07-03 | 2015-01-08 | Tsmc Solar Ltd. | Enhanced selenium supply in copper indium gallium selenide processes |
US9493879B2 (en) | 2013-07-12 | 2016-11-15 | Applied Materials, Inc. | Selective sputtering for pattern transfer |
US9773648B2 (en) | 2013-08-30 | 2017-09-26 | Applied Materials, Inc. | Dual discharge modes operation for remote plasma |
CN104425289B (zh) * | 2013-09-11 | 2017-12-15 | 先进科技新加坡有限公司 | 利用激发的混合气体的晶粒安装装置和方法 |
US8956980B1 (en) | 2013-09-16 | 2015-02-17 | Applied Materials, Inc. | Selective etch of silicon nitride |
US8951429B1 (en) | 2013-10-29 | 2015-02-10 | Applied Materials, Inc. | Tungsten oxide processing |
US9236265B2 (en) | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
US9576809B2 (en) | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
US9520303B2 (en) | 2013-11-12 | 2016-12-13 | Applied Materials, Inc. | Aluminum selective etch |
US9245762B2 (en) | 2013-12-02 | 2016-01-26 | Applied Materials, Inc. | Procedure for etch rate consistency |
US9117855B2 (en) | 2013-12-04 | 2015-08-25 | Applied Materials, Inc. | Polarity control for remote plasma |
US9263278B2 (en) | 2013-12-17 | 2016-02-16 | Applied Materials, Inc. | Dopant etch selectivity control |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9190293B2 (en) | 2013-12-18 | 2015-11-17 | Applied Materials, Inc. | Even tungsten etch for high aspect ratio trenches |
RU2556433C1 (ru) * | 2013-12-26 | 2015-07-10 | Общество с ограниченной ответственностью ООО "Инновационно-промышленный комплекс "Беседы" | Способ реактивного магнетронного нанесения наноразмерного слоя оксида на подложку |
US9269585B2 (en) | 2014-01-10 | 2016-02-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for cleaning metal gate surface |
US9287134B2 (en) | 2014-01-17 | 2016-03-15 | Applied Materials, Inc. | Titanium oxide etch |
US9396989B2 (en) | 2014-01-27 | 2016-07-19 | Applied Materials, Inc. | Air gaps between copper lines |
US9293568B2 (en) | 2014-01-27 | 2016-03-22 | Applied Materials, Inc. | Method of fin patterning |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9499898B2 (en) | 2014-03-03 | 2016-11-22 | Applied Materials, Inc. | Layered thin film heater and method of fabrication |
US9396992B2 (en) * | 2014-03-04 | 2016-07-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method of using a barrier-seed tool for forming fine-pitched metal interconnects |
US9299575B2 (en) | 2014-03-17 | 2016-03-29 | Applied Materials, Inc. | Gas-phase tungsten etch |
US9299557B2 (en) | 2014-03-19 | 2016-03-29 | Asm Ip Holding B.V. | Plasma pre-clean module and process |
US10854472B2 (en) * | 2014-03-19 | 2020-12-01 | Globalfoundries Inc. | Method for forming a metal gate including de-oxidation of an oxidized surface of the metal gate utilizing a reducing agent |
US9299538B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9299537B2 (en) | 2014-03-20 | 2016-03-29 | Applied Materials, Inc. | Radial waveguide systems and methods for post-match control of microwaves |
US9136273B1 (en) | 2014-03-21 | 2015-09-15 | Applied Materials, Inc. | Flash gate air gap |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US10113236B2 (en) * | 2014-05-14 | 2018-10-30 | Applied Materials, Inc. | Batch curing chamber with gas distribution and individual pumping |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US9406523B2 (en) | 2014-06-19 | 2016-08-02 | Applied Materials, Inc. | Highly selective doped oxide removal method |
US9378969B2 (en) | 2014-06-19 | 2016-06-28 | Applied Materials, Inc. | Low temperature gas-phase carbon removal |
US20160013085A1 (en) * | 2014-07-10 | 2016-01-14 | Applied Materials, Inc. | In-Situ Acoustic Monitoring of Chemical Mechanical Polishing |
US9425058B2 (en) | 2014-07-24 | 2016-08-23 | Applied Materials, Inc. | Simplified litho-etch-litho-etch process |
US10410889B2 (en) * | 2014-07-25 | 2019-09-10 | Applied Materials, Inc. | Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors |
US9159606B1 (en) | 2014-07-31 | 2015-10-13 | Applied Materials, Inc. | Metal air gap |
US9496167B2 (en) | 2014-07-31 | 2016-11-15 | Applied Materials, Inc. | Integrated bit-line airgap formation and gate stack post clean |
US9378978B2 (en) | 2014-07-31 | 2016-06-28 | Applied Materials, Inc. | Integrated oxide recess and floating gate fin trimming |
US9165786B1 (en) | 2014-08-05 | 2015-10-20 | Applied Materials, Inc. | Integrated oxide and nitride recess for better channel contact in 3D architectures |
US20160042916A1 (en) * | 2014-08-06 | 2016-02-11 | Applied Materials, Inc. | Post-chamber abatement using upstream plasma sources |
US9659753B2 (en) | 2014-08-07 | 2017-05-23 | Applied Materials, Inc. | Grooved insulator to reduce leakage current |
US9553102B2 (en) | 2014-08-19 | 2017-01-24 | Applied Materials, Inc. | Tungsten separation |
US9355856B2 (en) | 2014-09-12 | 2016-05-31 | Applied Materials, Inc. | V trench dry etch |
US9368364B2 (en) | 2014-09-24 | 2016-06-14 | Applied Materials, Inc. | Silicon etch process with tunable selectivity to SiO2 and other materials |
US9355862B2 (en) | 2014-09-24 | 2016-05-31 | Applied Materials, Inc. | Fluorine-based hardmask removal |
US9613822B2 (en) | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US20160126134A1 (en) * | 2014-10-29 | 2016-05-05 | Applied Materials, Inc. | Systems and methods for removing contamination from seed layer surface |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US9299583B1 (en) | 2014-12-05 | 2016-03-29 | Applied Materials, Inc. | Aluminum oxide selective etch |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
CN104550133B (zh) * | 2014-12-11 | 2017-02-22 | 河北同光晶体有限公司 | 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法 |
US9502258B2 (en) | 2014-12-23 | 2016-11-22 | Applied Materials, Inc. | Anisotropic gap etch |
US9474163B2 (en) | 2014-12-30 | 2016-10-18 | Asm Ip Holding B.V. | Germanium oxide pre-clean module and process |
US9343272B1 (en) | 2015-01-08 | 2016-05-17 | Applied Materials, Inc. | Self-aligned process |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9373522B1 (en) | 2015-01-22 | 2016-06-21 | Applied Mateials, Inc. | Titanium nitride removal |
US9449846B2 (en) | 2015-01-28 | 2016-09-20 | Applied Materials, Inc. | Vertical gate separation |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US10373850B2 (en) | 2015-03-11 | 2019-08-06 | Asm Ip Holding B.V. | Pre-clean chamber and process with substrate tray for changing substrate temperature |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US9673042B2 (en) | 2015-09-01 | 2017-06-06 | Applied Materials, Inc. | Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers |
US20170170018A1 (en) * | 2015-12-14 | 2017-06-15 | Lam Research Corporation | Conformal doping using dopant gas on hydrogen plasma treated surface |
US9741584B1 (en) * | 2016-05-05 | 2017-08-22 | Lam Research Corporation | Densification of dielectric film using inductively coupled high density plasma |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
EP3285278A1 (en) * | 2016-08-16 | 2018-02-21 | FEI Company | Magnet used with a plasma cleaner |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9721789B1 (en) | 2016-10-04 | 2017-08-01 | Applied Materials, Inc. | Saving ion-damaged spacers |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
WO2018091888A1 (en) * | 2016-11-15 | 2018-05-24 | Oxford University Innovation Limited | Method and apparatus for applying atomic hydrogen to an object |
JP6869024B2 (ja) * | 2016-12-20 | 2021-05-12 | 東京エレクトロン株式会社 | パーティクル除去方法及び基板処理方法 |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10354883B2 (en) | 2017-10-03 | 2019-07-16 | Mattson Technology, Inc. | Surface treatment of silicon or silicon germanium surfaces using organic radicals |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10656539B2 (en) * | 2017-11-21 | 2020-05-19 | Taiwan Semiconductor Manufacturing Co., Ltd. | Radiation source for lithography process |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
CN112219266B (zh) | 2018-04-13 | 2024-06-25 | 玛特森技术公司 | 以使用烷基卤化物生成的反应性核素处理工件 |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10840082B2 (en) | 2018-08-09 | 2020-11-17 | Lam Research Corporation | Method to clean SnO2 film from chamber |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
WO2020081226A1 (en) | 2018-10-15 | 2020-04-23 | Mattson Technology, Inc. | Ozone for selective hydrophilic surface treatment |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN118471789A (zh) | 2018-11-16 | 2024-08-09 | 玛特森技术公司 | 腔室上光以通过减少化学成分改善刻蚀均匀性 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US10403492B1 (en) | 2018-12-11 | 2019-09-03 | Mattson Technology, Inc. | Integration of materials removal and surface treatment in semiconductor device fabrication |
WO2020131989A1 (en) * | 2018-12-21 | 2020-06-25 | Mattson Technology, Inc. | Surface smoothing of workpieces |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
DE102019205376A1 (de) * | 2019-04-15 | 2020-10-15 | Forschungszentrum Jülich | Herstellen eines ohmschen Kontakts sowie elektronisches Bauelement mit ohmschem Kontakt |
WO2020223326A1 (en) | 2019-04-30 | 2020-11-05 | Mattson Technology, Inc. | Selective deposition using methylation treatment |
JP7285152B2 (ja) * | 2019-07-08 | 2023-06-01 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20210123139A1 (en) * | 2019-10-29 | 2021-04-29 | Applied Materials, Inc. | Method and apparatus for low resistance contact interconnection |
CN111081524B (zh) * | 2019-12-31 | 2022-02-22 | 江苏鲁汶仪器有限公司 | 一种可旋转的法拉第清洗装置及等离子体处理系统 |
KR20230001280A (ko) * | 2021-06-28 | 2023-01-04 | 주식회사 원익아이피에스 | 챔버내부처리방법 및 기판처리방법 |
US20240290585A1 (en) * | 2023-02-23 | 2024-08-29 | Applied Materials, Inc. | Higher pressure purge for impurity reduction in radical treatment chamber |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63224233A (ja) * | 1987-03-13 | 1988-09-19 | Science & Tech Agency | 表面処理方法 |
JPH0837176A (ja) * | 1994-07-25 | 1996-02-06 | Fujitsu Ltd | 水素プラズマダウンフロー装置の洗浄方法および半導体装置の製造方法 |
JPH0982689A (ja) * | 1995-09-19 | 1997-03-28 | Toshiba Corp | プラズマ処理装置およびその方法 |
JP2001203194A (ja) * | 1999-09-02 | 2001-07-27 | Applied Materials Inc | 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法 |
Family Cites Families (99)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4138306A (en) * | 1976-08-31 | 1979-02-06 | Tokyo Shibaura Electric Co., Ltd. | Apparatus for the treatment of semiconductors |
US4563367A (en) * | 1984-05-29 | 1986-01-07 | Applied Materials, Inc. | Apparatus and method for high rate deposition and etching |
JPS62158859A (ja) | 1986-01-07 | 1987-07-14 | Sumitomo Electric Ind Ltd | 前処理方法 |
JPS62213126A (ja) * | 1986-03-13 | 1987-09-19 | Fujitsu Ltd | マイクロ波プラズマ処理装置 |
US5000113A (en) * | 1986-12-19 | 1991-03-19 | Applied Materials, Inc. | Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process |
US5158644A (en) * | 1986-12-19 | 1992-10-27 | Applied Materials, Inc. | Reactor chamber self-cleaning process |
US4913929A (en) * | 1987-04-21 | 1990-04-03 | The Board Of Trustees Of The Leland Stanford Junior University | Thermal/microwave remote plasma multiprocessing reactor and method of use |
DE3725358A1 (de) * | 1987-07-30 | 1989-02-09 | Telog Systems Gmbh | Vorrichtung und verfahren zur oberflaechenbehandlung von materialien |
JPH0732137B2 (ja) | 1988-02-29 | 1995-04-10 | 東京エレクトロン東北株式会社 | 熱処理炉 |
JPH01290224A (ja) * | 1988-05-18 | 1989-11-22 | Fujitsu Ltd | 表面平坦化処理工程をもつ半導体装置の製造方法 |
KR930004115B1 (ko) * | 1988-10-31 | 1993-05-20 | 후지쓰 가부시끼가이샤 | 애싱(ashing)처리방법 및 장치 |
JPH02125876A (ja) | 1988-11-01 | 1990-05-14 | Fujitsu Ltd | Cvd装置の排気機構 |
GB8905073D0 (en) * | 1989-03-06 | 1989-04-19 | Nordiko Ltd | Ion gun |
US5232872A (en) * | 1989-05-09 | 1993-08-03 | Fujitsu Limited | Method for manufacturing semiconductor device |
US4988644A (en) * | 1989-05-23 | 1991-01-29 | Texas Instruments Incorporated | Method for etching semiconductor materials using a remote plasma generator |
KR910016054A (ko) | 1990-02-23 | 1991-09-30 | 미다 가쓰시게 | 마이크로 전자 장치용 표면 처리 장치 및 그 방법 |
US5326794A (en) * | 1990-05-08 | 1994-07-05 | Industrial Technology Research Institute | Barbituric acid-modified bismaleimide with diamine and polyisocyanate-modified epoxy resin |
JP2646811B2 (ja) | 1990-07-13 | 1997-08-27 | ソニー株式会社 | ドライエッチング方法 |
US5079481A (en) * | 1990-08-02 | 1992-01-07 | Texas Instruments Incorporated | Plasma-assisted processing magneton with magnetic field adjustment |
JP2888258B2 (ja) * | 1990-11-30 | 1999-05-10 | 東京エレクトロン株式会社 | 基板処理装置および基板処理方法 |
JP3038953B2 (ja) | 1991-02-28 | 2000-05-08 | ソニー株式会社 | 配線形成方法 |
JPH05326452A (ja) | 1991-06-10 | 1993-12-10 | Kawasaki Steel Corp | プラズマ処理装置及び方法 |
DE4132559A1 (de) | 1991-09-30 | 1993-04-08 | Siemens Ag | Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen |
EP0537950B1 (en) | 1991-10-17 | 1997-04-02 | Applied Materials, Inc. | Plasma reactor |
EP0849766A3 (en) | 1992-01-24 | 1998-10-14 | Applied Materials, Inc. | Etch process |
JPH05215064A (ja) * | 1992-02-04 | 1993-08-24 | Nec Corp | イオンエンジン |
JPH0684835A (ja) | 1992-09-02 | 1994-03-25 | Kawasaki Steel Corp | 表面処理方法及びその装置 |
JP3231426B2 (ja) * | 1992-10-28 | 2001-11-19 | 富士通株式会社 | 水素プラズマダウンフロー処理方法及び水素プラズマダウンフロー処理装置 |
JPH06204191A (ja) | 1992-11-10 | 1994-07-22 | Sony Corp | 金属プラグ形成後の表面処理方法 |
US5409543A (en) * | 1992-12-22 | 1995-04-25 | Sandia Corporation | Dry soldering with hot filament produced atomic hydrogen |
US5770098A (en) * | 1993-03-19 | 1998-06-23 | Tokyo Electron Kabushiki Kaisha | Etching process |
US5336366A (en) * | 1993-04-05 | 1994-08-09 | Vlsi Technology, Inc. | New dry etch technique |
US5662770A (en) * | 1993-04-16 | 1997-09-02 | Micron Technology, Inc. | Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks |
US5350480A (en) * | 1993-07-23 | 1994-09-27 | Aspect International, Inc. | Surface cleaning and conditioning using hot neutral gas beam array |
US5384465A (en) | 1993-09-17 | 1995-01-24 | Applied Materials, Inc. | Spectrum analyzer in an ion implanter |
US5403434A (en) * | 1994-01-06 | 1995-04-04 | Texas Instruments Incorporated | Low-temperature in-situ dry cleaning process for semiconductor wafer |
US5451263A (en) * | 1994-02-03 | 1995-09-19 | Harris Corporation | Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts |
IT1271636B (it) | 1994-05-04 | 1997-06-04 | Alcatel Italia | Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo |
EP0697467A1 (en) | 1994-07-21 | 1996-02-21 | Applied Materials, Inc. | Method and apparatus for cleaning a deposition chamber |
JP3326974B2 (ja) | 1994-07-28 | 2002-09-24 | ソニー株式会社 | 多層配線の形成方法および半導体装置の製造方法 |
US5736002A (en) * | 1994-08-22 | 1998-04-07 | Sharp Microelectronics Technology, Inc. | Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same |
US5698469A (en) * | 1994-09-26 | 1997-12-16 | Endgate Corporation | Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections |
JP3339200B2 (ja) * | 1994-09-28 | 2002-10-28 | ソニー株式会社 | プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法 |
JP2809113B2 (ja) * | 1994-09-29 | 1998-10-08 | 日本電気株式会社 | 半導体装置の製造方法 |
JPH08186085A (ja) * | 1994-12-28 | 1996-07-16 | Nec Corp | 半導体装置の製造方法 |
US5688357A (en) * | 1995-02-15 | 1997-11-18 | Applied Materials, Inc. | Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor |
US5565681A (en) | 1995-03-23 | 1996-10-15 | Applied Materials, Inc. | Ion energy analyzer with an electrically controlled geometric filter |
US5720097A (en) * | 1995-04-07 | 1998-02-24 | Axis Usa, Inc. | Apparatus for inserting insulators |
TW283250B (en) * | 1995-07-10 | 1996-08-11 | Watkins Johnson Co | Plasma enhanced chemical processing reactor and method |
JP3862305B2 (ja) * | 1995-10-23 | 2006-12-27 | 松下電器産業株式会社 | 不純物の導入方法及びその装置、並びに半導体装置の製造方法 |
BE1009839A3 (fr) | 1995-12-20 | 1997-10-07 | Cockerill Rech & Dev | Procede et dispositif pour le nettoyage d'un substrat metallique. |
US5904571A (en) * | 1996-06-28 | 1999-05-18 | Lam Research Corp. | Methods and apparatus for reducing charging during plasma processing |
JPH1022279A (ja) * | 1996-07-02 | 1998-01-23 | Toshiba Mach Co Ltd | 誘導結合型プラズマcvd装置 |
US6170428B1 (en) * | 1996-07-15 | 2001-01-09 | Applied Materials, Inc. | Symmetric tunable inductively coupled HDP-CVD reactor |
US5801098A (en) * | 1996-09-03 | 1998-09-01 | Motorola, Inc. | Method of decreasing resistivity in an electrically conductive layer |
US5970378A (en) * | 1996-09-03 | 1999-10-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Multi-step plasma treatment process for forming low resistance titanium nitride layer |
US5812403A (en) * | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
US5844195A (en) * | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
GB2319532B (en) * | 1996-11-22 | 2001-01-31 | Trikon Equip Ltd | Method and apparatus for treating a semiconductor wafer |
GB2319533B (en) * | 1996-11-22 | 2001-06-06 | Trikon Equip Ltd | Methods of forming a barrier layer |
KR19980064441A (ko) | 1996-12-20 | 1998-10-07 | 윌리엄비.켐플러 | 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법 |
US5834371A (en) * | 1997-01-31 | 1998-11-10 | Tokyo Electron Limited | Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof |
US6039834A (en) * | 1997-03-05 | 2000-03-21 | Applied Materials, Inc. | Apparatus and methods for upgraded substrate processing system with microwave plasma source |
US6125859A (en) * | 1997-03-05 | 2000-10-03 | Applied Materials, Inc. | Method for improved cleaning of substrate processing systems |
JPH1116912A (ja) | 1997-06-25 | 1999-01-22 | Hitachi Ltd | 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置 |
US6083363A (en) * | 1997-07-02 | 2000-07-04 | Tokyo Electron Limited | Apparatus and method for uniform, low-damage anisotropic plasma processing |
US6534007B1 (en) * | 1997-08-01 | 2003-03-18 | Applied Komatsu Technology, Inc. | Method and apparatus for detecting the endpoint of a chamber cleaning |
US6107192A (en) * | 1997-12-30 | 2000-08-22 | Applied Materials, Inc. | Reactive preclean prior to metallization for sub-quarter micron application |
US6635578B1 (en) | 1998-02-09 | 2003-10-21 | Applied Materials, Inc | Method of operating a dual chamber reactor with neutral density decoupled from ion density |
US6060400A (en) * | 1998-03-26 | 2000-05-09 | The Research Foundation Of State University Of New York | Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide |
US6130436A (en) | 1998-06-02 | 2000-10-10 | Varian Semiconductor Equipment Associates, Inc. | Acceleration and analysis architecture for ion implanter |
US6148832A (en) * | 1998-09-02 | 2000-11-21 | Advanced Micro Devices, Inc. | Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces |
JP3619030B2 (ja) * | 1998-11-12 | 2005-02-09 | キヤノン株式会社 | プラズマ処理装置及び処理方法 |
US6511575B1 (en) * | 1998-11-12 | 2003-01-28 | Canon Kabushiki Kaisha | Treatment apparatus and method utilizing negative hydrogen ion |
US6355571B1 (en) * | 1998-11-17 | 2002-03-12 | Applied Materials, Inc. | Method and apparatus for reducing copper oxidation and contamination in a semiconductor device |
US6326794B1 (en) * | 1999-01-14 | 2001-12-04 | International Business Machines Corporation | Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement |
US6183614B1 (en) * | 1999-02-12 | 2001-02-06 | Applied Materials, Inc. | Rotating sputter magnetron assembly |
GB2347686B (en) * | 1999-03-08 | 2003-06-11 | Trikon Holdings Ltd | Gas delivery system |
US6251775B1 (en) * | 1999-04-23 | 2001-06-26 | International Business Machines Corporation | Self-aligned copper silicide formation for improved adhesion/electromigration |
US6504159B1 (en) | 1999-09-14 | 2003-01-07 | International Business Machines Corporation | SOI plasma source ion implantation |
US6555832B1 (en) | 1999-10-13 | 2003-04-29 | Applied Materials, Inc. | Determining beam alignment in ion implantation using Rutherford Back Scattering |
US6277249B1 (en) * | 2000-01-21 | 2001-08-21 | Applied Materials Inc. | Integrated process for copper via filling using a magnetron and target producing highly energetic ions |
US6710358B1 (en) | 2000-02-25 | 2004-03-23 | Advanced Ion Beam Technology, Inc. | Apparatus and method for reducing energy contamination of low energy ion beams |
US6388383B1 (en) * | 2000-03-31 | 2002-05-14 | Lam Research Corporation | Method of an apparatus for obtaining neutral dissociated gas atoms |
US6444040B1 (en) * | 2000-05-05 | 2002-09-03 | Applied Materials Inc. | Gas distribution plate |
US6639227B1 (en) | 2000-10-18 | 2003-10-28 | Applied Materials, Inc. | Apparatus and method for charged particle filtering and ion implantation |
US20020124867A1 (en) * | 2001-01-08 | 2002-09-12 | Apl Co., Ltd. | Apparatus and method for surface cleaning using plasma |
KR100404956B1 (ko) * | 2001-01-08 | 2003-11-10 | (주)에이피엘 | 반도체 집적소자 제조공정 및 장치 |
US20020144706A1 (en) * | 2001-04-10 | 2002-10-10 | Davis Matthew F. | Remote plasma cleaning of pumpstack components of a reactor chamber |
JP2004022902A (ja) | 2002-06-18 | 2004-01-22 | Fujitsu Ltd | 半導体装置の製造方法 |
US6758949B2 (en) | 2002-09-10 | 2004-07-06 | Applied Materials, Inc. | Magnetically confined metal plasma sputter source with magnetic control of ion and neutral densities |
KR101352995B1 (ko) | 2003-02-14 | 2014-01-21 | 어플라이드 머티어리얼스, 인코포레이티드 | 수소-함유 라디칼을 이용한 자연 산화물 세정 |
US7189980B2 (en) | 2003-05-09 | 2007-03-13 | Varian Semiconductor Equipment Associates, Inc. | Methods and systems for optimizing ion implantation uniformity control |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US7235795B2 (en) | 2004-08-12 | 2007-06-26 | Applied Materials, Inc. | Semiconductor device manufacturing apparatus and a method of controlling a semiconductor device manufacturing process |
US20060042752A1 (en) | 2004-08-30 | 2006-03-02 | Rueger Neal R | Plasma processing apparatuses and methods |
US7704887B2 (en) | 2005-11-22 | 2010-04-27 | Applied Materials, Inc. | Remote plasma pre-clean with low hydrogen pressure |
US7695567B2 (en) | 2006-02-10 | 2010-04-13 | Applied Materials, Inc. | Water vapor passivation of a wall facing a plasma |
US8021514B2 (en) | 2007-07-11 | 2011-09-20 | Applied Materials, Inc. | Remote plasma source for pre-treatment of substrates prior to deposition |
-
2004
- 2004-02-12 KR KR1020117013618A patent/KR101352995B1/ko active IP Right Grant
- 2004-02-12 KR KR1020057014932A patent/KR101127294B1/ko active IP Right Grant
- 2004-02-12 CN CN2009100033019A patent/CN101457338B/zh not_active Expired - Fee Related
- 2004-02-12 US US10/778,898 patent/US7604708B2/en active Active
- 2004-02-12 JP JP2006503556A patent/JP4673290B2/ja not_active Expired - Lifetime
- 2004-02-12 KR KR1020127012438A patent/KR101276694B1/ko active IP Right Grant
- 2004-02-12 CN CNB2004800073581A patent/CN100468611C/zh not_active Withdrawn - After Issue
- 2004-02-12 WO PCT/US2004/004278 patent/WO2004074932A2/en active Application Filing
-
2010
- 2010-11-15 JP JP2010255015A patent/JP5061231B2/ja not_active Expired - Fee Related
-
2012
- 2012-06-04 JP JP2012127100A patent/JP5542172B2/ja not_active Expired - Fee Related
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS63224233A (ja) * | 1987-03-13 | 1988-09-19 | Science & Tech Agency | 表面処理方法 |
JPH0837176A (ja) * | 1994-07-25 | 1996-02-06 | Fujitsu Ltd | 水素プラズマダウンフロー装置の洗浄方法および半導体装置の製造方法 |
JPH0982689A (ja) * | 1995-09-19 | 1997-03-28 | Toshiba Corp | プラズマ処理装置およびその方法 |
JP2001203194A (ja) * | 1999-09-02 | 2001-07-27 | Applied Materials Inc | 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法 |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2013232650A (ja) * | 2012-04-30 | 2013-11-14 | Semes Co Ltd | 基板洗浄装置及び基板洗浄方法 |
KR20140029289A (ko) | 2012-08-30 | 2014-03-10 | 도쿄엘렉트론가부시키가이샤 | 플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법 |
JP2021501986A (ja) * | 2017-09-29 | 2021-01-21 | 東京エレクトロン株式会社 | 基板に流体をコーティングする方法及びシステム |
JP7074956B2 (ja) | 2017-09-29 | 2022-05-25 | 東京エレクトロン株式会社 | 基板に流体をコーティングする方法及びシステム |
Also Published As
Publication number | Publication date |
---|---|
KR101276694B1 (ko) | 2013-06-19 |
JP5542172B2 (ja) | 2014-07-09 |
WO2004074932A3 (en) | 2004-10-21 |
WO2004074932A2 (en) | 2004-09-02 |
KR20110079859A (ko) | 2011-07-08 |
JP2006523015A (ja) | 2006-10-05 |
CN101457338A (zh) | 2009-06-17 |
JP4673290B2 (ja) | 2011-04-20 |
KR101127294B1 (ko) | 2012-03-30 |
US20040219789A1 (en) | 2004-11-04 |
CN1762039A (zh) | 2006-04-19 |
KR101352995B1 (ko) | 2014-01-21 |
CN101457338B (zh) | 2011-04-27 |
CN100468611C (zh) | 2009-03-11 |
KR20120068982A (ko) | 2012-06-27 |
JP5061231B2 (ja) | 2012-10-31 |
JP2012199576A (ja) | 2012-10-18 |
KR20050101339A (ko) | 2005-10-21 |
US7604708B2 (en) | 2009-10-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5542172B2 (ja) | 水素含有ラジカルによる未変性酸化物の洗浄 | |
US7464717B2 (en) | Method for cleaning a CVD chamber | |
US7344993B2 (en) | Low-pressure removal of photoresist and etch residue | |
KR101226297B1 (ko) | 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법 | |
US7887637B2 (en) | Method for cleaning treatment chamber in substrate treating apparatus and method for detecting endpoint of cleaning | |
JP4801045B2 (ja) | ドライクリーニングプロセスのプラズマ処理システムからチャンバ残渣を除去する方法 | |
US6852242B2 (en) | Cleaning of multicompositional etchant residues | |
JPH09186143A (ja) | プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置 | |
KR20080074801A (ko) | 기판의 후면상의 프로세스 잔류물들의 제거 | |
JP2003535458A (ja) | 加工物のプラズマクリーニング方法とその装置 | |
US7097716B2 (en) | Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect | |
US6814814B2 (en) | Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates | |
US20100270262A1 (en) | Etching low-k dielectric or removing resist with a filtered ionized gas | |
JP2008124460A (ja) | レジスト除去及びレジスト下のフィーチャのファセット制御のためのプラズマ |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110609 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20120202 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120502 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120509 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120604 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20120705 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20120806 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20150810 Year of fee payment: 3 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 5061231 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
LAPS | Cancellation because of no payment of annual fees |