JPH09186143A - プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置 - Google Patents

プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置

Info

Publication number
JPH09186143A
JPH09186143A JP8327062A JP32706296A JPH09186143A JP H09186143 A JPH09186143 A JP H09186143A JP 8327062 A JP8327062 A JP 8327062A JP 32706296 A JP32706296 A JP 32706296A JP H09186143 A JPH09186143 A JP H09186143A
Authority
JP
Japan
Prior art keywords
plasma
chamber
gas
containing gas
fluorine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP8327062A
Other languages
English (en)
Inventor
Ii Yan
イー ヤン
Diana Xiabing Ma
シャオビン マ ダイアナ
Zeyao In Gerald
ゼヤオ イン ジェラルド
Prasad Keshav
プラサド ケシャヴ
Siegel Mark
シーゲル マーク
S Mack Steve
エス. マック スティーヴ
Martinez Paul
マルティネス ポール
S Papaneu James
エス. パパニュ ジェイムス
Chen Ru Danny
チェン ル ダニー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH09186143A publication Critical patent/JPH09186143A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】 【課題】 本発明は、 プラズマチャンバ表面から副生
成物をクリーニングするための方法及び装置を提供する
ものである。 【解決手段】 本発明は、酸素又は原子状酸素含有種が
存在しない塩素及びフッ素含有ガスのある種の混合物を
使用して単一のプラズマ活性ドライクリーニングステッ
プを提供することにより必要なチャンバの湿式清浄化の
間隔を拡張するものである。この単一のクリーニングス
テップは、(a)等しいか、より多い量のフッ素含有ガ
スと、等しいか、より少ない量の塩素含有ガスからなる
ハロゲン含有プラズマ反応ガス混合物を、原子状酸素含
有種を実質的に含まない真空プラズマプロセスチャンバ
内へ導入することと、(b)前記反応ガスのプラズマを
発生させることと、及び(c)前記プラズマ及び/又は
発生した種を、プラズマガスが選択的に有機残渣及び金
属残渣と反応し、チャンバの出口ポートを通じてチャン
バから除かれるガス種に揮発化させるチャンバの内部表
面に付着している蓄積した残渣に接触させることとを有
する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、真空処理チャンバ
の内部表面上に蓄積した、先行して堆積した寄生的汚染
物残渣を除去する方法及び装置に関する。より詳細に
は、本発明は、プラズマプロセスチャンバの内部部品及
び表面上に半導体残渣蓄積物を除去するために、ある種
のハロゲン化洗浄化ガスを供するプラズマ装置及びドラ
イークリーンエッチングプロセスに関するものである。
【0002】
【従来の技術及び発明が解決しょうとする課題】半導体
装置の幾何学的サイズがより小さくなるにつれ、限界寸
法の均一性及び正確性の維持可能性はより厳しいものと
なっている。半導体プロセス反応装置で実行されるプロ
セスの多くは、プロセスチャンバ壁上に汚染物堆積を残
留させ、陸積して半導体装置を製作する上で有害となる
パーティクル物質の源となる。半導体基板構造の寸法サ
イズがより小さくなるにつれて、半導体ワークピースの
表面上の汚染パーティクル物質を無くすることは、今ま
で以上により重要な目標となっている。 半導体プロセ
スチャンバ壁上に蓄積した粒子状汚染堆積物は、金属エ
ッチングプロセスがチャンバ内で実施された場合に特に
重要である。特に、アルミニウムパターンのエッチング
は、相対的に大量のそのような蓄積をもたらす。このア
ルミニウム膜は、プラズマ成分としてハロゲン及びハロ
ゲン化炭素ガスを含む何種類かの反応性ガスを用いて一
般的にエッチングされる。より詳しくは、使用されるエ
ッチャントガスは、主に、塩素(Cl2)及び三塩化ホ
ウ素(BCl3)の塩素含有ガスであり、これらはエッ
チング時には揮発性の塩化アルミニウム化合物を形成可
能とするものであり、揮発性物質は真空を使用すること
でエッチングプロセスから除かれることとなる。しかし
ながら、揮発性の塩化アルミニウム化合物とともに、他
の活性塩素、及びホウ素含有種が形成され、これはエッ
チングプロセスチャンバ内に存在する酸素及び水、また
はパターン化ホトレジストからの有機物種と反応可能で
あり、その結果プロセスチャンバの内部壁上及び内部表
面上に非揮発性の汚染堆積物を形成する。時間経過に従
い、この汚染堆積物の厚さが増加し、付着した堆積物は
表面から簡単に剥がれ落ち、小さく砕け、その結果、ワ
ークピース上に落ちて付着し、汚染を引き起こしてウエ
ハワークピースの欠陥となる。これらの条件下でのウエ
ハの重大な欠陥発生を避けるために、チャンバは運転停
止し、主洗浄を実施しなければならない。
【0003】知られているプラズマチャンバ清浄化方法
は、プラズマエッチングチャンバを開けて、チャンバの
部分を取り外し、物理的又は化学的方法で汚染堆積物を
取り除くものである。例えば、チャンバは、水及びイソ
プロピルアルコールの溶液で濯ぐか、又は、種々の汚染
物を溶解する溶媒を用いて手で洗うかである。エッチン
グチャンバは、又は、水で洗い、アルコールで拭い、乾
燥させることも可能である。すべてのこれらの「湿式(w
et)」清浄化方法は、複雑で、破壊的であり、時間を要
するものであり、これがまたさらなる汚染源ともなる。
さらに、主清浄化プロセスは、大型のプラズマ反応装置
の場合、生産ロスタイムは24時間になり、この清浄化
による生産上の障害は極めて高価につく。
【0004】プラズマ励起ドライ−クリーニングプロセ
スは存在し、金属エッチング反応チャンバの内部壁と付
着した汚染物が四塩化炭素及び酸素を用いてプラズマエ
ッチングすることのより取り除かれるものである。しか
し、現在知られているプラズマ励起ドライークリーニン
グシステムは、ドライクリーニング時間間隔が、金属エ
ッチングプロセス自体に必要な時間の約5%から10%
に等しくなるものである。
【0005】さらに、従来技術のチャンバドライクリー
ニングプロセスは、Cl2,CCl4,HCl,CF4
及びC26のようなプラズマイエッチングハロゲン化ガ
スを使用するものである一方、一般的には酸素又は過酸
化水素のような酸化剤を使用するものであり、これら酸
素化物は確実に欠点を有するものである。例えば、ハロ
ゲン化化合物と、酸素又は酸素含有ガスを含む金属エッ
チングドライークリーニング処方は、不満足なものであ
ることが見出されている。その理由は、粉状のアルミニ
ウム酸ハロゲン化物の副生成物が形成され、これらは、
もともと除去されるべきものと等しいワークピース汚染
物であるからである。
【0006】米国特許第5,356,477号明細書
(Chen等、1994年、10月18日)には、塩素
含有ガスと酸素含有酸化剤の混合物をプラズマプロセス
チャンバに導入し、クリーニングガスプラズマを、チャ
ンバの内部表面上の有機及び金属含有残渣プラズマ取り
除くべく活性化するという単一ステッププラズマ清浄化
方法が開示されている。この特許は、清浄化ガス混合物
としてさらにCF4のようなフッ素化ガスを加えること
もできることを教示する。このクリーニングガス処方と
プロセスは、プラズマチャンバの内部表面から残渣を効
率的に除去する一方、酸素含有ガスの使用は、特許のド
ライクリーニング処方の必要な部分であり、望ましくな
いアルミニウム酸フッ化物であってこの清浄化技術の副
生成物たる固体状粉末汚染物の形成するゆえに本質的に
問題があるものである。
【0007】米国特許第4、786、359号明細書
(Gabric等、1994年、1月25日)には、プ
ラズマクリーニングプロセス及び装置が記載されてお
り、C26、又はCF4のようなフッ化炭素物と、オゾ
ン/酸素混合のようなエッチングガス処方がRF領域に
おいて励起周波数で真空チャンバ内で活性化され、チャ
ンバクリーニングが高エッチング速度で効率的に実施さ
れる。特許は、ハロゲン化炭素エッチングガスの使用
は、プラズマ反応装置内に重合体膜堆積をもたらすこと
を教示し、また、そのような形成物はそのようなガスの
使用においては負因子となることを示す。酸素/オゾン
混合物の加えることはそのような重合体形成を抑制し、
従って、特許のエッチングガスの必要不可欠の成分であ
る。さらに、上で参照した従来技術のドライクリーニン
グ処方においては、このエッチングガス混合物は、例え
ばアルミニウム酸フッ化物のような、固体の寄生的フッ
化アルミニウム副生成物を生成するものである。
【0008】参照された全てのドライクリーンの従来技
術は、ハロゲン及び/又はハロゲン化炭素ガスおよび酸
化剤を含むクリーンエッチングガス混合物のプラズマ活
性化を記述している。これらのクリーニングガス処方お
よびプロセスは、効率的にチャンバ内の内部汚染残渣を
取り除く一方、ウエハプラズマプロセスシステムでのワ
ークピース汚染である非揮発性アルミニウム酸ハロゲン
化物を生成する酸素含有ガスを使用するゆえにその技術
は本質的に限定的なものとなるさらに、アルミニウム酸
フッ化物のようなアルミニウム酸ハロゲン化物は、固体
の粉状の形状であり、ガス供給プレートの穴のようなプ
ロセスチャンバ内の小さなオリフィスをつまらせる。そ
れゆえ、ハロゲンガスドライクリーンエッチングにおい
て酸素化種のいかなる使用も、同じく、望ましくないウ
エハ汚染及びプロセスを弱める生成物である粉状のアル
ミニウム酸ハロゲン化物を生成する。
【0009】プラズマプロセスチャンバ壁上の汚染堆積
は、プラズマ中でのイオン衝撃又は化学反応により取り
除くことが可能である。プラズマチャンバ壁は通常電気
的に接地されているので、チャンバ壁自体へのイオン衝
撃(スパッタリグ効果)は一般的にはそれほど効果的で
ない、また化学反応はチャンバ表面を清浄化するに好ま
しいものである。化学反応を用いて汚染堆積物を取り除
く最も好ましい方法は、プラズマプロセスチャンバから
すん食うポンプにより除かれるようにその堆積物を揮発
性種に変換することである。それゆえ、ドライクリーニ
ングプラズマプロセスチャンバ(特に金属エッチングチ
ャンバ)の方法であって、プロセスチャンバ表面の汚染
堆積物を簡単にプロセスチャンバから取り除かれる揮発
性種に変換し、望ましくない副生成物をそれ以上発生し
ない 方法を提供することは望ましいことであろう。
【0010】効率的なプラズマチャンバドライクリーニ
ング方法であって、進行中のウエハエッチングプロセス
とは独立して、又はその一部として操作できる方法を持
つことはさらに好ましいことであろう。そのような間欠
的クリーニング技術は、ウエハ処理プロセス能力をそれ
ほど邪魔はしないであろうし、プラズマチャンバの内部
表面上のクレーク状の汚染エッチング副生成物の蓄積を
阻止するであろう。そのようなそのまま(in situ)清浄
化技術の全体としての有利な点は、プロセスされている
ウエハ(よりすくない汚染、又は欠陥のよりすくないワ
ークピース)の改善された品質管理であり、通常の湿式
クリーニングにおいて必要なプラズマチャンバの運転停
止を減らすことである。大型のチャンバにおいてはその
ような運転停止により、24時間の真空チャンバのコス
ト的に割合わない期間となり、従って、プロセスされた
ワークピースの製造ロスとなる 本発明は、真空プラズマプロセスチャンバの内部表面及
び部材上で既に堆積した寄生的残渣の除去のために、原
子状酸素の全くないプラズマ環境で使用される正確なド
ライクリーニング化学種処方の発見に基づくものであ
る。無機ガスを含む塩素及びフッ素のガス混合物は、プ
ラズマ処理チャンバの内部部材及び表面のプラズマドラ
イクリーニングにおいて効果的であることが見出され
た。清浄化の機構はよく知られていないが、本発明の無
機ガス処方はNF3のようなフッ素含有ガスを含むもの
であり、炭素物質を取り除くプラズマ条件下でおそらく
有機物残渣と反応するものと考えられるであろう。1つ
の可能性のある全反応は次の式で与えられる。
【0011】4NF3 + 3C −−−−−−>
3CF4 + 2N2 塩素含有ガスはおそらく、金属汚染物残渣と反応し、ガ
ス状の金属塩化物AlClx、最もありうるのはAlC
3 を生成すると考えられる。
【0012】
【発明の効果】本発明は、半導体プロセスチャンバの内
部表面に蓄積された汚染プラズマプロセス副生成物の蓄
積を清浄化し、制御し、それゆえチャンバの主清浄化に
必要な装置の停止時間を大きく減少させる方法を提供す
るものである。本発明は、酸素又は原子状酸素含有種が
存在しない塩素及びフッ素含有ガスのある種の混合物を
使用して単一のプラズマ活性ドライクリーニングステッ
プを提供することにより必要なチャンバの湿式清浄化の
間隔を拡張するものである。この単一のクリーニングス
テップは、(a)等しいか、より多い量のフッ素含有ガ
スと、等しいか、より少ない量の塩素含有ガスからなる
ハロゲン含有プラズマ反応ガス混合物を、原子状酸素含
有種を実質的に含まない真空プラズマプロセスチャンバ
内へ導入することと、(b)前記反応ガスのプラズマを
発生させることと、及び(c)前記プラズマ及び/又は
発生した種を、プラズマガスが選択的に有機残渣及び金
属残渣と反応し、チャンバの出口ポートを通じてチャン
バから除かれるガス種に揮発化させるチャンバの内部表
面に付着している蓄積した残渣に接触させることとを有
する。
【0013】本発明を他と区別するものとしては、ハロ
ゲン含有プラズマ反応ガスのある混合物は、酸素の存在
なしでプラズマ活性となり、その結果プラズマは、チャ
ンバ内部表面に接触し、チャンバから表面付着残渣を効
率的かつ効果的に揮発化することである。本発明の清浄
化技術は半導体の進行中のプラズマプロセスと独立した
プロセスとして、又は副次プロセスとして使用され得
る。このようにして、チャンバの主湿式清浄化に必要な
運転停止間隔はより少ない回数となり、それにより半導
体のプラズマプロセスの全体のコスト効率を改善するも
のである。
【0014】アルミニウムのプラズマエッチングはプラ
ズマプロセスチャンバ内で実施される場合、チャンバ壁
に見出される非蒸発性汚染堆積物の少なくとも一部は、
AlxClyの重合体形状である。ここでxおよびyは約
1から約5の間の数である。
【0015】一般的には、これらの非蒸発性汚染堆積物
は、プラズマエッチングの間にエッチングチャンバ内
で、炭素、ホウ素、窒素、水素のような種々の元素の存
在により形成される。本発明の無機ハロゲンガス混合物
を実質的に酸素のない環境下で使用する反応チャンバの
プラズマドライクリーニングは、これらの汚染物群のね
らい撃ちを可能としチャンバから揮発化かつ迅速に取り
除くことを可能とする。
【0016】さらに、本発明のドライクリーニング処方
は、他の金属酸ハロゲン化物のような望ましくない固体
汚染副生成物を形成しない。この金属酸ハロゲン化物
は、蓄積AlxClyで汚染されたチャンバのエッチング
ドライクリーニングにおいて通常予想されるものであ
る。
【0017】本発明に先行して、NF3、SF6、又はF
2、およびCF448のようなフッ化物ガスを、酸素O
2との組合せで使用することは通常によく知られてお
り、そして、蓄積した有機残渣を取り除くたMねいドラ
イエッチング清浄化において効果的であった。しかし、
これらのプラズマ反応ガスは汚染副生成物である、アル
ミニウム(Alxyz)を発生する。アルミニウム酸
フッ素化物の形成は、一般的には避けられないものと考
えられていた。清浄化処方には酸素が実際には存在する
からである。本発明のエッチングドライクリーンガス処
方は、等しいかより多い体積量のプラズマ反応無機フッ
化物ガスと、等しいかより少ない体積量の無機塩化物ガ
スの混合物を、酸素種が実質的にないプラズマ環境で使
用することにより望ましくない副生成物が形成するとい
う予想を打ち破るものである。
【0018】本発明は、プラズマプロセス装置及びその
内部表面を清浄化する方法であって、実質的に原子状酸
素のないプラズマ環境下で本発明のハロゲンエッチング
ガス混合物処方を用いるものを提供する。さらに、副次
プロセスとして本発明のエッチングドライクリーニング
技術を用いることを含む半導体ワークピースのプラズマ
エッチングの方法を提供する。本発明の無機ハロゲンガ
ス混合物の効果及び効率は、進行中のプラズマエッチン
グプロセスにおいて、それを間欠的またはそのままのス
テップとして使用可能とするものである。そのような応
用の有利な点は、主湿式清浄化のためのひんぱんなチャ
ンバ運転停止をすることなく、従ってウエハ処理能力を
損なうことなく、チャンバの内部表面から継続的に汚染
残渣を除去することを含むものである。さらに、本発明
のクリーニング技術は、プラズマエッチングプロセスに
おいては浮遊する粒子状汚染物に必然的になるフレーク
状の蓄積を避けるべくいつでもにまた非破壊的な頻度で
使用され得るものである。
【0019】本発明の方法は次のステップを有するもの
である、 a)等しいか、又はより体積的に多いフッ素含有ガス
と、等しいか、又はとり体積的に少ない塩素含有ガスの
ガス混合物をプラズマプロセスチャンバへ導入するステ
ップと、 b)プラズマ反応ガス混合物を活性化して原子状酸素含
有種が実施的にない環境下でプラズマを形成するステッ
プと、および c)チャンバの内部表面をプラズマの揮発性反応種と接
触させて、蓄積された固体プラズマプロセズ残渣の少な
くとも一部が揮発化されチャンバから除くステップ。
【0020】本発明は、さらに、ワークピースの残渣制
御プラズマプロセスの方法に関するものであり、 a)チャンバとお互いに逆に向けられた一対の電極から
なるプラズマプロセス装置を与えて、 b)チャンバ内で、一方の電極が半導体ワークピースを
保持し、プラズマ放電条件を発生するに十分な電気エネ
ルギーを供給して、 c)電極に供給されている電気エネルギー条件下でプラ
ズマ生成可能な反応ガスをチャンバへ流して、 d)固体残渣が生成され汚染堆積物としてチャンバの内
部壁に付着するところで、ワークピースをプラズマプロ
セスして、 e)ワークピースをチャンバから取りだして、さらに f)次の、 1)等しいか又は体積的により多い量のフッ素含有ガス
と、等しいか又は体積的により少ない量の無機塩素含有
ガスの反応性ハロゲンガス混合物を、原子状酸素種が実
質的にないチャンバの内部空間に導入して、 2)反応性ハロゲンガス混合物のプラズマを発生し、さ
らに 3)チャンバの内部表面に付着している蓄積した汚染堆
積物をそのプラズマ(及び/又は反応種)と接触させ
て、プラズマが残渣をガス性種に変換し、チャンバから
除く、ことからなるドライクリーニングステップとを有
する方法に関するものである。
【0021】本発明は、また、ワークピースをプロセス
する、金属チャンバと、プラズマ発生物質と前記エッチ
ングチャンバへそのような物質を導入する手段と、プラ
ズマを発生するための前記チャンバ内の電極に電気的に
結合され電磁気エネルギー源を有するプラズマ装置の改
良であって、その改良は、等しいか又は体積的により多
い量のフッ素含有ガスと、等しいか又は体積的により少
ない量の無機塩素含有ガスの反応性ハロゲンガス混合物
からなるプラズマ発生ガスを、原子状酸素種が実質的に
ないプラズマ環境への導入の調整手段を含むものであ
る。
【0022】
【発明の実施の形態】本発明のプラズマプロセス方法に
おいて、あるハロゲンエッチングガスの混合物が、プラ
ズマプロセス装置の内部表面をドライクリーニングする
処方として使用されるものである。本発明のガス混合物
のドライクリーニングへの応用は、実質的に酸素種がな
いプラズマ環境下で実施される。このガス混合物の1つ
は、SF6NF3、ClF、CF4、CHF3、およびC4
8のようなフッ素含有ガスである。
【0023】他のガスは、Cl2、HCl、BCl3、C
Cl4、及びSiCl4のような無機の塩素含有ガスであ
る。本発明のハロゲン化清浄化ガス混合物は、等しいか
又は体積的により多い量のフッ素含有ガスと、等しいか
又は体積的により少ない量の塩素含有ガスを含む好まし
い混合物を有効とするために別のガス流速度でチャンバ
へ供給される。好ましくは、ハロゲンガス混合物は、体
積の大部分がフッ素含有ガスを含むものであり、より好
ましくは、ガス混合物の体積で50%より多い(しかし
90%を越えない)ものである。プラズマプロセスチャ
ンバの内部表面の効果的なドライクリーニングを可能と
するのは、原子状酸素種が実質的にないプラズマ環境で
操作する反応ガス混合物による結合的効果である。
【0024】本発明は、さらに、本発明のハロゲンガス
混合物によるプラズマドライクリーニングによりプラズ
マ反応チャンバの内部表面から汚染パーティクル除去の
ための方法及び装置、に関する。本発明は、金属製ワー
クピースのプラズマエッチングにおいて発生する寄生的
汚染体積物を取り除くに特に有用である。このプロセス
は次の好ましい実施例において、金属エッチングプロセ
スにおいて発生する有機金属的堆積物、特にアルミニウ
ムを含む有機金属物質及び化合物の蒸発という言葉で説
明されている。しかし、本発明の無機ハロゲンガス混合
物を、プラズマエッチングシステムで、プラズマ発生副
生成物を揮発させ、プラズマチャンバ壁から取り除く目
的のために使用するという考えは、半導体プロセスチャ
ンバに対し一般的に応用可能である。
【0025】エッチングチャンバのドライクリーニング
で使用されるSF6のようなフッ素含有ガスは、使用さ
れる本発明のハロゲン化エッチングガス混合物の全量の
約50から90体積%の範囲となるべきである。従っ
て、塩素含有ガスは、約10から50体積%となるべき
である。好ましくは、フッ素含有ガスの量は約52%か
ら88体積%の範囲である。そのようにすると例えば、
本発明のエッチャントガス混合物は、9リットルのエッ
チングチャンバへ、約20標準立方センチメーター毎分
(sccm)から約60sccmの流速で流される場
合、フッ素含有ガスの流速は約10sccm(20sc
cmの50体積%)から、約54sccm(60scc
mの90体積%)となる。より大型または小型のエッチ
ングチャンバが使用される場合には、流速はそれぞれ、
増加または減少方向へ調節されるが、プロセスで使用さ
れるドライエッチャントガス混合物の全量に対してフッ
素含有ガスの比は同じに保持される。
【0026】本発明のドライクリーニングエッチングプ
ロセスのためのエッチングチャンバへ流されるエッチャ
ントガスの全量は、チャンバのサイズ及びウエハのサイ
ズにいくぶん依存する。通常、容量結合プラズマエッチ
ングシステムであるAppliedMaterials Precsion 5000 M
ERIE Etch Systemのような約13リットルのエッチング
チャンバについては、全ガス流は、約20sccmと約
500sccmの間にあることが適しており、好ましく
は、約200sccm以下に保持されるものである。誘
導結合プラズマエッチング反応装置のような他のエッチ
ングチャンバについては、ガス流速は調整が必要となる
であろう。
【0027】ドライクリーンプロセスは、プラズマチャ
ンバ壁上に堆積された無機及び有機の寄生的堆積物を揮
発させるために活性種の適当な濃度を達成するための通
常のプラズマグロー放電プロセス条件下で実施され得
る。必要なことは、フッ素含有ガスは、体積的に塩素含
有ガスよりも等しいか又はより多いことである、従っ
て、フッ素含有ガスは、塩素含有ガスよりもより大きな
速度でチャンバへ導入されることである。このガス流差
は特に重要である、塩素含有ガスが多いと効果的なドラ
イクリーニングとならず、フッ素含有ガスの体積で90
%を越える混合物は、望ましくない汚染物であり、粉状
アルミニウムフッ化物種Alxzの形成をもたらす。容
量結合エッチング装置を使用するドライクリーニングエ
ッチングプロセスにおいて、フッ素含有ガスのガス流速
は、一般的には、30sccmから50sccmの範囲
である、一方塩素含有ガスのガス流速は140から20
sccmの範囲である。誘導結合エッチング装置を使用
するドライクリーニングエッチングプロセスにおいて、
フッ素含有ガスのガス流速は、一般的には、90scc
mから150sccmの範囲である、一方塩素含有ガス
のガス流速は80から20sccmの範囲である。
【0028】(a)ガス混合物の成分及び流速、(b)
チャンバ圧力、(c)チャンバ壁温度、(d)ワークピ
ースペディスタル温度、及び(e)供給RF電力レベ
ル、のプロセス変数は最適のプラズマドライクリーニン
グを達成するために選択され得る。上で示したが、炭素
含有ガスは本発明のプラズマ汚染除去プロセスで操作可
能である、しかし、そのような有機ガスはプラズマ放電
条件下である程度重合するものであることは理解される
べきである。そのような重合体生成およびその後のチャ
ンバ内部状での堆積は、本発明の無機ハロゲンガス処方
のエッチングドライクリーニング使用においては逆効果
である。この理由で、無機フッ素含有ガスが本発明にお
いては実際上好ましいものである。有機フッ素含有エッ
チャントガスは効果的であり、本発明の実施において操
作可能であることはしかし理解されRべきである。
【0029】本発明の範囲内のフッ素含有ガスは、SF
6、NF6、CF4、CHF3、C48及びその混合物を含
む。好ましいフッ素含有ガスはSF6、NF3を含むガス
の無機物のものである。混合物の第2の成分としてのの
塩素含有ガスは、Cl2、HCl、BCl3、CCl4
SiCl4及びそれらの混合物が含まれる。
【0030】通常のプラズマ補助アルミニウムエッチン
グは、BCl3、Cl2、及びオプションとしてN2のプ
ロセスガス混合物が使用される。塩素を用いたアルミニ
ウムエッチングプロセスの間、基板上のアルミニウムは
塩素原子と反応し、可能性として、塩素含有分子と反応
し、揮発性塩化アルミニウム種を形成する。このエッチ
ング副生成物のいくらかはチャンバからポンプで除去さ
れる、一方そのいくらかは、プロセスチャンバ内の他の
反応種であるパターン用ホトレジストからの有機種と反
応するかまたは付加して、非揮発性物質を与え、その多
くは、プロセスチャンバ壁表面上に強い汚染として緩く
堆積されるものである。
【0031】本発明のハロゲンガス混合物を用いる発明
のプラズマエッチングドライクリーニングプロセスは、
従来の容量放電(平行板)プラズマ発生装置との組合
せ、又は誘導結合プラズマ発生装置との組合せで使用可
能である。本発明のエッチングプロセスの間エッチング
チャンバに伴うプラズマは、エッチングチャンバ内で発
生したプラズマ、又はエッチングチャンバ自体に向かっ
て発生したプラズマを含見得るものであり、反応種はプ
ラズマ源からチャンバへ向かって流れ下りる。
【0032】図1は従来の、閉鎖型金属プラズマエッチ
ングチャンバ110を含む平行板エッチング装置100
を示すものであり、頂部蓋112、通常アルミニウム製
の側壁122、及び、チャンバの内部空間の部分真空の
ための排気真空ポンプ(図示されず)への結合115を
有するチャンバハウジング114を有する。エッチャン
ト及び本発明のドライクリーニングガスは、バルブ付き
流入システムを経てガスが供給されるガス供給プレート
116を通じてチャンバ110へ入る。装置は、さら
に、この装置はRF電力供給源117を含み、これはワ
ークピース保持ペディスタル120として作用するカソ
ードと、チャンバ壁122、チャンバハウジング11
4、チャンバ蓋112、及び接地アノードとして作用す
るガス供給プレート116との組合せで作動するもので
ある。ワークピース121はペディスタル120の上に
保持され、これは接地アノードチャンバ壁122からは
シールド(図示されず)され、隔離されている。プラズ
マエッチングシステムは、通常ワークピース121の一
般的領域118に反応ガスプラズマが集まるように、ガ
ス供給プレート116とペディスタル120の間にガス
を引き込むように構成されている。しかし、プロセスウ
エハ121を取り除き、本発明のガス処方を導入するこ
とにより、進行中のウエハワークピース121エッチン
プロセスで形成された蓄積汚染物を内部表面からドライ
エッチングクリーニングすることが可能である。
【0033】図1において、プラズマがプラズマチャン
バ110の領域118でペディスタル120にRF電源
を供給することにより発生する。プラズマ領域118の
外部境界は、エッチングチャンバ100の操作パラメー
ターに依存する。エッチングガスはプラズマチャンバ1
10から、供給される真空(図示せず)に応じて管11
5を通じて出ていく。基板ワークピース121の温度
は、ワークピース121と保持プラットホーム120の
内部ギャップ129との間の熱伝達不活性ガスを通じる
ことで、プロセスの間制御可能である。保持プラットホ
ーム120の温度を維持するには、冷却水が、保持プラ
ットホーム120が取り付けられているカソードを通じ
て循環される。水は、管130を通り、管131を通じ
て出る。電力供給117は、カソードペディスタル12
0(すなわち保持プラットホーム)に、チャンバ壁と、
チャンバハウジング114と、チャンバ蓋112と、ガ
ス供給プレート116を有する接地されたアノードに、
エッチングチャンバ110に保持されるガスを解離又は
イオン化するに必要な電場を発生するためにバイアスを
かけるものである。図1のプロセス設計において、操作
上のエッチングプロセス及びプラズマフィルム堆積パラ
メーターは以下のようである。エッチングチャンバプロ
セス圧力は700ミリトール以下であり、好ましくは約
10から約500ミリトールの範囲である。エッチング
チャンバ側壁(内部表面)温度は、ワークピースから汚
染パーティクルを浮遊して移動させるため、一般的にワ
ークピース温度よりも少なくとも5℃低いものである。
ワークピース温度はチャンバの操作温度となり、約50
℃から100℃の範囲である。チャンバへ供給されてい
るRF電力源は約300から800Wである。
【0034】次の例は、本発明の実施においてプラズマ
チャンバの内部表面から残渣を取り除く汚染クリーニン
グガス処方としての本発明の無機ハロゲンガスの効果を
示すものである。
【0035】
【実施例1】この実施例は、エッチングされるワークピ
ースがアルミニウム層で被覆されているシリコンウェハ
である場合に、金属エッチング処理チャンバの表面上に
形成される汚染付着物の一般的な組成の種類を提供する
ものである。なお、シリコンウェハ上のアルミニウム層
には、さらに、フェノールホルムアルデヒドノボラック
樹脂からなるパターン化ホトレジストがジアゾキノン増
感剤と共に被覆されたものとする。エッチングプラズマ
はBCl3、Cl2及びN2のガスから形成され、それぞ
れ、約50sccmで流された。印加される電力は約5
00〜800Wの範囲とされ、プロセスチャンバの圧力
は約200〜600mtorrの範囲、運転陰極温度が
約80℃とされ、同時にチャンバ壁の温度は約45℃と
された。25〜30のウェハがエッチングされた後、評
価が行われた。図1のプラズマチャンバ110の表面に
蓄積された汚染物を評価するために、チャンバ壁122
からの掻取り物が取り出され分析された。この分析から
のデータは、(検出された元素の原子百分率単位で)約
10%〜約30%のアルミニウム、約2%〜4%のシリ
コン、約1%〜4%のホウ素、約8%〜20%の塩素、
約7%〜40%の炭素、約3%〜40%の窒素、約20
%〜約40%の酸素及びその他の少量又は極微量の元素
の存在が認められた。計測された酸素の一部は、プロセ
スチャンバの開放時に、蓄積された汚染付着物の表面に
触れた酸素によるものと考えられる。
【0036】次の表1に、チャンバ壁122から採取さ
れた典型的な汚染付着物についての原子百分率及び結合
エネルギーを示す。
【0037】
【表1】 また、化学分析は、O2/SF6ドライクリーニングの後
にチャンバ壁122から掻き取られた汚染物サンプルに
対しても実行された。結合エネルギーと原子百分率は表
2に示される通りである。クリーニングプラズマは、チ
ャンバ壁の表面が約65℃とされ、800W、200m
torrで流量25sccmのSF6と流量250sccm
のO2とから発生された。このクリーニングプロセス
は、炭化水素の汚染物の除去には非常に役立つが、フッ
化アルミニウム(AlFx)種の生成を制御するのには
効果がないことがわかった。表2のデーターの分析結果
は、フッ素含有プラズマクリーニングガスが酸素との組
み合わせで用いられた場合、不揮発性のフッ化アルミニ
ウム(AlFx)及びアルミニウム酸フッ化物(Alx
yz)化合物が形成されることを示している。このよう
な化合物は、寄生的汚染物としてプロセスチャンバの表
面ら蓄積し得るものであり、また、ガス分布プレートの
小孔を閉塞する可能性がある。また、データーは、フッ
素含有プラズマクリーニングガスが単独のハロゲンクリ
ーニングガスとして用いられた場合、不揮発性フッ化ア
ルミニウム(AlFx)種が生成されることを示唆して
いる。
【0038】
【表2】 アルミニウムの結合構造は、アルミニウム含有エッチン
グ副生成物の少なくとも一部が、エッチング中に、有機
物種との複雑な有機金属反応を起こさないことを示唆し
ている。塩化アルミニウム分子と多くの有機物分子の双
極子モーメントは大きいため(電子の不均一な分布によ
る)、塩化アルミニウム分子がファンデルワールス力又
は双極子相互作用により有機物種に結合される可能性は
高い。そこで、プロセスチャンバの表面からアルミニウ
ム含有汚染物を除去するには、ファンデルワールス力又
は双極子相互作用を失わせることのできる“反応種(re
active species)”に塩化アルミニウム/有機物種化合
物を接触させる必要がある。本発明によれば、このよう
な“反応種”の一つがフッ素含有ガスと塩素含有ガスの
無機ガス混合物である。
【0039】プロセスチャンバの表面から汚染物を除去
するために用いられる本発明のガス混合物である、フッ
素含有ガスを伴う無機塩素含有“反応種”ガスの量は、
非常に良好なクリーニング結果を得るのに極めて重要で
ある。
【0040】例えば、汚染物を形成するアルミニウム含
有化合物上の共有結合を反応的に分解し破壊するため
に、或は、結合力を失わせるために、且つまた、フッ化
アルミニウム又はアルミニウム酸フッ化物種或はその他
の形成され得る不揮発性アルミニウム含有化合物の生成
を抑制するために十分な反応種塩素含有無機ガスを有す
ることが望ましい。フッ素含有クリーニングガスの有効
性が減じられないことも、同じく重要である。迅速な汚
染物除去は、全ガス混合物におけるフッ素含有ガスの容
積濃度が少なくとも50%又はそれ以上であることに依
存することが見いだされた。これに関連して、塩素含有
ガスは本発明の全フッ素/塩素ガス混合物の体積に対し
て10%〜約50%の最小量で存在すべきである。
【0041】
【実施例2】アルミニウムエッチングチャンバの本発明
で改良されたドライクリーニングプロセスの開発におい
て、3種類のドライクリーニングプラズマを評価した、
すなわち、酸素を基とした化学種を使用するもの、フッ
素を基とした化学種を使用するもの、及び塩素を基とし
た化学種を使用するものである。例えば、O2、SF6
2/CF4、O2/N2、BCl3/Cl2、SF6/Cl2
を含むクリーニングプラズマである。汚染堆積物はプロ
セスチャンバ内のある場所からは取り除かれたが、酸素
−フッ素を基とした化学種では、塩素を基とした化学種
との組合せたフッ素を基とした化学種を使用して得られ
る結果ほどよくはなかった。
【0042】この例は、本発明のドライクリーニングプ
ラズマ発生ガスの適切な混合物と、プロセスチャンバ圧
力と、エッチングプラズマチャンバの改良ドライクリー
ニングを達成するためのRF電力源とを選択するために
用いられる技術を記載している(一定の操作壁温度は約
65℃であり維持されている)。チャンバを調整するた
めに、アルミニウム層で覆われた固体シリコンからなる
ワークピースであって、さらにShipley1400-33ホトレジ
ストからなるパターン化されたホトレジストを積層した
ワークピースを供する。グロー放電プラズマ環境が、そ
れぞれ約50sccmで流されるBCl3、Cl2、及び
2ガスを用いて作られる。供給電力は500から80
0の間であり、プロセスチャンバ圧力は約200から6
00ミリトールの範囲であり、操作ワークピース温度は
約80℃であり、チャンバ壁温度は65℃に維持され
る。電力は3分間供給され、そののち、チャンバ全体に
約0.2(2000オングストローム)マイクロメータ
ーの固体フィルムが被覆していることが見出される。
【0043】実験は、上に挙げた処方を使用してこの被
覆されたチャンバのドライエッチングクリーニングを用
いて行われた。最も効果的な処方はSF6/Cl2混合物
であり、SF6は、酸素よりも遅い速度で炭化水素をエ
ッチングするが、全体として、アルミニウム酸フッ化物
(白色粉)形成は極めて少量か又は全くないチャンバ内
での重合体の量を減少させることにおいて極めて効果的
であることが見出された。
【0044】さらに、研究された他のドライクリーニン
グ化学種種にはO2/H2O/CF4又はSF6自体、及び
2/CH3OH/CF4又はSF6があるが、これらはア
ルミニウム酸フッ化物(白色粉)形成の制御又は除去に
は効果的でなかった。酸素を含むすべての処方において
アルミニウム酸フッ化物が発生した。 O2/CF4のよ
うな普通に使用されるドライクリーニング処方は、有機
化合物を取り除くには効果的ではあるが、重合体形状で
アルミニウムの存在によりアルミニウムエッチングチャ
ンバの清浄化には適していない。これらのドライクリー
ニング化学種により有機物質も取り除かれるが、酸素及
びフッ素の存在によるAlxyF形成は避けることがで
きない。上で強調したように、この白色粉は、反れ自
体、パーティクル汚染の問題を引き起こすものであり、
ガス供給プレートの穴を詰らせる。SF6/Cl2は、チ
ャンバの条件に大きく影響を与えることなく炭化水素の
除去に最も効果的であった。
【0045】下の表3は、ドライクリーニング後のチャ
ンバ上に残る重合体被覆物の成分の分類を示す。SF6
/Cl2ドライクリーニング後の重合体中のフッ素量
は、SF6/O2ドライクリーニング後のものと同じであ
るが、酸素が存在しないことは、アルミニウム酸フッ化
物(白色粉)反応生成物の形成を防ぐ。さらに、SF6
/Cl2ドライクリーニングは、粒子スパイクを減少さ
せ、そしてエッチング速度又は均一性に影響はないこと
が見出された。また、ドライクリーニングは他のプロセ
ス条件もパラメーターにも影響を与えなかった。
【0046】
【表3】 他の実験は図1の設計構造を有するエッチングチャンバ
で本発明のSF6/Cl2クリーニングガス混合物を用い
て行われた。上例で示したように、チャンバは、アルミ
ニウムエッチングプロセス処方からのガスを使用して、
ホトレジストが塗布されたウエハからの堆積物で被覆さ
れた。エッチングされたウエハ間のドライクリーニング
の頻度は約25から50ウエハの間であった。クリーニ
ング処方のSF6の流速は85sccmであり、Cl2
流速は、10sccmであった。チャンバは100ミリ
トール、200ワット、0ガウスで運転され、ドライク
リーニング処理には60秒から6分の間であった。これ
らの実験は400ウエハを使用して行われた。
【0047】これらの実験は、酸素が実質的にないプラ
ズマ環境のにおいて供されるこのSF6/Cl2クリーニ
ングガス処方がエッチング品質に影響を与えないことを
示す。
【0048】さらに、ドライクリーニングにおいてこの
ガス混合物を使用することは、平均清浄ウエハ(mean wa
fer between clean(MWBC))率(湿式清浄化間にプロセス
される平均ウエハ数)は10から20%増加することが
見出された。
【0049】図1のエッチングチャンバは、プラズマ源
が、カソードペディスタルとチャンバのアノード壁に容
量的に結合しているものであり、すなわちペディスタル
とチャンバが1つの電力源を持つものである。図2は、
誘導的に結合するプラズマエッチングチャンバを示す。
誘導結合プラズマ反応装置は、現在は、金属及び誘電体
エッチングを含む半導体ウエハの種々のプロセスを行う
ために用いられているものである。エッチングプロセス
においては、誘導結合プラズマの1つの有利な点は、高
密度プラズマが、ワークピース(ウエハ)上で製造され
ている集積回路装置への損傷を減少させるための最小の
プラズマDCバイアスで、大きなエッチング速度を可能
とするために供されることである。この目的で、アンテ
ナへ供される電源と、ウエハペディスタルへ供されるD
Cバイアスは別々に制御されるRF供給源である。バイ
アスと、電力源を分離することは、よく知られた技術に
よりプラズマ濃度とイオンネルギーの独立制御を可能と
するものである。誘導結合プラズマを生成するために、
アンテナは、チャンバに隣接するコイルインダクターで
あり、そのコイルインダクターはRF電力源へと結合さ
れている。コイルインダクターは、プラズマを維持する
ためにRF電力を供する。コイルインダクターの構成
は、反応チャンバ内でのプラズマイオン濃度の空間分布
を大きく決定するものである。
【0050】図2を参照して、誘導結合RFプラズマ反
応装置は、接地された伝導性円筒状側壁10と、誘電性
シーリング12を有する反応チャンバであって、反応装
置は、チャンバの中心部で半導体ウエハ16を保持する
ウエハペディスタル14と;螺旋状インダクターコイル
40であって、ウエハ又はウエハペディスタルの頂部平
面近傍から始まってチャンバの上部の周りを囲み、かつ
チャンバの頂部方向へそこから上に延びているものと;
プロセスガス源22および、プロセスガスをチャンバ内
へ導入するガス流入口24と;真空ポンプとチャンバ圧
力制御スロットルとを有するものである。コイルインダ
クター40は、RF発生装置28のプラズマ電力源によ
り通常のアクティブRFマッチング回路を通じて駆動さ
れ、コイルインダクター40の頂部の巻部は「熱く」、
底部の巻部は接地されている。ウエハペディスタル14
は、内部誘導部32であってバイアスRF電力源又は発
生装置34に結合されたものを有し、かつ外部接地コン
ダクター36(内部伝導部32から絶縁されている)を
有するものである。伝導接地RFシールド20はコイル
インダクター18を取り囲む。
【0051】より新しい世代の誘導結合プラズマ反応装
置は、先行する古い型のものよりもより高いエッチング
速度を与える。従って、汚染堆積速度も増加し、パーテ
ィクル発生開始より早くなる。それゆえ、これらのより
速いより効率的なチャンバ又はエッチング装置のばあい
にはより主湿式クリーニングのための運転停止であるプ
ロセス停止はより高価なものとなるので、主湿式クリー
ニングに先立ってする中間的なクリーニング技術の必要
性が大きい。これらの装置(図2に示されたように)に
おける汚染パーティクルの蓄積の最も大きい源は、ドー
ム(シーリング)の内部の上部と、クランプリング15
(静電チャックが設けられている場合には使用されな
い)、フォーカスリング13及びペディスタルカバー
(図示せず)を含むプロセスキットである。本発明の無
機ハロゲン化ガス混合物のドライクリーニングエッチン
グの応用は、プロセスキットとをクリーニングするため
と、これらの反応装置のMWBCを大きく増大させるた
めである。通常、過剰のパーティクル蓄積による欠陥の
ため湿式クリーニングのためにチャンバを開ける必要性
は、チャンバのドーム又は壁の内部表面からの蓄積物及
びクランプリング15又はフォーカスリング装置13か
らのクレーク状物の剥がれ落ちによることにより引き起
こされる。
【0052】実験は、純粋な塩素と種々のSF6/Cl2
クリーニングガス処方を用いて、誘導結合プラズマチャ
ンバにて行った。SF6/Cl2処方は、sccm比率3
0/140、60/110、90/80、150/20
で、170sccm固定総流量の条件で試験された。純
粋塩素ガスでのドライクリーニングは、チャンバのドー
ム上の蓄積物のいくらかを取り除くことが見出された
が、SF6の量を追加していくとその蓄積物の除去は劇
的に改善され、150/20SF6/Cl2 ガス処方は
ドーム上の蓄積物を完全に取り除いた。ドーム上と、ド
ームの端部チャンバ壁上に残った堆積物の厚さはSF6
の比率を高めると減少することが見出された。
【0053】定量的には、SF6/Cl2クリーニングガ
ス処方中のSF6の量が増加するとドームの内部表面は
劇的に清浄化される。
【0054】上の実験データは、本発明のハロゲン化ガ
ス混合物がドライクリーニング技術であって、より効果
的にプラズマプロセスチャンバ内で蓄積する残渣を防止
し、クリーニングの必要がより少なく、より効果的に運
転可能とする結果をもたらすものであることを示してい
る。
【0055】本発明に記載されるように、当業者にとっ
ては、本発明の範囲内で種々の態様が可能であることは
明らかである。例えば、図1及び2のチャンバ構成は例
示である。他のプラズマ装置もまた、同様に、本発明の
ドライクリーニング処方を使用することにより効果的な
クリーニングがもたらされる。
【図面の簡単な説明】
【図1】 模式垂直断面図 、容量結合プラズマエッチ
ング装置の、本発明の無機ハロゲンガス混合物の清浄化
効果を示す図である。
【図2】 バイアス電力源からウエハペディスタルへ非
結合のプラズマ電源を有する、誘電結合エッチング装置
の模式図である。
【符号の説明】
10…伝導性円筒状側壁、12…誘電性シーリング、1
3…フォーカスリング、14…ウエハペディスタル、1
5…クランプリング、16…半導体ウエハ、18…コイ
ルインダクター、20…伝導接地RFシールド、28…
RF発生装置、32…内部伝導部 、34…バイアスR
F電力源、36…コンダクター、40…螺旋状インダク
ターコイル、100…平行板エッチング装置 、110
…プラズマエッチングチャンバ、112…頂部蓋 、1
14…チャンバハウジング、115…排気真空ポンプ結
合、116…ガス供給プレート 、117…RF電力供
給源 、120…ワークピース保持ペディスタル、12
1…ワークピース 、122…側壁、118…領域、1
29…内部ギャップ、130…管 、131…管
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ダイアナ シャオビン マ アメリカ合衆国, カリフォルニア州, サラトガ, キルト コート 19600 (72)発明者 ジェラルド ゼヤオ イン アメリカ合衆国, カリフォルニア州, モース アヴェニュー 1063, ナンバー 17−205 (72)発明者 ケシャヴ プラサド アメリカ合衆国, カリフォルニア州, サン ノゼ, ブライアーリーフ サーク ル 1202 (72)発明者 マーク シーゲル アメリカ合衆国, カリフォルニア州, サンタ クララ, バウアーズ アヴェニ ュー 3050 (72)発明者 スティーヴ エス. マック アメリカ合衆国, カリフォルニア州, プレザントン, モンテヴィノ ドライヴ 878 (72)発明者 ポール マルティネス アメリカ合衆国, カリフォルニア州, ミルピタス, ステラー ウェイ 1163 (72)発明者 ジェイムス エス. パパニュ アメリカ合衆国, カリフォルニア州, サン ラファエル, ホーリー ドライヴ 351 (72)発明者 ダニー チェン ル アメリカ合衆国, カリフォルニア州, ミルピタス, オレゴン コート 1006

Claims (22)

    【特許請求の範囲】
  1. 【請求項1】 プラズマ処理チャンバの内部表面をクリ
    ーニングする方法であって、 a)等しいか、又はより体積的に多い少なくとも1つの
    フッ素含有ガスと、等しいか、又はとり体積的に少ない
    少なくとも1つの塩素含有ガスとのエッチャントガス混
    合物を含む無機ハロゲン含有プラズマ反応ガスをプラズ
    マ処理チャンバへ導入すること、 b)反応ガス混合物を、原子状酸素含有種が実施的にな
    い環境下で励起してプラズマを形成すること、および c)チャンバの内部表面をプラズマの揮発性反応種と接
    触させて、少なくとも一部の有機及び金属性プロセス残
    渣副生成物が揮発化されチャンバのガス流出口部から除
    かれることを備える方法。
  2. 【請求項2】 請求項1に記載の方法であって、前記残
    渣の接触が前記プラズマと直接接触することを特徴とす
    る方法。
  3. 【請求項3】 請求項1に記載の方法であって、前記フ
    ッ素含有ガスが、SF6、NF3、ClF3、CF4、CH
    3、C48からなる群、およびその混合物より選ばれ
    ることを特徴とし、前記塩素含有ガスが、Cl2、HC
    l、BCl3、CCl4、SiCl4からなる群、および
    その混合物 から選ばれることを特徴とする方法。
  4. 【請求項4】 請求項3に記載の方法であって、前記フ
    ッ素含有ガスが、実質的に、SF6、NF3、ClF3
    らなる無機ガスの群、およびその混合物より選ばれるこ
    とを特徴とする方法。
  5. 【請求項5】 請求項3に記載の方法であって、前記フ
    ッ素含有ガスが、全ガス混合物の体積パーセントで約5
    0から90の量であることを特徴とする方法。
  6. 【請求項6】 請求項5に記載の方法であって、前記
    フッ素含有ガスが、全ガス混合物の体積パーセントで約
    52%から88%の量であることを特徴とする方法。
  7. 【請求項7】 請求項3に記載の方法であって、前記無
    機ハロゲン含有ガス混合物が、SF6/Cl2であること
    を特徴とする方法。
  8. 【請求項8】 ワークピースのプラズマプロセスに継続
    する残渣除去のためのプラズマプロセスの方法であっ
    て、 a)チャンバとお互いに逆に向けられた一対の電極から
    なるプラズマプロセス装置を与えること、 b)チャンバ内で、一方の電極が半導体ワークピースを
    保持し、プラズマグロー放電条件を発生するに十分な電
    気エネルギーを供給すること、 c)電極に供給される電気エネルギー条件下でプラズマ
    生成可能な反応ガスをチャンバへ導入すること、 d)ワークピースを、エッチング副生成物が発生し、汚
    染残渣堆積物としてチャンバの内部壁に付着するところ
    で、プラズマプロセスすること、 e)ワークピースをチャンバから取りだして、および f)(I)等しいか又は体積的により多い量の少なくと
    も1つのフッ素含有ガスと、等しいか又は体積的により
    少ない量の少なくとも1つの塩素含有ガスとのプラズマ
    反応性エッチャントガス混合物を、チャンバの内部空間
    に導入し、(II)反応性ハロゲンガス混合物のプラズ
    マを、実質的に酸素種のない環境下で発生し、さらに
    (III)チャンバの内部表面に付着している蓄積した
    汚染堆積物に前記プラズマを接触させ、プラズマが残渣
    をガス性種に変換し、チャンバから除くことからなる方
    法。
  9. 【請求項9】 請求項8に記載の方法であって、前記フ
    ッ素含有ガスが、SF6、NF3、ClF3、CF4、CH
    3、C48からなる群、およびその混合物より選ばれ
    ることを特徴とし、前記塩素含有ガスが、Cl2、HC
    l、BCl3、CCl4、SiCl4からなる群、および
    その混合物 から選ばれることを特徴とする方法。
  10. 【請求項10】 請求項9に記載の方法であって、前記
    フッ素含有ガスが、実質的に、SF6、NF3、ClF3
    からなる無機ガスの群、およびその混合物より選ばれる
    ことを特徴とする方法。
  11. 【請求項11】 請求項8に記載の方法であって、前記
    フッ素含有ガスが、全ガス混合物の体積パーセントで約
    50から90の量であることを特徴とする方法。
  12. 【請求項12】 請求項11に記載の方法であって、前
    記フッ素含有ガスが、全ガス混合物の体積パーセントで
    約52%から88%の量であることを特徴とする方法。
  13. 【請求項13】 請求項9に記載の方法であって、前記
    無機ハロゲン含有ガス混合物が、SF6/Cl2であるこ
    とを特徴とする方法。
  14. 【請求項14】 金属チャンバと、プラズマ発生ガス源
    と、及び前記ガスを前記エッチングチャンバに導入する
    手段、及び、前記チャンバ内でプラズマを発生するため
    の、前記チャンバ内の電極と電気的に結合した電磁気エ
    ネルギー源とを有するワークピースをプロセスするプラ
    ズマ装置において、等しいか、又はより体積的に多い少
    なくとも1つのフッ素含有ガスと、等しいか、又はより
    体積的に少ない少なくとも1つの塩素含有ガスとのエッ
    チャントガスからなるプラズマ発生エッチャントガス混
    合物を、実質的に酸素のないプラズマ環境への導入を制
    御する手段を有することを特徴とする装置。
  15. 【請求項15】 請求項14に記載の装置であって、前
    記フッ素含有ガスが、SF6、NF3、ClF3、CF4
    CHF3、C48からなる群、およびその混合物より選
    ばれることを特徴とし、前記塩素含有ガスが、Cl2
    HCl、BCl3、CCl4、SiCl4からなる群、お
    よびその混合物 から選ばれることを特徴とする装置。
  16. 【請求項16】 請求項14に記載の装置であって、前
    記フッ素含有ガスが、実質的に、SF6、NF3、ClF
    3からなる無機ガスの群、およびその混合物より選ばれ
    ることを特徴とする装置。
  17. 【請求項17】 請求項14に記載の装置であって、前
    記フッ素含有ガスが、全ガス混合物の体積パーセントで
    約50から90の量であることを特徴とする装置。
  18. 【請求項18】 請求項17に記載の装置であって、前
    記フッ素含有ガスが、全ガス混合物の体積パーセントで
    約52%から88%の量であることを特徴とする装置。
  19. 【請求項19】 請求項14に記載の装置であって、前
    記電磁気エネルギー源が前記プラズマ装置と誘導的に結
    合され、及びフッ素含有ガスが、流速90から約150
    sccmで導入され、同時に塩素含有ガスが流速約80
    から約20sccmで導入されることを特徴とする装
    置。
  20. 【請求項20】 請求項14に記載の装置であって、前
    記電磁気エネルギー源が前記プラズマ装置と誘導的に結
    合され、及びフッ素含有ガスが、流速30から約50s
    ccmで導入され、同時に塩素含有ガスが流速約140
    から約20sccmで導入されることを特徴とする装
    置。
  21. 【請求項21】 請求項16に記載の装置であって、前
    記無機ハロゲン含有ガス混合物が、SF6/Cl2である
    ことを特徴とする装置。
  22. 【請求項22】 反応チャンバの内部表面のドライクリ
    ーニングエッチングを実行を含むプラズマ反応装置内で
    のワークピースの残渣制御プラズマプロセスの方法であ
    って、前記エッチングが進行中の半導体ワークピースの
    プラズマプロセスでの途中であり、及び(a)等しい
    か、又はより体積的に多い少なくとも1つのフッ素含有
    ガスと、等しいか、又はより体積的に少ない少なくとも
    1つの塩素含有ガスとのエッチャントガスからなるハロ
    ゲン含有反応性ガス混合物を、真空プラズマプロセスチ
    ャンバへ導入すること、(b)反応性ハロゲンガス混合
    物のプラズマを、実質的に酸素種のない環境下で発生す
    ること、さらに(c)チャンバの内部表面に付着してい
    る蓄積した汚染堆積物に前記プラズマを接触させ、プラ
    ズマが残渣をガス性種に変換し、チャンバから除かれる
    ことを特徴とする方法。
JP8327062A 1995-12-08 1996-12-06 プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置 Withdrawn JPH09186143A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/568,064 US5756400A (en) 1995-12-08 1995-12-08 Method and apparatus for cleaning by-products from plasma chamber surfaces
US08/568064 1995-12-08

Publications (1)

Publication Number Publication Date
JPH09186143A true JPH09186143A (ja) 1997-07-15

Family

ID=24269789

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8327062A Withdrawn JPH09186143A (ja) 1995-12-08 1996-12-06 プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置

Country Status (3)

Country Link
US (1) US5756400A (ja)
JP (1) JPH09186143A (ja)
TW (1) TW410240B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012243958A (ja) * 2011-05-19 2012-12-10 Hitachi High-Technologies Corp プラズマ処理方法
KR20150104513A (ko) * 2014-03-05 2015-09-15 램 리써치 코포레이션 유전체 에칭 프로세스에서 무웨이퍼 세정

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3257328B2 (ja) 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US20050236109A1 (en) * 1995-03-16 2005-10-27 Toshio Masuda Plasma etching apparatus and plasma etching method
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US6347636B1 (en) * 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
JPH10144668A (ja) * 1996-11-14 1998-05-29 Tokyo Electron Ltd プラズマ処理方法
JP3594759B2 (ja) * 1997-03-19 2004-12-02 株式会社日立製作所 プラズマ処理方法
JP3801730B2 (ja) * 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6150628A (en) * 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6924455B1 (en) 1997-06-26 2005-08-02 Applied Science & Technology, Inc. Integrated plasma chamber and inductively-coupled toroidal plasma source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6003526A (en) * 1997-09-12 1999-12-21 Taiwan Semiconductor Manufacturing Company, Ltd In-sit chamber cleaning method
US6379575B1 (en) * 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6322714B1 (en) 1997-11-12 2001-11-27 Applied Materials Inc. Process for etching silicon-containing material on substrates
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
WO1999026277A1 (en) * 1997-11-17 1999-05-27 Mattson Technology, Inc. Systems and methods for plasma enhanced processing of semiconductor wafers
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6197699B1 (en) * 1998-01-20 2001-03-06 Lucent Technologies Inc. In situ dry cleaning process for poly gate etch
US6095159A (en) 1998-01-22 2000-08-01 Micron Technology, Inc. Method of modifying an RF circuit of a plasma chamber to increase chamber life and process capabilities
US6093655A (en) * 1998-02-12 2000-07-25 Micron Technology, Inc. Plasma etching methods
US6535779B1 (en) 1998-03-06 2003-03-18 Applied Materials, Inc. Apparatus and method for endpoint control and plasma monitoring
US6081334A (en) 1998-04-17 2000-06-27 Applied Materials, Inc Endpoint detection for semiconductor processes
US6067999A (en) * 1998-04-23 2000-05-30 International Business Machines Corporation Method for deposition tool cleaning
US6235213B1 (en) * 1998-05-18 2001-05-22 Micron Technology, Inc. Etching methods, methods of removing portions of material, and methods of forming silicon nitride spacers
WO1999067817A1 (en) * 1998-06-22 1999-12-29 Applied Materials, Inc. Silicon trench etching using silicon-containing precursors to reduce or avoid mask erosion
EP1125314A1 (en) 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
US6277759B1 (en) 1998-08-27 2001-08-21 Micron Technology, Inc. Plasma etching methods
US6491042B1 (en) * 1998-12-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Post etching treatment process for high density oxide etcher
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6214739B1 (en) * 1999-02-05 2001-04-10 Taiwan Semiconductor Manufacturing Company Method of metal etching with in-situ plasma cleaning
US6693038B1 (en) * 1999-02-05 2004-02-17 Taiwan Semiconductor Manufacturing Company Method for forming electrical contacts through multi-level dielectric layers by high density plasma etching
US6267121B1 (en) 1999-02-11 2001-07-31 Taiwan Semiconductor Manufacturing Company Process to season and determine condition of a high density plasma etcher
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
US6374833B1 (en) * 1999-05-05 2002-04-23 Mosel Vitelic, Inc. Method of in situ reactive gas plasma treatment
US7381344B1 (en) * 1999-05-12 2008-06-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce particle level for dry-etch
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
TW440952B (en) * 1999-07-12 2001-06-16 Lam Res Co Ltd Waferless clean process of dry etcher
CN1374890A (zh) 1999-07-12 2002-10-16 Asml美国公司 使用组合化学品原位清洗半导体制造装置的方法和系统
US6808647B1 (en) 1999-07-12 2004-10-26 Applied Materials Inc Methodologies to reduce process sensitivity to the chamber condition
US6461444B1 (en) * 1999-08-20 2002-10-08 Kaneka Corporation Method and apparatus for manufacturing semiconductor device
US6255222B1 (en) * 1999-08-24 2001-07-03 Applied Materials, Inc. Method for removing residue from substrate processing chamber exhaust line for silicon-oxygen-carbon deposition process
US6318384B1 (en) * 1999-09-24 2001-11-20 Applied Materials, Inc. Self cleaning method of forming deep trenches in silicon substrates
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
TW429533B (en) * 1999-10-18 2001-04-11 Taiwan Semiconductor Mfg Planarization method for polysilicon plug
US6805139B1 (en) 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6449038B1 (en) 1999-12-13 2002-09-10 Applied Materials, Inc. Detecting a process endpoint from a change in reflectivity
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6527968B1 (en) 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6500356B2 (en) 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US7084066B1 (en) * 2000-07-03 2006-08-01 Cypress Semiconductor Corporation Method of uniformly etching refractory metals, refractory metal alloys and refractory metal silicides
US6841008B1 (en) * 2000-07-17 2005-01-11 Cypress Semiconductor Corporation Method for cleaning plasma etch chamber structures
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
US6569257B1 (en) 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
JP4669605B2 (ja) * 2000-11-20 2011-04-13 東京エレクトロン株式会社 半導体製造装置のクリーニング方法
US6905800B1 (en) 2000-11-21 2005-06-14 Stephen Yuen Etching a substrate in a process zone
US6843258B2 (en) 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6852242B2 (en) 2001-02-23 2005-02-08 Zhi-Wen Sun Cleaning of multicompositional etchant residues
US6584987B1 (en) 2001-03-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for improved cleaning in HDP-CVD process with reduced NF3 usage
US6770214B2 (en) 2001-03-30 2004-08-03 Lam Research Corporation Method of reducing aluminum fluoride deposits in plasma etch reactor
US20020177321A1 (en) * 2001-03-30 2002-11-28 Li Si Yi Plasma etching of silicon carbide
US7084070B1 (en) 2001-03-30 2006-08-01 Lam Research Corporation Treatment for corrosion in substrate processing
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
JP2002359229A (ja) * 2001-06-01 2002-12-13 Mitsubishi Electric Corp 半導体装置の製造方法および半導体装置の製造装置
KR100825130B1 (ko) * 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
JP2003068705A (ja) * 2001-08-23 2003-03-07 Hitachi Ltd 半導体素子の製造方法
US20090001524A1 (en) * 2001-11-26 2009-01-01 Siegele Stephen H Generation and distribution of a fluorine gas
US20040151656A1 (en) * 2001-11-26 2004-08-05 Siegele Stephen H. Modular molecular halogen gas generation system
US20040037768A1 (en) * 2001-11-26 2004-02-26 Robert Jackson Method and system for on-site generation and distribution of a process gas
KR20040065154A (ko) * 2001-12-13 2004-07-21 쇼와 덴코 가부시키가이샤 반도체 제조장치용 클리닝가스 및 이 가스를 사용한클리닝방법
DE10229037A1 (de) * 2002-06-28 2004-01-29 Robert Bosch Gmbh Vorrichtung und Verfahren zur Erzeugung von Chlortrifluorid und Anlage zur Ätzung von Halbleitersubstraten mit dieser Vorrichtung
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US6767836B2 (en) * 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
JP4131813B2 (ja) * 2002-10-24 2008-08-13 株式会社半導体エネルギー研究所 プラズマエッチング方法及び半導体装置の作製方法
KR100541195B1 (ko) * 2003-05-09 2006-01-11 주식회사 아이피에스 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
US7067432B2 (en) * 2003-06-26 2006-06-27 Applied Materials, Inc. Methodology for in-situ and real-time chamber condition monitoring and process recovery during plasma processing
US6905624B2 (en) * 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US7055263B2 (en) * 2003-11-25 2006-06-06 Air Products And Chemicals, Inc. Method for cleaning deposition chambers for high dielectric constant materials
US7247561B2 (en) * 2003-12-11 2007-07-24 Micron Technology, Inc. Method of removing residual contaminants from an environment
US20050211171A1 (en) * 2004-03-26 2005-09-29 Applied Materials, Inc. Chemical vapor deposition plasma reactor having an ion shower grid
US7695590B2 (en) * 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
TWI256083B (en) * 2004-06-02 2006-06-01 Lam Res Co Ltd Seasoning method for etch chamber
US20070186953A1 (en) * 2004-07-12 2007-08-16 Savas Stephen E Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
CN100352013C (zh) * 2004-07-16 2007-11-28 鸿富锦精密工业(深圳)有限公司 干蚀刻后处理方法
US7767561B2 (en) * 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) * 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
KR101194020B1 (ko) * 2005-07-08 2012-10-24 매그나칩 반도체 유한회사 반도체 장치 제조 방법
KR100744115B1 (ko) * 2005-07-11 2007-08-01 삼성전자주식회사 챔버의 오염 상태 피드백을 이용한 반도체 기판의 처리방법
EP1912889B1 (en) * 2005-08-04 2015-09-02 SPP Process Technology Systems UK Limited A method of processing substrates
GB0605048D0 (en) * 2006-03-14 2006-04-26 Boc Group Plc Apparatus for treating a gas stream
JP5046639B2 (ja) * 2006-08-23 2012-10-10 富士フイルム株式会社 カラーフィルタの製造方法
JP4745273B2 (ja) * 2006-09-25 2011-08-10 株式会社東芝 半導体装置の製造方法及び半導体製造装置
JP4755963B2 (ja) * 2006-10-30 2011-08-24 株式会社東芝 半導体装置の製造方法
US7965551B2 (en) * 2007-02-07 2011-06-21 Macronix International Co., Ltd. Method for metal bit line arrangement
CN101647099B (zh) * 2007-05-31 2011-08-10 株式会社爱发科 等离子体处理装置的干式清洁方法
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US20110079251A1 (en) * 2009-04-28 2011-04-07 Olga Kryliouk Method for in-situ cleaning of deposition systems
JP5698950B2 (ja) 2009-10-23 2015-04-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120094499A1 (en) * 2010-10-15 2012-04-19 Siu Tang Ng Method of performing an in situ chamber clean
US8895116B2 (en) 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9114438B2 (en) * 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
KR102357845B1 (ko) * 2013-12-02 2022-01-28 어플라이드 머티어리얼스, 인코포레이티드 프로세스 챔버의 인-시츄 세정을 위한 방법들 및 장치
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP5762602B1 (ja) * 2014-06-24 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11062897B2 (en) * 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7154105B2 (ja) * 2018-10-25 2022-10-17 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112635318A (zh) * 2020-12-02 2021-04-09 华虹半导体(无锡)有限公司 半导体器件的刻蚀方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3806365A (en) * 1971-08-20 1974-04-23 Lee Corp Process for use in the manufacture of semiconductive devices
US5221423A (en) * 1986-05-20 1993-06-22 Fujitsu Limited Process for cleaning surface of semiconductor substrate
US4975146A (en) * 1989-09-08 1990-12-04 Motorola Inc. Plasma removal of unwanted material
JP2894658B2 (ja) * 1992-01-17 1999-05-24 株式会社東芝 ドライエッチング方法およびその装置
DE4202158C1 (ja) * 1992-01-27 1993-07-22 Siemens Ag, 8000 Muenchen, De
JP3502096B2 (ja) * 1992-06-22 2004-03-02 ラム リサーチ コーポレイション プラズマ処理装置内の残留物を除去するためのプラズマクリーニング方法
US5380370A (en) * 1993-04-30 1995-01-10 Tokyo Electron Limited Method of cleaning reaction tube
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012243958A (ja) * 2011-05-19 2012-12-10 Hitachi High-Technologies Corp プラズマ処理方法
KR20150104513A (ko) * 2014-03-05 2015-09-15 램 리써치 코포레이션 유전체 에칭 프로세스에서 무웨이퍼 세정

Also Published As

Publication number Publication date
TW410240B (en) 2000-11-01
US5756400A (en) 1998-05-26

Similar Documents

Publication Publication Date Title
JPH09186143A (ja) プラズマチャンバ表面から副生成物をクリーニングするための方法及び装置
JP4690308B2 (ja) 高温水素含有プラズマによるチャンバ及びウェーハ表面から物質を除去する方法及び装置
JP5061231B2 (ja) 水素含有ラジカルによる未変性酸化物の洗浄
JP4995390B2 (ja) プラズマ反応チャンバを洗浄および条件付けする方法
KR100271694B1 (ko) 기판 처리 장치로부터의 과플루오르 화합물 가스 방출을 감소시키기 위한 방법 및 장치
US6923189B2 (en) Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6379575B1 (en) Treatment of etching chambers using activated cleaning gas
US5779926A (en) Plasma process for etching multicomponent alloys
US6902629B2 (en) Method for cleaning a process chamber
JPH0831451B2 (ja) プラズマ反応容器のクリーニング方法
US20060090773A1 (en) Sulfur hexafluoride remote plasma source clean
US7097716B2 (en) Method for performing fluorocarbon chamber cleaning to eliminate fluorine memory effect
WO2002090615A1 (en) Duo-step plasma cleaning of chamber residues
Oehrlein et al. Plasma-based dry etching techniques in the silicon integrated circuit technology
WO2001004936A1 (en) Method of cleaning a semiconductor device processing chamber after a copper etch process
JPH05267256A (ja) 反応室の洗浄方法
US20040200498A1 (en) Method and apparatus for cleaning a substrate processing chamber
JPH07312363A (ja) プラズマエッチング装置におけるコンタミナント捕獲用コーティング層の形成方法および副次生成粒子の付着蓄積制御方法、並びにコンタミナント捕獲コーティングを有したプラズマエッチング装置
US6360754B2 (en) Method of protecting quartz hardware from etching during plasma-enhanced cleaning of a semiconductor processing chamber
JP4224374B2 (ja) プラズマ処理装置の処理方法およびプラズマ処理方法
JP2012243958A (ja) プラズマ処理方法
US20050072444A1 (en) Method for processing plasma processing apparatus
CN112185790A (zh) 清洁方法和等离子体处理装置
JPH05129246A (ja) 半導体製造装置のクリーニング方法
JP2003504864A (ja) チャンバ状態の処理感度を減じる新しい方法論

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040302