KR20140029289A - 플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법 - Google Patents

플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법 Download PDF

Info

Publication number
KR20140029289A
KR20140029289A KR1020130103099A KR20130103099A KR20140029289A KR 20140029289 A KR20140029289 A KR 20140029289A KR 1020130103099 A KR1020130103099 A KR 1020130103099A KR 20130103099 A KR20130103099 A KR 20130103099A KR 20140029289 A KR20140029289 A KR 20140029289A
Authority
KR
South Korea
Prior art keywords
hydrogen
active species
ion filter
diffusion
oxide film
Prior art date
Application number
KR1020130103099A
Other languages
English (en)
Other versions
KR101676903B1 (ko
Inventor
치아키 야스무로
다카시 사쿠마
오사무 요코야마
히로유키 도시마
마사미치 하라
한천수
모리히로 다카나시
도시아키 후지사토
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20140029289A publication Critical patent/KR20140029289A/ko
Application granted granted Critical
Publication of KR101676903B1 publication Critical patent/KR101676903B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02065Cleaning during device manufacture during, before or after processing of insulating layers the processing being a planarization of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

일 실시형태의 플라즈마 처리 장치는 처리용기, 탑재대, 리모트 플라즈마 유닛, 확산부, 및 이온 필터를 구비한다. 탑재대는 처리용기 내에 마련되어 있다. 리모트 플라즈마 유닛은 수소함유 가스를 여기시켜 여기 가스를 생성한다. 리모트 플라즈마 유닛에는 여기 가스의 출구가 형성되어 있다. 확산부는 리모트 플라즈마 유닛의 출구에 면하도록 마련되어 있고, 해당 출구로부터 흘러나오는 여기 가스를 받아, 수소 이온의 양이 감소된 수소의 활성종을 확산시킨다. 이온 필터는 확산부와 탑재대의 사이에 위치하고, 또한, 확산부로부터 이간하도록 마련되어 있다. 이온 필터는 확산부에 의해 확산된 수소의 활성종에 포함되는 수소 이온을 포착하여, 수소 이온의 양이 더욱 감소된 수소의 활성종을 통과시킨다.

Description

플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법{PLASMA PROCESSING APPARATUS AND CLEANING METHOD FOR REMOVING METAL OXIDE FILM}
본 발명의 실시형태는 플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법에 관한 것이다.
반도체 장치는 일반적으로, 반도체 소자 및 해당 반도체 소자의 배선을 갖는다. 반도체 장치의 배선에는, 예를 들면, 다층의 층간 절연막이 형성된 트렌치 홈이나 비어 홀에 동(Cu)과 같은 금속재료가 매립되는 것에 의해 형성되는 다층 배선 구조, 소위 다마신(damascene)구조가 이용되고 있다. 다마신 구조는 에칭에 의해 층간 절연막에 트렌치 홈 및 비어 홀을 형성하는 공정, 해당 트렌치 홈 및 비어 홀에 금속재료를 매립하는 고정이 반복되는 것에 의해 형성된다.
이러한 방법 등에 의해 제조되는 배선의 표면은 공정간에 있어서 산화되고, 따라서 배선의 표면에는 금속 산화막이 형성된다. 금속 산화막은 배선의 전기 저항값을 증가시키므로, 제거될 필요가 있다.
종래에 있어서는 배선의 금속 산화막을 제거하기 위해, H2 가스를 이용한 어닐 처리, 또는 Ar의 스퍼터링(Sputtering) 처리 등이 이용되고 있다. 그러나, H2 가스를 이용한 어닐 처리는 충분히 산화막을 환원할 수 없으며, 산화막의 제거가 불충분하게 될 수 있다. 또한, Ar의 스퍼터링 처리는 층간 절연막, 즉 유전체막에 손상을 주는 결과, 층간 절연막의 비유전률을 열화시킬 수 있다.
그래서, 수소 래디컬(radical)에 의해서 금속 산화막을 환원하는 것에 의해, 해당 금속 산화막을 제거하는 세정 방법이 특허문헌 1에 의해 제안되었다. 특허문헌 1에 기재된 세정 방법에서는 리모트 플라즈마원에 의해서 생성된 수소의 여기 가스가 이온 필터를 거쳐서 챔버 내에 도입되는 것에 의해, 금속 산화막이 환원되고, 제거된다.
일본국 특허 공개 공보 제 2011-82536 호
그런데, 반도체 장치에는 배선 밀도의 고밀도화 및 신호의 고속화 또한 요구된다. 그 때문에, 배선의 저항값을 더욱 감소시키고, 또한, 층간 절연막의 비유전률을 더욱 작게 하는 것이 요구된다.
따라서, 본 기술분야에 있어서는 금속 산화막을 세정할 수 있고, 금속의 주위의 유전체막에 가해지는 손상을 더욱 감소시키는 것이 요청된다.
일 측면에 있어서는 금속 산화막을 세정하기 위한 플라즈마 처리 장치가 제공된다. 이 플라즈마 처리 장치는 처리용기, 탑재대, 리모트 플라즈마 유닛, 확산부, 및 이온 필터를 구비한다. 탑재대는 처리용기 내에 마련되어 있다. 리모트 플라즈마 유닛은 수소함유 가스를 여기시켜, 수소의 활성종을 포함하는 여기 가스를 생성한다. 리모트 플라즈마 유닛에는 여기 가스의 출구가 마련되어 있다. 확산부는 리모트 플라즈마 유닛의 출구에 면하도록 마련되어 있고, 해당 출구로부터 흘러나오는 여기 가스를 받아, 수소 이온의 양이 감소된 수소의 활성종을 확산시킨다. 이온 필터는 확산부와 탑재대 사이에 기재되어 있으며, 또한, 확산부로부터 이간하도록 마련되어 있다. 이온 필터는 확산부에 의해서 확산되 수소의 활성종에 포함되는 수소 이온을 포착하여, 수소 이온의 양이 더욱 감소된 수소의 활성종을 탑재대를 향해 통과시킨다.
이 플라즈마 처리 장치에서는 리모트 플라즈마 유닛에 의해서 여기 가스가 생성된다. 여기 가스는 수소 이온 및 수소 래디컬을 포함하고 있다. 이 여기 가스는 피처리 기판에 조사되기 전에, 확산부에 조사된다. 이 확산부에 의해서, 수소 이온이 포착되고, 또한 수소의 활성종이 확산되는 결과, 확산되는 수소의 활성종에 포함되는 수소 이온의 양이 감소된다. 또한, 확산부에 의해서 확산된 수소의 활성종은 이온 필터를 통과하는 것에 의해, 수소 이온의 양이 더욱 감소된 상태에서, 피처리 기판에 조사된다. 이와 같이, 본 플라즈마 처리 장치에서는 수소 이온의 양이 대폭 저감된 수소의 활성종, 즉, 수소 래디컬이 피처리 기판에 조사된다. 그 결과, 금속 산화막을 세정, 제거 가능하고, 금속의 주위의 유전체막에 가해지는 손상을 대폭 저감하는 것이 가능하게 된다.
일 실시형태에 있어서 확산부는 접지 전위에 접속된 금속제의 평판이어도 좋다. 이 실시형태에서는 확산부에는 개구가 형성되어 있지 않고, 따라서, 확산부에 조사된 수소의 활성종은 확산에 의해서만 이온 필터에 도달할 수 있다.
일 실시형태에 있어서는 확산부는 이온 필터의 직경이 40% 이하의 직경을 갖고 있어도 좋다. 이 실시형태에서는 확산부에 의해서 확산된 수소의 활성종은 비교적 균등하게 이온 필터의 전체 영역에 도달할 수 있다. 그 결과, 피처리 기판의 전면에 있어서 비교적 균일하게 금속 산화막을 제거하는 것이 가능하다.
일 실시형태에 있어서는 이온 필터는 1 이상의 슬릿이 형성된 금속제의 판으로 구성되어 있어도 좋다. 또한, 일 실시형태에 있어서는 1 이상의 슬릿의 각각은 디바이(debye)의 길이 이상의 폭을 가져도 좋다. 슬릿의 폭이 디바이의 길이보다 작으면, 슬릿이 시스(sheath)로 채워질 수 있다. 그 결과, 수소 래디컬이 슬릿을 통과하기 어려워진다. 한편, 이 실시형태에서는 슬릿의 폭이 디바이의 길이 이상이므로, 수소 래디컬이 슬릿을 통과하기 쉬워진다. 그 결과, 금속 산화막의 제거 효율이 향상된다.
별도의 측면에 있어서는 유전체막에 둘러싸인 금속의 산화막을 세정하는 방법이 제공된다. 이 방법은 유전체막 및 금속 배선을 구비하는 피처리 기판이 처리 용기 내에 마련된 탑재대 상에 탑재된다. 그리고, 이 방법은 (a) 리모트 플라즈마 유닛에 있어서 수소함유 가스를 여기시켜 수소의 활성종을 포함하는 여기 가스를 생성하고 (b) 확산부에 의해서, 리모트 플라즈마 유닛의 출구로부터 흘러나오는 여기 가스에 포함되는 수소 이온의 양을 감소시켜, 수소 이온의 양이 감소된 수소의 활성종을 확산시키고, (c) 확산부에 의해서 확산된 수소의 활성종에 포함되는 수소 이온을 이온 필터에 의해서 포착하여, 해당 이온 필터를 거쳐서 수소 이온의 양이 더욱 감소된 수소의 활성종을 피처리 기판을 향해 공급하는 것을 포함한다. 이 방법에 의하면, 수소 이온의 양이 대폭 저감된 수소의 활성종, 즉, 수소 래디컬이 피처리 기판에 조사된다. 그 결과, 금속 산화막을 세정하고 제거하는 것이 가능하고, 금속의 주위의 유전체막에 가해지는 손상을 대폭 저감하는 것이 가능하게 된다.
상술한 다양한 측면 및 실시형태에 따르면, 금속 산화막을 세정하는 것이 가능하고, 금속 주위의 유전체막에 가해지는 손상을 더욱 감소시키는 것이 가능하다.
도 1은 일 실시형태에 관한 플라즈마 처리장치를 개략적으로 나타내는 도면이다.
도 2는 일 실시형태의 확산부 및 이온 필터를 나타내는 확대 단면도이다.
도 3은 일 실시형태의 이온 필터를 나타내는 평면도이다.
도 4는 도 3의 Ⅳ-Ⅳ선을 따라 나타낸 단면도이다.
도 5는 피처리 기판의 일 예인 다마신 구조의 일부를 나타내는 도면이다.
도 6은 실시예 1 ~ 2 및 비교예 1의 세정 후의 산소 농도의 측정 결과를 나타내는 그래프이다.
도 7은 실시예 3 및 비교예 2의 세정 후의 유전체막의 비유전률의 측정 결과를 나타내는 그래프이다.
도 8은 실시예 4 ~ 10 및 비교예 3의 세정 후의 유전체막의 산소, Si 및 탄소의 농도의 측정 결과를 나타내는 그래프이다.
도 9는 실시예 11 ~ 13 및 비교예 4에서의 Cu의 산화막의 환원의 균일성을 나타내는 그래프이다.
도 10은 실시예 14 ~ 16 및 비교예 5의 세정 후의 시트 저항의 측정 결과를 나타내는 그래프이다.
도 11은 실시예 17 ~ 18 및 비교예 6의 세정 후의 유전체막의 탄소 농도를 나타내는 그래프이다.
이하, 도면을 참조해서 각종 실시형태에 대해 상세하게 설명한다. 또, 각 도면에 있어서 동일 또는 상당 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.
도 1은 일 실시형태에 관한 플라즈마 처리 장치를 개략적으로 나타내고 있으며, 해당 플라즈마 처리 장치의 단면을 나타내고 있다. 도 1에 나타내는 플라즈마 처리장치(10)는 처리용기(12), 탑재대(14), 리모트 플라즈마 유닛(16), 확산부(18), 및 이온 필터(20)를 구비하고 있다.
처리용기(12)는 처리공간(S)를 포함하는 내부공간을 구획하고 있다. 처리용기(12)는 알루미늄과 같은 도체로 구성되어 있다. 처리용기(12)의 내벽면, 즉, 내부공간에 접하는 면에는 알루미늄의 산화막, 또는 용사(鎔射) 등에 의해 형성된 산화이트륨(Yttrium Oxide)막 등이 형성되어 있다. 이 처리용기(12)는 접지 전위에 접속되어 있다.
일 실시형태에 있어서는 처리용기(12)는 측부(12a), 바닥부(12b), 및 천부(12c)를 포함할 수 있다. 측부(12a)는 연직 방향으로 연장하는 대략 통형상을 갖고 있다. 바닥부(12b)는 측부(12a)의 하단에 연속되어 있으며, 처리용기(12) 내의 내부공간을 아래쪽으로부터 구획하고 있다. 천부(12c)는 측부(12a)의 상단의 개구를 닫도록 측부(12a) 상에 마련되어 있으며, 처리용기(12)의 내부공간을 위쪽으로부터 구획하고 있다.
바닥부(12b)에는 배기로(22)가 마련되어 있다. 배기로(22)에는 배기관(24)을 거쳐서 배기 장치(26)가 접속되어 있다. 배기 장치(26)는, 예를 들면, 터보 분자 펌프와 같은 감압 펌프 및 압력 조정기를 포함할 수 있다. 이 배기 장치(26)에 의해, 처리용기(12)의 내부공간은 원하는 압력이 되도록 조정한다.
처리용기(12)의 내부공간에는 탑재대(14)가 마련되어 있다. 상술한 처리공간 (S)은 탑재대(14)의 위쪽에 제공된다. 일 실시형태에 있어서는 탑재대(14)는 바닥부(12b)로부터 연직 방향으로 연장하는 지지부(28)에 의해서 지지되어 있다. 탑재대(14)는 피처리 기판 W를 유지하고, 피처리 기판 W의 온도를 제어하는 기능을 한다. 구체적으로는 탑재대(14)는 정전 척(14a) 및 히터(14b)를 포함할 수 있다. 정전 척(14a)은 직류 전원 회로(30)에 접속되어 있다. 정전 척(14a)은 직류 전원 회로(30)로부터 인가되는 직류 전압을 받아 쿨롱력(Coulomb's Force)을 발생하고, 이 쿨롱력에 의해서 피처리 기판 W를 흡착하여 가지고 있을 수 있다. 히터(14b)는 탑재대(14) 내에 매립되어 있다. 히터(14b)는 히터 전원(32)에 접속되어 있으며, 해당 히터 전원(32)으로부터 공급되는 전력에 의해 열을 발생한다. 이 히터(14b)에 의해, 피처리 기판 W의 온도를 조정할 수 있다.
리모트 플라즈마 유닛(16)은 처리용기(12)의 천부(12c)의 위에 마련되어 있다. 리모트 플라즈마 유닛(16)은 수소함유 가스를 여기시켜, 수소의 활성종을 포함하는 여기 가스를 생성한다. 리모트 플라즈마 유닛(16)은 일 실시형태에 있어서는 유도 결합형의 플라즈마원이다. 이 실시형태에서는 리모트 플라즈마 유닛(16)은 처리공간(S)의 위쪽에 있어서 플라즈마 생성 공간(16s)를 구획하고 있다. 또한, 리모트 플라즈마 유닛(16)은 해당 플라즈마 생성 공간(16s)을 둘러싸도록 코일을 가질 수 있다. 이 리모트 플라즈마 유닛(16)의 코일에 해당 코일에 고주파 전력을 공급하는 고주파 전원(34)이 접속되어 있다. 또한, 리모트 플라즈마 유닛(16)에는 해당 리모트 플라즈마 유닛(16)의 온도를 조정하기 위한 냉매유로가 형성되어 있고, 해당 냉매유로에는 냉각기(36)가 접속되어 있다.
리모트 플라즈마 유닛(16)의 플라즈마 생성공간(16s)에는 가스 공급계(GS)가 접속되어 있다. 가스 공급계(GS)는 플라즈마 생성 공간(16s)에 수소함유가스를 공급한다. 일 실시형태에 있어서는 가스 공급계(GS)는 플라즈마 생성 공간(16s)에 수소함유 가스를 공급한다. 일 실시형태에 있어서는 가스 공급계(GS)는 가스원(G1), 밸브(V11), 매스플로 컨트롤러(mass flow controller) (M1), 및 밸브(V12)와, 가스원(G2), 매스플로 컨트롤러(M2), 및 밸브(V22)를 포함하고 있다.
가스원(G1)은 H2 가스의 가스원이며, 밸브(V11), 매스플로 컨트롤러(M1), 및 밸브(V12)를 거쳐, 플라즈마 생성 공간(16s)에 접속되어 있다. 가스원(G1)으로부터 플라즈마 생성 공간(16s)에 공급되는 H2 가스의 유량은 매스플로 컨트롤러(M1)에 의해서 조정된다. 또한, 가스원(G2)은 희가스의 가스원이며, 일 실시형태에서는 Ar 가스의 가스원이다. 가스원(G2)은 밸브(V21), 매스플로 컨트롤러(M2), 및 밸브(V22)를 거쳐, 플라즈마 생성 공간(16s)에 공급되는 Ar 가스의 유량은 매스플로 컨트롤러(M2)에 의해서 조정된다.
리모트 플라즈마 유닛(16)에서는 플라즈마 생성 공간(16s)에 수소 함유 가스가 공급된다. 또한, 고주파 전원(34)으로부터 공급되는 고주파 전력에 의해, 플라즈마 생성 공간(16s) 내에 있어서 유도 전자계가 형성된다. 이에 따라, 플라즈마 생성 공간(16s)에 있어서, 수소함유 가스가 여기되고, 여기 가스가 생성된다. 이 여기 가스 중의 수소의 활성종은 수소 이온 및 수소 래디컬을 포함한다. 리모트 플라즈마 유닛(16)에는 해당 여기 가스의 출구(16e)가 마련되어 있다. 출구(16e)는 일 실시형태에 있어서 처리용기(12)의 천부(12c)에 형성된 개구 및 처리공간 S를 거쳐서 탑재대(14)의 상면(정전척(14a)), 즉, 피처리 기판 W에 면하도록 개구되어 있다.
이하, 도 1과 함께, 도 2, 도 3 및 도 4를 참조한다. 도 2는 일 실시형태의 확산부 및 이온 필터를 나타내는 확대 단면도이다. 도 3은 일 실시형태의 이온 필터를 나타내는 평면도이다. 도 4는 도 3의 Ⅳ-Ⅳ선을 따라 나타낸 단면도이다. 확산부(18)는 출구(16e)와 탑재대(14)의 사이에 있어서, 출구(16e)에 면하도록 마련되어 있다. 확산부(18)는 출구(16e)로부터의 여기 가스에 포함되는 수소의 활성종 중 수소 이온의 양을 감소시키고, 수소 이온의 양이 감소된 수소의 활성종을 확산시킨다. 일 실시형태에 있어서는 확산부(18)는 알루미늄과 같은 금속제의 평판이고, 원반형상을 가질 수 있다. 즉, 확산부(18)에는 수소의 활성종을 통과시키기 위한 개구 등은 형성되어 있지 않다. 이 확산부(18)는 알루미늄과 같은 도체로 구성된 지지체(38)을 거쳐서 처리용기(12)의 천부(12c)에 접속되어 있다. 따라서, 확산부(18)는 접지 전위에 접속되어 있다.
확산부(18)는 출구(16e)로부터 흘러나오는 여기 가스를 받는다. 확산부(18)는 접지 전위에 접속되어 있으므로, 여기 가스에 포함되는 수소의 활성종 중 수소 이온은 부분적으로 또는 대부분에 있어서, 확산부(18)에 의해서 포착된다. 또한, 여기 가스에 포함되는 수소의 활성종 중 수소 래디컬은 확산부(18)에 충돌해서 반사되는 것에 의해, 확산부(18)의 주위에 확산된다.
이온 필터(20)는 확산부(18)와 탑재대(14)의 사이에 개재하도록 마련되어 있다. 즉, 이온 필터(20)는 탑재대(14)에서 보아, 확산부(18)를 덮도록 마련되어 있다. 또한, 이온 필터(20)는 확산부(18)의 아래쪽에 있어서, 해당 확산부(18)로부터 이간하도록 배치되어 있다. 이온 필터(20)는 확산부(18)에 의해서 확산된 수소의 활성종으로부터 수소의 이온을 더욱 감소시키고, 수소 이온의 양이 더욱 감소된 수소의 활성종을 통과시킨다.
일 실시형태에 있어서는 이온 필터(20)는 원반형상의 금속제의 판으로 구성되어 있다. 이 이온 필터(20)는 확산부(18)와 대략 동축 또한 대략 평행하게 마련되어 있다. 또한, 이온 필터(20)는 확산부(18)의 아래쪽에 있어서 해당 확산부(18)로부터 이간해서 배치되어 있다. 따라서, 확산부 (18)에 의해서 확산된 수소의 활성종은 확산부(18)의 아래쪽으로 돌아 들어갈 수 있다. 이온 필터(20)의 둘레의 가장자리부에는 금속제이고 또한 통 형상의 지지부(40)의 하단이 접속되어 있고, 해당 지지부(40)의 상단은 처리 용기(12)의 천부(12c)에 접속되어 있다. 따라서, 이온 필터(20)는 접지 전위에 접속되어 있다.
이온 필터(20)에는 수소의 활성종 중 수소 래디컬을 통과시킬 목적으로 1 이상의 관통 구멍이 형성되어 있다. 1 이상의 관통 구멍은 이온 필터(20)의 둘레 가장자리부를 제외한 전체 영역에 걸쳐 형성되어 있다. 일 실시형태에 있어서는 이온 필터(20)의 상면에서 하면까지 관통하는 복수의 슬릿(20s)이 소정의 간격으로 둘레 가장자리부를 제외한 이온 필터(20)의 전체 영역에 형성되어 있다.
이 이온 필터(20)는 확산부(18)에 의해서 확산된 수소의 활성종 중 수소 이온을 포착한다. 확산부(18)에 의해서 확산된 수소의 활성종 중 수소 래디컬은 이온 필터(20)의 슬릿(20s)을 통과할 수 있다. 따라서, 슬릿(20s)을 통과한 수소 래디컬이 피처리 기판 W에 조사된다.
피처리 기판 W는 일 예에 있어서는 다마신 구조를 갖는다. 다마신 구조는 복수의 층간 절연막을 갖는다. 이들 층간 절연막은 Low-k 재료, 즉 저유전율 재료로 구성된 유전체막이다. 도 5는 피처리 기판의 일 예인 다마신 구조의 일부를 나타내는 도면이다. 도 5에 나타내는 바와 같이, 도 5에는 다마신 구조에 포함되는 층간 절연막(L10) 및 (L12)가 나타나 있다. 층간 절연막(L10) 및 (L12)와 같은 유전체막은 산소 및 실리콘(Si)을 포함하는 사슬구조를 갖고, 실리콘에 메틸기가 결합된 구조를 가질 수 있다. 이러한 유전체막의 일 예는 SiCOH Low-k막이다.
도 5에 나타내는 바와 같이, 층간 절연막(L10)에는 비어 홀(VH)이 형성되어 있고, 층간 절연막 (L12)에는 트렌치 홈(TG)이 형성되어 있다. 비어 홀(VH) 및 트렌치 홈(TG)은 에칭에 의해 형성될 수 있다. 이들 비어 홀(VH) 및 트렌치 홈(TG)에는 Cu와 같은 금속제의 배선(ML)이 매립되어 있다. 다마신 구조는 도 5에 나타내는 구조가 반복 중첩된 구조를 갖는 것에 의해, 반도체 디바이스에 대한 다층 배선을 제공한다. 여기서, 배선(ML)의 표면에는 해당 배선(ML) 상에 별도의 배선 또는 층간 절연막과 같은 층이 형성될 때까지의 동안에 금속 산화막(OF)이 형성된다.
플라즈마 처리 장치(10)는 이러한 산화막 (OF)를 제거할 수 있고, 또한 층간 절연막 (L12)의 손상을 억제할 수 있다. 이하, 그 원리를 설명하고, 일 실시형태에 관한 금속의 산화막을 세정하는 방법에 대해 설명한다.
상술한 바와 같이, 플라즈마 처리 장치(10)에서는 리모트 플라즈마 유닛(16)에 의해서 수소함유 가스가 여기되고, 여기 가스가 생성된다. 이 여기 가스는 출구(16e)를 통과해서 확산부(18)에 의해서 받아진다. 그리고, 여기 가스 중의 수소의 활성종 중 수소 이온은 부분적 또는 대부분에 있어서 확산부(18)에 의해서 포착되고, 수소 이온의 양이 저감된 수소의 활성종이 확산부(18)의 주위에 확산된다.
다음에, 확산부(18)에 의해서 확산된 수소의 활성종은 이온 필터(20)에 도달한다. 이온 필터(20)는 수소의 활성종에 포함되는 수소 이온을 포착하고, 해당 수소 이온의 양을 더욱 감소시킨다. 또한, 이온 필터(20)는 수소 이온의 양이 더욱 감소된 수소의 활성종을 통과시켜, 해당 수소의 활성종을 피처리 기판 W를 향해 공급한다.
이와 같이 해서 피처리 기판 W에 조사되는 수소의 활성종에 의해, 산화막(OF)은 환원되어 제거된다. 또한, 피처리 기판 W에 조사되는 수소의 활성종에 있어서는 수소 이온의 양이 대폭 감소되어 있다. 따라서, 피처리 기판 W에 조사되는 수소의 활성종의 대부분은 수소 래디컬이 된다. 수소 이온은 층간 절연막(L12), 즉 유전체막의 메틸기를 절단할 수 있지만, 수소 래디컬은 유전체막의 메틸기의 절단을 억제하면서, 산화막(OF)을 제거할 수 있다. 따라서, 층간 절연막(L12)의 손상이 억제되고, 더 나아가서는 층간 절연막(L12)의 비유전률의 증가를 억제하는 것이 가능하다.
도 1에 나타나는 바와 같이, 일 실시형태에 있어서는 플라즈마 처리장치(10)는 제어부(CNT)를 더 구비할 수 있다. 제어부(CNT)는 프로그램 가능한 컴퓨터 장치와 같은 제어기일 수 있다. 제어부 (CNT)는 레시피에 의거하는 프로그램에 따라 플라즈마 처리장치(10)의 각 부를 제어할 수 있다. 예를 들면, 제어부(CNT)는 밸브(V11, V12)에 제어 신호를 송출하여, H2 가스의 공급 및 공급 정지를 제어할 수 있고, 매스플로 컨트롤러(M1)에 제어 신호를 송출하여, H2 가스의 유량을 제어할 수 있다. 또한, 제어부(CNT)는 밸브(V21, V22)에 제어 신호를 송출하여, 희가스의 공급 및 공급 정지를 제어할 수 있고, 매스플로 컨트롤러(M2)에 제어 신호를 송출하여, 희가스의 유량을 제어할 수 있다. 또한, 제어부(CNT)는 배기 장치(26), 히터 전원(32), 고주파 전원(34)에 제어 신호를 송출하여 고주파 전력의 파워, 탑재대(14)의 온도(즉, 피처리 기판 W의 온도), 배기 장치(26)의 배기량을 조정할 수 있다.
일 실시형태에 있어서는 확산부(18)는 이온 필터(20)의 직경(도 2의 "R20" 참조)의 40% 이하의 직경(도 2의 "R18" 참조)을 갖고 있어도 좋다. 이러한 직경을 갖는 확산부(18)에 의하면, 해당 확산부(18)의 아래쪽으로도 수소의 활성종이 확산한다. 따라서, 이온 필터(20)의 전체 영역에 수소의 활성종이 도달할 수 있다. 그 결과, 피처리 기판 W에 대해 수소 래디컬을 비교적 균일하게 조사하는 것이 가능하게 된다.
또한, 일 실시형태에 있어서는 슬릿(20s)은 디바이의 길이 이상의 폭(도 4의 "W20" 참조)을 가질 수 있다. 디바이의 길이 λD는 하기의 (1)식에 의해 정의된다.
Figure pat00001
(1)
여기서, ε0 은 진공의 유전율이고, Κ는 볼츠만 상수이고, Te 는 전자온도이고, n0 은 전자밀도이며, e는 전기 소량(素量)이다. 플라즈마 처리 장치(10)에서는 전자밀도 n0
Figure pat00002
정도이며, 전자온도 Te 는 4(eV) 정도이다. 따라서, 플라즈마 처리 장치(10)에서 디바이의 길이 λD는 1.5 mm로 되고, 일 실시형태에 있어서는 슬릿(20s)은 1.5 mm의 폭을 갖는 것으로 된다.
슬릿(20s)의 폭이 디바이의 길이보다 작으면, 슬릿(20s)은 시스로 채워진다. 그 결과, 수소 래디컬이 슬릿(20s)을 통과하기 어려워진다. 한편, 슬릿(20s)의 폭이 디바이의 길이 이상이면, 수소 래디컬이 슬릿(20s)을 통과하기 쉬워진다. 그 결과, 효율적으로, 산화막(OF)을 제거하는 것이 가능하게 된다.
이하, 실시예를 들어 더욱 상세하게 설명하겠지만, 본 발명은 실시예에 한정되는 것은 아니다.
(실시예 1 ~ 2 및 비교예 1)
실시예 1 ~ 2 및 비교예 1에 있어서는 직경 300 mm의 기판의 1 주면상에 Cu를 균일하게 마련한 피처리 기판를 준비하고, Cu 표면의 산화막의 세정을 실행하였다. 실시예 1 및 실시예 2에서는 플라즈마 처리 장치(10)를 이용한 세정을 각각 15 초, 30 초간 실행하였다. 실시예 1 및 실시예 2의 다른 조건을 이하에 나타낸다.
(실시예 1 및 실시예 2의 조건)
피처리 기판의 온도: 250℃
처리용기(12)내의 압력: 400 mTorr(53.55 Pa)
Ar 가스 유량: 110 sccm
H2 가스 유량: 13 sccm
고주파 전원(34)의 고주파 전력의 파워: 2 kW
고주파 전원(34)의 고주파 전력의 주파수: 3 MHz
확산부(18): 직경 120 mm, 두께(도 2의 "R18" 참조) 6 mm, 알루미늄제
이온 필터(20): 직경 300 mm, 두께(도 4의 "T20" 참조) 10 mm, 알루미늄제
슬릿(20s): 폭 1.5 mm, 간격(도 4의 "PI" 참조) 4.5 mm
확산부(18)와 이온 필터(20)의 사이의 갭 길이(도 2의 "GP" 참조): 42.25 mm
비교예 1에 있어서는 H2 가스를 이용한 어닐 처리에 의해, Cu 표면의 산화막의 세정을 실행하였다. 비교예 1의 조건을 이하에 나타낸다.
(비교예 1의 조건)
피처리 기판의 온도: 265℃
처리용기내의 압력: 5.7 Torr(759.9 Pa)
Ar 가스 유량: 0 sccm
H2 가스 유량: 1120 sccm
처리 시간: 60 초
실시예 1 ~ 2 및 비교예 1의 세정 후의 피처리 기판의 Cu 표면의 산소 농도를 2차 이온 질량 분석계(SIMS: Secondary Ion Mass Spectrometry)를 이용하여 측정하였다. 이 측정에 이용한 장치는 ULVAC PHI사제 ADEPT1010이다. 도 6에, 실시예 1 ~ 2 및 비교예 1의 세정 후의 피처리 기판의 Cu 표면의 산소 농도를 나타낸다. 또한, 도 6에, 세정 전의 Cu 표면의 산소 농도를 "참고"로서 나타낸다. 또, 도 6에서는 측정에 이용한 장치의 측정 한계를 파선으로 나타내고 있다.
도 6에 나타내는 바와 같이, 비교예 1의 세정 후의 Cu 표면으로부터는 비교적 높은 산소 농도가 측정되었다. 따라서, 비교예 1, 즉 H2 가스를 이용한 어닐 처리에서는 Cu 표면의 산화막의 제거 능력이 높은 것이 확인되었다. 한편, 실시예 1 및 실시예 2의 세정 후의 Cu 표면으로부터는 측정에 이용한 장치의 검출 한계에 가까운 산소 농도가 측정되었다. 따라서, 실시예 1 및 실시예 2의 세정은 Cu 표면의 산화막의 제거 능력이 높은 것이 확인되었다.
(실시예 3 및 비교예 2)
실시예 3 및 비교예 2에서는 직경 300 mm의 기판의 1 주면 상에 유전체막을 균일하게 마련한 피처리 기판를 준비하고, 세정을 실행하였다. 유전체막으로서는 SiCOH Low-k막을 이용하였다. 유전체막의 두께는 150 nm이었다. 실시예 3의 조건을 이하에 나타낸다.
(실시예 3의 조건)
피처리 기판의 온도: 250℃
처리용기(12) 내의 압력: 400 mTorr(53.55 Pa)
Ar 가스 유량: 110 sccm
H2 가스 유량: 13 sccm
고주파 전원(34)의 고주파 전력의 파워: 2 kW
고주파 전원(34)의 고주파 전력의 주파수: 3 MHz
확산부(18): 직경 120 mm, 두께 6 mm, 알루미늄제
이온 필터(20): 직경 300 mm, 두께 10 mm, 알루미늄제
슬릿(20s): 폭 1.5 mm, 간격 4.5 mm
확산부(18)와 이온 필터(20)의 사이의 갭 길이: 42.25 mm
처리 시간: 30 초
비교예 2의 세정의 조건은 플라즈마 처리 장치(10)로부터 확산부(18) 및 이온 필터(20)을 제거한 것을 제외하고, 실시예 3과 마찬가지로 하였다.
실시예 3 및 비교예 2의 쌍방에 대해, 세정 전후의 유전체막의 비유전율은 수은 프로브법에 의해 측정하였다. 그 결과를, 도 7에 나타낸다. 도 7에 나타내는 바와 같이, 비교예 2, 즉 확산부(18) 및 이온 필터(20)를 제거한 경우에는 세정 전의 유전체막의 비유전률에 대해, 세정 후의 유전체 막의 비유전률은 크게 증가하고 있었다. 한편, 실시예 3에서는 세정 전의 비유전률과 세정 후의 유전체막의 비유전률을 대략 동일하였다. 이것으로부터, 실시예 3의 세정은 유전체막을 실질적으로 손상시키는 일이 없는 것이 확인되었다.
(실시예 4 ~ 10 및 비교예 3)
실시예 4 ~ 10 및 비교예 3에서는 직경 300 mm의 기판의 일 주면상에 유전체막을 균일하게 마련한 피처리 기판를 준비하고, 세정을 실행하였다. 유전체막으로서는 SiCOH Low-k막을 이용하였다. 유전체막의 두께는 150 nm이었다. 실시예 4 ~ 7에서는 고주파 전원(34)의 전력을 서로 다르게 하고, 실시예 8 ~ 10에서는 Ar 가스와 H2 가스의 유량을 서로 다르게 하였다. 이하에, 실시예 4 ~ 10의 조건을 나타낸다.
(실시예 4 ~ 10의 조건)
피처리 기판의 온도: 250℃
처리용기(12) 내의 압력: 400 mTorr(53.55 Pa)
실시예 4 ~ 7의 Ar 가스 유량: 110 sccm
실시예 4 ~ 7의 H2 가스 유량: 13 sccm
실시예 4 ~ 7의 고주파 전원(34)의 고주파 전력의 파워: 1 kW, 1.5 kW, 2 kW, 2.5 kW
실시예 8 ~ 10의 Ar 가스 유량: 55 sccm, 110 sccm, 220 sccm
실시예 8 ~ 10의 H2 가스 유량: 6 sccm, 13 sccm, 26 sccm
실시예 8 ~ 10의 고주파 전원(34)의 고주파 전력의 파워: 2 kW
고주파 전원(34)의 고주파 전력의 주파수: 3 MHz
확산부(18): 직경 120 mm, 두께 6 mm, 알루미늄계
이온 필터(20): 직경 300mm, 두께 10mm, 알루미늄계
슬릿(20s): 폭 1.5 mm, 간격 4.5 mm
확산부(18)와 이온필터(20)의 사이의 갭 길이: 42.25 mm
처리시간: 30 초
비교예 3의 세정의 조건은 플라즈마 처리 장치(10)로부터 확산부(18) 및 이온 필터(20)를 제거한 것을 제외하고, 실시예 6과 마찬가지로 하였다.
실시예 4 ~ 10 및 비교예 3의 세정 후의 유전체막의 산소, Si, 및 탄소의 농도를 Ar-XPS(Angle Resolved XPS)에 의해 측정하였다. 이 측정에 이용한 장치는 써모 피셔 사이언티픽사(Thermo Fisher Scientific)제 Theta Probe이었다. 도 8에, 실시예 4 ~10 및 비교예 3의 세정 후의 유전체막의 산소, Si, 및 탄소의 농도를 나타낸다. 또, 도 8에서는 세정 전의 유전체막의 산소, Si, 및 탄소의 농도의 일 예를 "참고"로서 나타내고 있다.
도 8에 나타나는 바와 같이, 비교예 3, 즉 확산부(18) 및 이온 필터(20)를 제거한 경우에는 세정 전의 유전체막의 탄소 농도에 대해, 세정 후의 유전체막의 탄소 농도는 크게 저하되어 있었다. 이것은 유전체막 중의 메틸기가 절단된 것을 나타내고 있다. 한편, 실시예 4 ~ 10에서는 세정 전의 유전체막의 탄소 농도와 세정 후의 유전체막의 탄소 농도의 사이에 큰 변화는 없었다. 이것으로부터, 실시예 4 ~ 10의 세정에서는 유전체막에 대한 손상이 억제되는 것이 확인되었다. 또한, 실시예 4 ~ 10의 세정의 결과로부터, 고주파 전원(34)의 전력, 및 H2 가스와 Ar 가스의 유량을 바꾸어도, 유전체막의 비유전률에 큰 변화는 없는 것이 확인되었다. 이것으로부터, 유전체막의 비유전률은 세정에 있어서의 고주파 전원(34)의 전력, 및 H2 가스와 Ar 가스의 유량에 대한 의존성이 작은 것이 확인되었다.
(실시예 11 ~ 13 및 비교예 4)
실시예 11 ~ 13 및 비교예 4에서는 직경 300 mm의 기판의 1 주면상에 Cu를 균일하게 마련한 피처리 기판를 준비하고, Cu 표면의 산화막의 세정을 실행하였다. 이들 실시예 11 ~ 13 및 비교예 4에 있어서, Cu 산화막의 두께는 30 nm이었다. 실시예 11 ~ 13에서는 각각, 확산부(18)의 직경을 90 mm, 120 mm, 160 mm로 하였다. 또한, 비교예 4에서는 확산부(18)를 제거하였다. 즉, 비교예 4에서는 확산부(18)의 직경을 0 mm로 하였다. 실시예 11 ~ 13 및 비교예 4의 다른 조건을 이하에 나타낸다.
(실시예 11 ~ 13 및 비교예 4의 조건)
피처리 기판의 온도: 250℃
처리용기(12)내의 압력: 400 mTorr(53.55 Pa)
Ar 가스 유량: 110 sccm
H2 가스 유량: 13 sccm
고주파 전원(34)의 고주파 전력의 파워: 2 kW
고주파 전원(34)의 고주파 전력의 주파수: 3 MHz
확산부(18): 두께 6 mm, 알루미늄제
이온 필터(20): 직경 300 mm, 두께 10 mm, 알루미늄제
슬릿(20s): 폭 1.5 mm, 간격 4.5 mm
확산부(18)와 이온 필터(20)의 사이의 갭 길이: 42.25 mm
처리 시간: 120 초
실시예 11 ~ 13 및 비교예 4의 각각에 대해, Cu 산화막의 환원 평가를 4 탐침법에 의한 시트 저항을 이용해서 실행하였다. 구체적으로는 실시예 11 ~ 13 및 비교예 4의 각각에 대해, 직경 300 mm의 피처리 기판의 면내의 시트 저항을 49 포인트 측정하고, 얻어진 49포인트의 시트 저항의 편차(1σ)를 구하였다. 시트 저항의 측정에 이용한 장치는 히다치국제전기 엔지니어링사(HITACHI KOKUSAI DENKI ENGINEERING)제 VR300DSE 이었다. 또한, 49포인트의 측정 개소는 피처리 기판의 중심으로부터 반경 방향으로 49 mm, 98 mm, 147 mm의 동심원형상으로 설정하였다. 즉, 실시예 11 ~ 13 및 비교예 4의 각각에 대해, 확산부의 유무 또는 확산부의 직경을 다르게 한 것을 제외하고, 환원의 처리 조건(고주파 전원의 파워, 처리 시간 등)을 동일 조건으로 해서, 시트 저항을 측정하고, 편차(1σ)를 구하였다. 도 9에, 실시예 11 ~ 13 및 비교예 4에서의 Cu의 산화막의 환원의 정도의 균일성의 평가 결과를 나타낸다. 구체적으로 설명하면, 도 9는 실시예 11 ~ 13 및 비교예 4 각각의 시트 저항의 편차(1σ)를 나타내고 있다. 이 도 9에서 알 수 있듯이, 확산부(18)의 직경이 작아질수록, Cu산화막의 환원 정도의 편차는 작아지고 있다.
(실시예 14 ~ 16 및 비교예 5)
실시예 14 ~ 16 및 비교예 5에서는 직경 300 mm의 기판의 1주면상에 Cu를 균일하게 마련한 피처리 기판를 준비하고, Cu 표면의 산화막의 세정을 실행하였다. 실시예 14 ~ 16에서는 각각, 확산부(18)의 직경을 90 mm, 120 mm, 160 mm로 하였다. 또한, 비교예 5에서는 확산부(18)를 제거하였다. 즉, 비교예 5에서는 확산부(18)의 직경을 0 mm로 하였다. 실시예 14 ~ 16 및 비교예 5의 다른 조건을 이하에 나타낸다.
(실시예 14 ~ 16 및 비교예 5의 조건)
피처리 기판의 온도: 250℃
처리용기(12) 내의 압력: 400 mTorr(53.55 Pa)
Ar 가스 유량: 110 sccm
H2 가스 유량: 13 sccm
고주파 전원(34)의 고주파 전력의 파워: 2 kW
고주파 전원(34)의 고주파 전력의 주파수: 3 MHz
확산부(18): 두께 6 mm, 알루미늄제
이온 필터(20): 직경 300 mm, 두께 10 mm, 알루미늄제
슬릿(20s): 폭 1.5 mm, 간격 4.5 mm
확산부(18)와 이온 필터(20)의 사이의 갭 길이: 42.25 mm
처리 시간: 240 초
실시예 14 ~ 16 및 비교예 5의 각각에 있어서, 세정 후의 피처리 기판의 중심의 Cu의 시트 저항을 측정하였다. 그 결과를 도 10에 나타낸다. 또, 도 10에 나타내는 파선은 Cu의 산화막을 제거하고 있지 않은 상태에서의 피처리 기판의 중심의 시트 저항을 나타내고 있다. 도 10에 나타내는 바와 같이, 실시예 16, 즉 직영 160 mm의 확산부(18)를 이용한 경우에는 피처리 기판의 중심에 있어서의 시트 저항은 Cu의 산화막의 시트 저항에 가까운 값으로 되었다. 한편, 직경 120 mm의 확산부(18)를 이용한 경우에는 피처리 기판의 중심에 있어서의 시트 저항은 Cu의 산화막의 시트 저항에 가까운 값으로 되었다. 한편, 직경 120 mm의 확산부(18)를 이용한 경우에는 피처리 기판의 중심에 있어서의 시트 저항은 Cu의 산화막의 시트 저항보다 상당히 작은 값으로 되었다. 상기와 같이, 이온 필터(20)의 직경은 300 mm이므로, 이들 실시예 14 ~ 16 및 상술한 실시예 11 ~ 13으로부터, 확산부(18)의 직경을 이온 필터(20)의 직경의 40%이하로 설정하는 것에 의해, 피처리 기판의 전체 영역에 있어서 Cu의 산화막을 균일하게 환원 제거할 수 있는 것이 확인되었다.
(실시예 17 ~ 18 및 비교예 6)
실시예 17 ~ 18 및 비교예 6에서는 직경 300 mm의 기판의 1 주면상에 유전체막을 균일하게 마련한 피처리 기판를 준비하고, 세정을 실행하였다. 유전체막으로서는 어플라이드 머티리얼스사(APPLIED MATRIALS INCORPORATED)제의 블랙 다이아몬드2(등록상표)를 이용하였다. 유전체막의 두께는 150 nm이었다. 실시예 17 및 18의 확산부(18)의 직경은 각각, 90 mm, 120 mm이었다. 또한, 비교예 6에서는 확산부(18)를 제거하였다. 즉, 비교예 6에서는 확산부(18)의 직경을 0 mm로 하였다. 실시예 17 ~ 18 및 비교예 6의 조건을 이하에 나타낸다.
(실시예 17 ~ 18 및 비교예 6의 조건)
피처리 기판의 온도: 250℃
처리용기(12)내의 압력: 400 mTorr(53.55 Pa)
Ar 가스 유량: 110 sccm
H2 가스 유량: 13 sccm
고주파 전원(34)의 고주파 전력의 파워: 2 kW
고주파 전원(34)의 고주파 전력의 주파수: 3 MHz
확산부(18): 두께 6 mm, 알루미늄제
이온 필터(20): 직경 300 mm, 두께 10 mm, 알루미늄제
슬릿(20s): 폭 1.5 mm, 간격 4.5 mm
확산부(18)와 이온 필터(20)의 사이의 갭 길이: 42.24 mm
처리 시간: 15 초
실시예 17 ~ 18 및 비교예 6의 세정 후의 유전체막의 탄소의 농도를 피처리 기판의 중심, 에지 근방, 중심과 에지 근방의 중간의 각각에 있어서, Ar-XPS(Angle Resolved XPS)에 의해 측정하였다. 이 측정에 이용한 장치는 써모 피셔 사이언티픽사(Thermo Fisher Scinentific)제 Theta Probe이었다. 그 결과를 도 11에 나타낸다. 도 11에, 실시예 17 ~ 18 및 비교예 6의 세정 후의 유전체막의 탄소의 농도를 나타낸다. 또, 도 11에 있어서 2개의 점선 사이에 배치된 영역은 세정을 실행하지 않는 경우의 유전체막의 탄소 농도의 범위를 나타내고 있다.
도 11에 나타내는 바와 같이, 90 mm 및 120 mm의 직경을 갖는 확산부(18)를 이용한 경우에는 세정 후의 유전체막의 탄소 농도는 세정을 실행하지 않은 경우의 유전체막의 탄소 농도로부터 저하되고 있지 않았다. 따라서, 실시예 14 ~ 16 및 상술한 실시예 11 ~ 13과, 실시예 17 ~ 18로부터, 이온 필터(20)의 직경에 대해 30 ~ 40%의 범위의 직경을 갖는 확산부(18)를 이용하는 것에 의해, 유전체막에 손상을 주지 않고, 피처리 기판의 전체 영역에 있어서 Cu의 산화막을 균일하게 환원할 수 있는 것이 확인되었다.
이상, 각종 실시형태에 대해 설명해 왔지만, 상술한 실시형태에 한정되지 않고 각종 변형형태가 구성 가능하다. 예를 들면, 상술한 실시 형태에서는 리모트 플라즈마 유닛의 플라즈마원은 유도 결합형의 플라즈마원이었지만, 플라즈마원으로서는 평행 평판형의 플라즈마원, 또는 마이크로파를 이용한 플라즈마원과 같이 각종 플라즈마원을 이용할 수 있다.
10 플라즈마 처리 장치
12 처리용기
14 탑재대
14b 히터
16 리모트 플라즈마 유닛
16s 플라즈마 생성 공간
18 확산부
20 이온 필터
20s 슬릿
22 배기로
24 배기관
26 배기 장치
30 직류 전원 회로
32 히터 전원
34 고주파 전원
36 냉각기
38 지지체
40 지지부
GS 가스 공급계
G1 가스원(H2 가스)
M1 매스플로 컨트롤러
V11, V12 밸브
G2 가스원(희가스)
M2 매스플로 컨트롤러
V21, V22 밸브
S 처리공간
W 피처리 기판

Claims (10)

  1. 처리용기와,
    상기 처리용기내에 마련된 탑재대와,
    수소함유 가스를 여기시켜, 수소의 활성종을 포함하는 여기 가스를 생성하는 리모트 플라즈마 유닛으로서, 해당 여기 가스의 출구가 마련된 해당 리모트 플라즈마 유닛과,
    상기 리모트 플라즈마 유닛의 상기 출구에 면하도록 마련되어 있고, 해당 출구로부터 흘러나오는 여기 가스를 받아, 수소 이온의 양이 감소된 수소의 활성종을 확산시키는 확산부와,
    상기 확산부와 상기 탑재대의 사이에 위치하고, 또한, 상기 확산부로부터 이간하도록 마련되어 있고, 상기 확산부에 의해서 확산된 수소의 활성종에 포함되는 수소 이온을 포착하여, 수소 이온의 양이 더욱 감소된 수소의 활성종을 상기 탑재대를 향해 통과시키는 이온 필터
    를 구비하는 플라즈마 처리 장치.
  2. 제 1항에 있어서, 상기 확산부는 접지 전위에 접속된 금속제의 평판인 것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 2항에 있어서, 상기 확산부는 상기 이온 필터의 직경의 40% 이하의 직경을 갖는 것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 1항에 있어서, 상기 이온 필터는 1이상의 슬릿이 형성된 금속제의 판으로 구성되어 있는 것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 4항에 있어서, 상기 1이상의 슬릿의 각각은 디바이의 길이 이상의 폭을 갖는 것을 특징으로 하는 플라즈마 처리 장치.
  6. 유전체막에 둘러싸인 금속의 산화막을 세정하는 방법에 있어서,
    상기 유전체막 및 상기 금속을 갖는 피처리 기판을 처리용기 내에 마련된 탑재대 상에 탑재하고,
    리모트 플라즈마 유닛에 있어서 수소함유 가스를 여기시켜 수소의 활성종을 포함하는 여기 가스를 생성하고,
    확산부에 의해서 상기 리모트 플라즈마 유닛의 출구로부터 흘러나오는 여기 가스를 받아, 수소 이온의 양이 감소된 수소의 활성종을 확산시키고,
    상기 확산부에 의해서 확산된 수소의 활성종에 포함되는 수소 이온을 이온 필터에 의해서 포착하여, 해당 이온 필터를 거쳐서 수소 이온의 양이 더욱 저감된 수소의 활성종을 상기 피처리 기판을 향해 공급하는 금속의 산화막을 세정하는 방법.
  7. 제 6항에 있어서, 상기 확산부는 접지 전위에 접속된 금속제의 평판인 것을 특징으로 하는 금속의 산화막을 세정하는 방법.
  8. 제 7항에 있어서, 상기 확산부는 상기 이온 필터의 직경의 40% 이하의 직경을 갖는 것을 특징으로 하는 금속의 산화막을 세정하는 방법.
  9. 제 6항에 있어서, 상기 이온 필터는 1이상의 슬릿이 형성된 금속제의 판으로 구성되어 있는 것을 특징으로 하는 금속의 산화막을 세정하는 방법.
  10. 제 9항에 있어서, 상기 1이상의 슬릿의 각각은 디바이의 길이 이상의 폭을 갖는 것을 특징으로 하는 금속의 산화막을 세정하는 방법.
KR1020130103099A 2012-08-30 2013-08-29 플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법 KR101676903B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012189656A JP2014049529A (ja) 2012-08-30 2012-08-30 プラズマ処理装置及び金属の酸化膜を洗浄する方法
JPJP-P-2012-189656 2012-08-30

Publications (2)

Publication Number Publication Date
KR20140029289A true KR20140029289A (ko) 2014-03-10
KR101676903B1 KR101676903B1 (ko) 2016-11-16

Family

ID=50185723

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130103099A KR101676903B1 (ko) 2012-08-30 2013-08-29 플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법

Country Status (3)

Country Link
US (1) US20140060572A1 (ko)
JP (1) JP2014049529A (ko)
KR (1) KR101676903B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180008994A (ko) * 2016-07-15 2018-01-25 연세대학교 산학협력단 이차원 나노 물질을 이용한 반도체 소자의 제조 장치 및 방법

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN105032850B (zh) * 2015-06-26 2017-11-17 重庆科技学院 光伏电池组自动除尘系统
US11004661B2 (en) * 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170358431A1 (en) * 2016-06-13 2017-12-14 Applied Materials, Inc. Systems and methods for controlling a voltage waveform at a substrate during plasma processing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US20190348261A1 (en) * 2018-05-09 2019-11-14 Asm Ip Holding B.V. Apparatus for use with hydrogen radicals and method of using same
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US10872761B2 (en) * 2018-06-25 2020-12-22 Mattson Technology Inc. Post etch defluorination process
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
US11342216B2 (en) 2019-02-20 2022-05-24 Asm Ip Holding B.V. Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
CN113136565A (zh) 2020-01-20 2021-07-20 Asm Ip私人控股有限公司 形成薄膜的方法和改性薄膜的表面的方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
CN111341697B (zh) * 2020-03-05 2023-10-17 Tcl华星光电技术有限公司 一种清洗装置及清洗方法
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
JP2023016557A (ja) 2021-07-21 2023-02-02 東京エレクトロン株式会社 プラズマ源及びプラズマ処理装置
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP2023041487A (ja) 2021-09-13 2023-03-24 東京エレクトロン株式会社 プラズマ源及びプラズマ処理装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288266A (ja) * 1994-09-16 1996-11-01 Applied Materials Inc プラズマプロセス反応装置用ガス注入スリットノズル
KR20100033988A (ko) * 2000-01-20 2010-03-31 어플라이드 머티어리얼스, 인코포레이티드 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
JP2011082536A (ja) 2003-02-14 2011-04-21 Applied Materials Inc 水素含有ラジカルによる未変性酸化物の洗浄

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
US6086679A (en) * 1997-10-24 2000-07-11 Quester Technology, Inc. Deposition systems and processes for transport polymerization and chemical vapor deposition
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US7552736B2 (en) * 2007-01-30 2009-06-30 Applied Materials, Inc. Process for wafer backside polymer removal with a ring of plasma under the wafer
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08288266A (ja) * 1994-09-16 1996-11-01 Applied Materials Inc プラズマプロセス反応装置用ガス注入スリットノズル
KR20100033988A (ko) * 2000-01-20 2010-03-31 어플라이드 머티어리얼스, 인코포레이티드 가스 분배 장치 및 그 가스 분배 장치를 포함하는 챔버
JP2011082536A (ja) 2003-02-14 2011-04-21 Applied Materials Inc 水素含有ラジカルによる未変性酸化物の洗浄
KR20120068982A (ko) * 2003-02-14 2012-06-27 어플라이드 머티어리얼스, 인코포레이티드 수소-함유 라디칼을 이용한 자연 산화물 세정

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180008994A (ko) * 2016-07-15 2018-01-25 연세대학교 산학협력단 이차원 나노 물질을 이용한 반도체 소자의 제조 장치 및 방법

Also Published As

Publication number Publication date
US20140060572A1 (en) 2014-03-06
KR101676903B1 (ko) 2016-11-16
JP2014049529A (ja) 2014-03-17

Similar Documents

Publication Publication Date Title
KR101676903B1 (ko) 플라즈마 처리 장치 및 금속의 산화막을 세정하는 방법
KR102364322B1 (ko) 에칭 방법
US8222157B2 (en) Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
KR102311575B1 (ko) 피처리체를 처리하는 방법
JP2009016453A (ja) プラズマ処理装置
KR100876010B1 (ko) 플라즈마 에칭 방법 및 컴퓨터 판독 가능한 기억 매체
JP4914902B2 (ja) シリサイド形成方法とその装置
WO2006038984A1 (en) Surface wave plasma processing system and method of using
CN109559987B (zh) 等离子体处理方法
WO2006038976A2 (en) Plasma processing system for treating a substrate
KR20140051090A (ko) 구리층을 에칭하는 방법 및 마스크
KR102591952B1 (ko) 플라즈마 처리 장치
JP7051897B2 (ja) 電極フィラメントを有するプラズマ反応器
US20130323916A1 (en) Plasma doping method and apparatus
JP5461690B2 (ja) スパッタリング装置及びスパッタリング方法
US20180330930A1 (en) Method of cleaning plasma processing apparatus
JP4123428B2 (ja) エッチング方法
US20150104951A1 (en) Method for etching copper layer
CN113496889A (zh) 蚀刻方法和等离子体处理装置
JP2019087666A (ja) エッチング方法
US20130330920A1 (en) Method and apparatus for substrate preclean with hydrogen containing high frequency rf plasma
JP6595335B2 (ja) プラズマ処理装置
JP2009141251A (ja) 半導体製造方法および半導体製造装置
JP2016225579A (ja) プラズマ処理装置およびプラズマ処理方法
JP2023503578A (ja) 複数のプラズマユニットを有する処理チャンバ

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191029

Year of fee payment: 4