CN100468611C - 利用含氢自由基清洁自生氧化物的方法和设备 - Google Patents

利用含氢自由基清洁自生氧化物的方法和设备 Download PDF

Info

Publication number
CN100468611C
CN100468611C CNB2004800073581A CN200480007358A CN100468611C CN 100468611 C CN100468611 C CN 100468611C CN B2004800073581 A CNB2004800073581 A CN B2004800073581A CN 200480007358 A CN200480007358 A CN 200480007358A CN 100468611 C CN100468611 C CN 100468611C
Authority
CN
China
Prior art keywords
gas
base material
hydrogen
ratio
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn - After Issue
Application number
CNB2004800073581A
Other languages
English (en)
Other versions
CN1762039A (zh
Inventor
B·S·伍德
M·N·卡瓦谷奇
J·S·帕帕努
R·C·莫斯理
C·S·赖
C·-T·考
H·艾
W·W·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1762039A publication Critical patent/CN1762039A/zh
Application granted granted Critical
Publication of CN100468611C publication Critical patent/CN100468611C/zh
Anticipated expiration legal-status Critical
Withdrawn - After Issue legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning In General (AREA)
  • Physical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一基材清洁设备,其有一远程电源可自远程将含氢气体激发以形成一激发气体,其中离子性含氢物种对含氢自由基物种的比值为第一比率。该设备有一制程室,其具有一基材支撑件、一离子过滤器用以过滤该远程激发的气体以形成一经过滤的激发气体(其中离子性含氢物种对含氢自由基物种的比值为第二比率,该第二比率与该第一比率不同)、以及一气体分配器用以将该经过滤的激发气体引入该室内。

Description

利用含氢自由基清洁自生氧化物的方法和设备
技术领域
本发明是有关于一种基材清洁设备及一种用以清洗基材上沉积物的方法。
背景技术
在基材(例如半导体或显示器)制程中,材料是沉积在基材上并经蚀刻以形成多个具导电性的导线、接触点、以及通孔。例如,使一含金属的导体在一基材上沉积;在该导体上形成一抗蚀图案;浸蚀该导体以形成多条导线;然后在经蚀刻的多条该导线上沉积一层介电层而成为有多条导线的一导电性图案。该介电层可再经过蚀刻以形成多个接触孔或多个通孔,分别露出其下层含金属的导体材料或其它基材区域。然后将导电材料沉积在多个蚀刻孔内以便与下层的导体呈电性连接。例如,在形成一含铜导线时,其介电层可经过蚀刻形成多个接触孔而露出下层的铜导体材料。然后可在外露的铜导体材料以及多个接触孔的表面上沉积一层薄的铜种晶层以协助后续的铜电镀制程(至少填充一部份接触孔)。
然而,此含金属的导体材料可包含多种在进行后续处理步骤之前须先加以清洗的沉积材料。例如,此类沉积材料可包含在中间处理步骤中当导体外露在氧气物种下时所形成的自身氧化物膜。在剥除抗蚀剂的过程中,因使用含氧气体电浆剥除残余的抗蚀剂,所以常常形成自身氧化物膜。在多个不同的制程室之间(例如于浸蚀、剥除以及清洁处理步骤之间)运送基材时亦会形成自身氧化物。自身氧化物膜并非所要的,因为它会使此导体外露的表面与随后于其上所沉积的导电材料之间的接触面电阻增加。此多种的沉积物亦可包含从先前多道处理步骤中残留的其它多种制程沉积物,例如含碳的、含硅的、含氟的以及含氮的残余物。此沉积物亦非所要的,因为它对于多个该导电材料在外露的导体表面上沉积有不良影响,例如在外露的多个材料与被沉积的多个材料之间的接口形成多个空隙或其它不规则分配。
在外露的导体表面上进行导电材料的沉积之前,可先进行前置清洗程序从含金属的导体中移除自身氧化物膜。在典型的前置清程序中,其含金属的导体的外露表面是以氩电浆清洗,其是以经激发的氩离子轰击基材造成喷溅而除去其膜。然而,激发离子时应施用的正确能量很难决定。若离子能量过剩,喷溅会扩及到下层的金属;若能量太低,则仍会有膜残留在该基材上。亦可使用一经激发的还原性气体(例如氢)清洗膜,经激发的还原性气体是与膜进行化学反应以减低该膜内的氧化物而形成挥发性的羟基和水蒸汽,例如美国专利第6,346,480号(颁给Cohen等人)所述,全文在此亦并入参考文献。然而,此还原剂对周围的材料亦有不良的化学效应,例如,此氢物种可与外露的该金属进行化学反应形成多个金属氢化物,其对多个该外露部份的导电性亦有不良影响。
习见的清洁方法尤其不适于清洗被低-k(低介电常数)材料(例如BlackDiamondTM,低-k硅氧碳化物,由Applied Materials,lnc.,Santa Clara,California制作)围绕的含金属的表面。在该清洁方法中,其清洁气体在与低-k材料反应后会改变他们的介电值。例如,使用多种清洁气体组合物(例如O2、O2/N2、O2/H2O、O2/N2/H2O、O2/CF4以及O2/CF4/H2O)的习见清洁处理方法会使低-k介电材料的k值增加,从k值约27增高至k值约4.0,该k值与氧化硅的k值相同。在此类清洁方法中,一般相信会有多个低-k材料特别(至少部份地)容易受损,因为在此类方法中产生的离子,尤其是较“轻”的离子,例如氢和氦离子,会深深的穿透该低-k材料并损及其层构造。半导体器件中较常使用低-k介电材质以改善其效能和速度,所以须要发展一种既可有效清洁此类基材又不会对介电常数值造成不利影响的方法。
据此,须要能使内含金属的材料在一干净的导电表面上沉积,而不会在表面上形成自身氧化物或其它污染物的沉积物。亦须要一种既能清洁导体又不会对周围材料有不良影响的方法。例如,须要能清洗含金属的导体上的自身氧化物膜,又不会使环绕在基材周围的低-k介电材料的k值改变。
发明内容
一种基材清洁设备,其有一远程电源可自远程将含氢气体激发以形成一远程激发的气体,该远程激发的气体中离子性含氢物种对含氢自由基物种的比值为第一比率。该清洁设备亦具有一制程室,其具有一基材支撑件;一离子过滤器,可过滤该远程激发气体以形成一经过滤的激发气体,该经过滤的激发气体中离子性含氢物种对含氢自由基物种的比值为第二比率,该第二比率与该第一比率不同;以及一气体分配器,可将该经过滤的激发气体引入该室内。
在一态样中,该基材清洁设备包含一石英气体分配板及一石英制程室衬垫,可过滤该远程激发气体以形成一经过滤的激发气体。
为了在该设备内清洗基材,一基材是置于该设备内之处理区。在一远程区内,将能量耦接至一含氢气体以形成一股从远程激发的气体,其中离子性含氢物种对含氢自由基物种的比值为第一比率。该远程激发气体是经过滤而形成一经过滤的激发气体,其中离子性含氢物种对含氢自由基物种的比值为第二比率(该比率与该第一比率不同),并将该经过滤的激发气体引入该处理区内以清洗该基材。
该设备的另一态样是既能清洗基材上的沉积物、亦能在该基材上沉积一层含金属的导体。在此设备中,其清洗室包括一排气系统,可使该清洗室内的压力保持在约10mTorr以下。该设备亦含有一沉积室其具有一基材支撑件、一面向该基材支撑件的溅镀靶、一可将气体引入溅镀室的气体传递系统、一气体激发器其可激发该气体以便将材料从靶处喷溅到基材上、以及一排气系统可排放此沉积室内的气体。一传送室中有机器人可将该基材从清洗室运送到该溅镀室。该传送室有一气密室(enclosure)能使基材维持在约10mTorr以下的低压环境,及一出口可将传送室内的气体排放以保持在低压状态。由一控制器操纵该清洗室、该溅镀室、以及该机器人,以便先清洗该基材上第一内含金属导体的沉积物,之后再将该基材运送至溅镀室以便在已清洗的该第一内含金属导体上沉积第二含金属的导体。
在一态样中,其是提供一种清洗基材上沉积物的方法,其中基材上的介电材料已形成有多个可露出下层内含金属的导体的特征(features)于其上。该方法包括一热处理步骤及一清洁步骤。在该热处理步骤中,基材是曝露在含氢气体下,同时基材的温度是维持于至少约100℃。在该清洁步骤中,其基材是曝露在一远程激发气体下以清洗该基材,该远程激发气体具有含氢自由基物种。
在一设备的态样中,其基材上的沉积物是经清洗并进行热处理,该设备具有一热处理室和一清洗室。该热处理室具有一基材支撑件、一加热器可加热基材、一气体传递系统可将含氢气体引入该室内、以及一排气系统将热处理室内的气体排出。该清洗室有一基材支撑件;一远程电源,可自远程将含氢气体激发以形成一激发气体,其中所包含的离子性含氢物种对含氢自由基物种的比值为第一比率;一气体分配板,将该远程激发气体引入该室内;一离子过滤器,用以过滤该远程激发气体形成一经过滤的激发气体,其中离子性含氢物种对含氢自由基物种的比值为第二比率,该第二比率与其第一比率不同;及一排气系统用以排放清洗室内的气体。该设备亦有一传送室,其中有机器人能将该基材从热处理室运送至清洗室,该传送室有一气密室能使该基材维持在真空环境,以及一出口可排放此传送室的气体以保持真空状态。该设备亦具有一控制器可操作热处理室、清洗室、以及机器人,以便在该热处理室内处理基材并运送基材至该清洗室以清洗该基材上含金属导体上的沉积物。
附图说明
本发明的此类特色、功能、以及优点将参考下列说明、附加的申请专利范围、以及附图,以对本发明的实施例有较佳了解。不过,应了解,各特色大致上均适用于本发明,并不只限于特定附图的内容,且本发明亦包括此类功能的任何组合,其中:
第1a至1d图的部份剖面侧视图为一基材在数个不同处理阶段内的实施例,该基材具有一含金属导体及一低-k介电层;
第2a图的剖面侧视图是一清洁设备的实施例,其包含一离子过滤器;
第2b图的部份俯视图是一安装在气体分配板上的线栅极的实施例;
第3图的剖面俯视图是一多重制程室设备的实施例;
第4a图的剖面侧视图是一热处理室的实施例;
第4b图的剖面侧视图是一溅镀室的实施例;
第5图是一控制器的例示性方框图,其包含计算机可读取程序;
第6图显示在增加基材偏差功率量、气体激发功率量以及压力的下,其低-k介电层的厚度变化和k值变化图;
第7图是在基材清洁制程之后升高基材温度下,其反射性百分比及反射性百分比标准偏差图;
第8图是在增加接触点电阻值下,已测得接触点电阻的特征(features)在基材上的百分比图。
第9图显示在清洗过程中的光阻剂消除速率的比较图;
第10图是在一基材清洁制程前后所测得的基材的反射性百分比图;
第11图是在增加接触点电阻值下,具有已测得电阻的导线结构在基材上的百分比图。
第12图是在增加电容量数值下,已测得电容量的电容器占基材的百分比图。
【主要组件符号说明】
10基材                                12沉积物
14表面                                16含金属的导体
18低-k介电层                          19介电层18的表面
20特征                                21第二含金属的导体
22抗蚀剂层                            30远程区
35远程电源                            37远程气体激发器
39清洁气体源                          40远程室
41流动阀                              50离子过滤器
52线栅极                              54孔口
60包含石英的表面                      62连结管道
70气体分配器                          71气体分配板的开口
72气体分配板                          73气体分配板的顶端表面
75气体分配板的底部表面                76气体分配气室
78气室壁                              80气室壁
82衬垫                     83气体分配器入口
90电极                     91电压供应器
100制程室                  102设备
106a清洗室                 106b沉积室
106c热处理室               106d制程室
106第二沉积室              107室壁
108处理区                  109平台
110支架                    111耐热组件
113真空隔绝室              114室侧壁
115卡匣                    116底壁
117真空传送室              118室顶壁
119机器人                  120侧壁
122底壁                    124顶壁
128外罩                    129出口
130气体供应器              135节流阀
140温度控制系统            142加热器
143加热灯泡                144排气系统
152排气泵                  154a气体源
154b气体源                 154c气体源
156a管道                   156b管道
156c管道                   158a气体流量控制阀
158b气体流量控制阀         158c气体流量控制阀
160混合歧管                162气体分配器
164气体出口                168排气系统
170排气口                  172排气管
174节流阀                  176排气泵
177排气口                  180气体激发器
195制程室                  201靶电源
202侦测器                  203RF电源供应器
210座式电源供应器             211溅镀靶
218壁                         226高密度电浆区
230基材支撑件                 252制程气体供应器
252制程气体供应器             300室控制器
302计算机                     304硬件接口
306中央处理器                 308内存
310卸除式储存媒体             312非卸除式储存媒体
314随机存取内存               316显示器
318光笔                       320计算机-可读取程序
322制程序列发生器程序代码     324制程室管理程序代码
326基材布置程序代码           328气体控制器程序代码
330气压控制程序代码           332温度控制程序代码
334气体激发器控制程序代码     336制程监控程序代码
338工厂自动化主计算机         340主软件程序
401磁场发生器                 403磁控电子管
407气密室壁                   408处理区
410支架                       430气体传递系统
432热处理气体源               434气体分配器
435节流阀                     436连结管道
438流量计                     444排气系统
445加热灯泡阵列               452排气泵
477排气口                     501线
502线                         503线
504线                         505线
506线                         507线
508线                         509线
510线                         600线
602线                         604线
700长条图                     702长条图
704线              706线
708线              709线
710线              712线
具体实施方式
一清洁制程是用以清洗基材10上含金属的导体16的表面14。例如,该清洁制程适用以去除在表面14上形成的沉积物12,例如因表面曝露在内含氧的环境下所形成的自身氧化物膜12。该沉积物12亦包含在前述多道处理步骤中形成的多项制程残余物,例如内含碳、氮、氟以及硅的残余物,甚至有残留聚合物。该清洁制程可清洗该含金属的导体16的表面14,包含例如:铜、铝、钛、钨或其多个合金或多个化合物。一基材10的实施例包含内含金属的导体16,如第1a-d图展示。该基材10包含在下层的含金属的导体16,其上有一低-k介电层18形成。该低-k介电层18包含的介电材料其k值低于约3.0,例如约2.0至约3.0,甚至k值低于约2.7。例如,一合适的低-k介电层可包含Black DiamondTM(Applied Materials,lnc.,SantaClara,California生产制造)的低-k硅氧碳化物。其它合适的低-k介电层可包含由硅与氧、碳、氢和其它多种元素至少其中之一形成的多个结合物。第1a及1b图展示的介电层18中,其包含的数个特征20露出含金属的导体16的表面14,其上有沉积物12。该清洁制程是从含金属的导体16的表面14移除沉积物12,以便使经清洗的表面14与其后沉积在基材10上的多个材料之间能有良好的电接点,如第1c以及1d图展示。
据发现,改良的清洁制程包含使基材10曝露在一经激发的清洗气体(内含氢自由基)下。含氢自由基是具有不成对电子的含氢物种,因此具有高度化学反应性,但并不具有正或负电荷,例如氢原子(H·)、氢氧自由基(OH·)、含氮自由基(NH2·、NH3·)、及含碳自由基例如甲基自由基(CH3·)。据相信,藉由使含氢自由基与沉积物12的氧气成分(例如自身氧化物)进行化学反应形成挥发性羟基和可排放的水蒸汽,可提供一较温和的清洁制程以去除在含金属导体16表面14上的沉积物12。因此,该经激发的含氢自由基能与沉积物12进行更专一性反应且不会损及周围的低k介电层18的构造。例如,在含氢自由基的清洁制程中,层18的k值增加程度可小于约0.1,甚至小于约0.05。
相反的,含氢离子类为一种具有正或负电荷的含氢物种,通常会带电轰击基材10,也因此会过度损害低-k介电层18的构造及增加层18的k值。据此,改良的清洁制程须要使基材10曝露在一激发气体下,其中包含含氢自由基且实质上不含氢离子。例如,经激发的清洁气体中的含氢自由基与含氢离子的适合比例为至少约10,000:1。
经由将能量联结至适合的含氢气体,例如H2、H2O、NH3以及SiH4其中之一种或多种,能形成经激发的清洁气体。为了形成大量的含氢自由基,此清洁气体包含的主要成分宜为含氢气体。例如,此清洁气体可包含至少约80%体积比的含氢气体,甚至至少约90%体积比的含氢气体,例如90%体积比的H2。该含氢气体的合适体积流速可为约100sccm至约5公升/分钟。亦发现若提供H2O,可在激发气体内促进含氢自由基形成并可减少室106a内的多个组件腐蚀。例如,清洁气体可包含约1%至约20%体积比的H2O,例如约1%至约5%体积比的H2O。据此,在一态样中,其清洁气体包含约50sccm至约1000scm的H2,例如约300至约600sccm的H2,以及约0至约10sccm的H2O,例如约1至约5sccm的H2O。
在一态样中,其清洁气体包含一含氮气体。例如,清洁气体可包含一气体组成物,其经游离后可同时提供多个含氢和含氮自由基。适合的含氮清洁气体组成物的实施例可包含(i)NH3;(ii)NH3以及H2O;(iii)N2以及H2;(iv)N2、H2以及H2O;以及(v)NH3、H2O以及H2。在一态样中,其清洁气体包含约80%至约100%体积比的H2,约1%至约20%体积比的H2O,以及约1%至约20%体积比的NH3。该清洁气体亦可包含一含碳气体以保护低-k介电层18,此是经由提供碳源以补充从层18被蚀刻的碳而达成。合适的多个该含碳气体的实施例可包含CO、CH4、CO2以及C2H6至少其中一个。该清洁气体亦可另外包含一添加的气体,例如N2、Ar、He以及Ne其中至少一个。
清洁气体可藉由将一能量与远程区30内的气体联结而激发,如在第2a图内展示,进而形成一远程激发气体,其包含经激发的离子性以及自由基物种。此远程区30是位于距清洗室106a之处理区108一段适合距离,以便在远程区30内形成的许多经激发的离子物种在到达处理区108之前可再结合。由于自由基物种的再结合现象并不频繁,且在相同距离上其平均寿命往往比离子性物种长,因此在远程区30内形成激发气体得以传送较多自由基物种(而较少离子性物种)到处理区108。远程区30是置放在与处理区108距离d之处,以便远程激发气体在通行距离d时,例如从远程区30至气体分配板72,可过滤气体而产生一经激发气体,其中离子性物种的数目减少且自由基物种数目对离子性物种数目的比率较高。从清洗室106a之处理区108至远程区30的合适距离可为,例如至少约1.5英时(3.8公分),例如约1英时(2.5公分)至约4英时(10公分)。
然后使经激发的清洁气体通过离子过滤器50以过滤远程激发气体而形成经过滤的激发气体,其离子性含氢物种对含氢自由基物种的比值不同于在远程区30内形成的物种的比值。较佳者,离子过滤器50可减少经激发的清洁气体内的离子数目,以便使经过滤气体中离子物种对自由基物种的比率低于在远程区30内形成的未经过滤的激发气体中的比率。经过滤的激发气体其离子对自由基物种的比值(Rf)比未经过滤者的比值(Ri)小约至少1:100,例如至少约1:500,甚至至少约1:10,000。未经过滤比率(Ri)的数值可为经过滤比率(Rf)的数值至少约100倍,例如至少是经过滤比率的约500倍,甚至至少是经过滤比率的约10,000倍。例如,经过滤的比率可等于Rf=(Rf/Ri)·Ri;其中经过滤的比率是Rf=If/Nf而未经过滤的比率是Ri=Ii/Ni,If以及Ii分别是经过滤以及未经过滤气体中的离子数,而Nf以及Ni分别是经过滤及未经过滤气体中的自由基物种数。以离子及自由基物种的数目表示时,该经过滤的比率即等于Rf=(If/Nf)(Ni/Ii)·Ri。假设经过滤以及未经过滤气体中其自由基物种的数目实质上维持不变时,意即自由基变化的数目少于约20%,则Nf即等于Ni且此类因子可在公式中消除,使经过滤的比率等于Rf=(If/Ii)Ri。因此该经过滤比率即等于消除了一个因子的未经过滤的比率,实质上即等于经过滤的离子数对未经过滤离子(If/Ii)数目的比值,较佳的比例为少于约1:100,例如少于约1:500,甚至少于约1:10,000。因此,该离子过滤器50可从激发气体中移除离子性物种(否则会损害低-k介电层18),而使自由基物种继续留在该经激发的清洁气体内以清洗基材10。
有许多种方法可侦测经激发的气体中离子性物种与自由基物种的相对比以决定是否已达到所要求的比值。使用电浆放射,氢自由基的相对量可经由侦测例如,在656毫微米下的氢放射光谱强度而监测。在经激发气体内氢自由基的相对量大致上与氢发射谱线的强度成正比,因此,较高的发射谱线强度意指氢自由基的相对浓度较高。在经激发气体内的氢离子相对量可经由例如,测量基材支撑件110的DC偏差值变化决定。电浆中撞击在并激发支架110的氢离子数目是与在支架110测得的DC偏差值成正比。因此,在支架110测得的DC偏差值对氢发射谱线强度的比值可用以估计在经激发气体内的离子性物种对含氢自由基物种的比值。亦可用静电探针(langmuirprobe)测量氢离子浓度,该静电探针包含一导电性探针,其是插入激发气体中以测量参数,例如因离子撞击到尖端而赋予电荷所产生的离子电流。一静电探针的实施例是描述于美国专利第5,339,039号,颁给Carlile等人,全文在此并入参考文献。
本发明提供一种侦测器202,其能利用一或多个氢放射强度、DC偏差值、静电探针或另一种方法测量自由基以及离子性物种其中至少一个的相对量以及浓度。该侦测器202可位在室106和设备102的多个不同区域以测量在该区域内离子与自由基(其中至少一个)的多个浓度。该侦测器202可位于远程室40内或其附近以侦测未经过滤的激发气体内的一种或多种自由基和离子数量。例如,在一未经过滤激发气体内测得的离子浓度可为约1011至约1012离子/公分3。该侦测器202亦可位于室106a附近,例如靠近处理区108,以侦测一经过滤气体内的一种或多种离子与自由基的数量。例如,在该经过滤激发气体内测得的离子浓度约为108至约109离子/公分3。据此,可用该侦测器102测量设备102的多个不同区域内的离子与自由基物种(其中至少一个)的数目,以决定在经过滤以及未经过滤气体内的离子与自由基物种的相对量。
在一态样中,其离子过滤器50包含一线栅极52,其具有孔口54,经激发的清洁气体可由其中通过,如第2a以及2b图展示。此线栅极52可接地电(如图中展示)以便源自离子性物种撞击栅极52的电荷可经由栅极52传达至接地电位,藉以移除在经激发的清洁气体中带电荷的离子性物种。亦可视需要偏置线栅极52使产生一电位区以排斥带电荷的离子性物种。该线栅极52包含的孔口54的面积对线栅极52面积的比值,高到足以使气体流通良好,该比率例如约为10:1至约1:10,甚至至少约1:1。该线栅极52包含一适合的导电材料,例如,是钼、钛、镍-铬合金以及铝合金其中至少一种。
线栅极52是置放在远程区30与基材10之间的活化清洁气体的流动路径上,例如处理区108的上方。在一态样中,如第2a以及2b图展示,该线栅极52是安置在一气体分配板72上,以便将源自远程区30的经激发的清洁气体分配至处理区108。线栅极52是安置在气体分配板72上,该线栅极内的孔口54与气体分配板72的开口71对准,使经激发的清洁气体得以流经线栅极52和气体分配板72。该线栅极52可安置在气体分配板72的顶端表面73上,甚至可以有至少一部份包埋在气体分配板72内以抑制线栅极52腐蚀,如第2a图展示。较佳的气体分配板72包含一介电材料,例如是氧化铝、蓝宝石、硅土以及石英其中至少一者,亦可包含一导电材料,例如是硅、铝以及碳化硅其中至少一者。该线栅极亦可或安置在气体分配板72的底部表面75上,或可在与气体分配板72相距一段距离之处。同时,该气体分配板72本身亦可作为一离子过滤器50,当板72是作为障板以卷动流经的激发气体流时,得以促进经激发的自由基物种再结合。
经激发的气体亦可藉由通过一包含石英的表面60来过滤该经激发的清洁气体以去除离子性物种。据相信该石英表面60是作为一离子过滤器50,藉由提供一表面供含氢物种以氢键键结并吸附在表面60上而减少自由基的再结合。撞击在石英表面60的含氢物种会释出一种经吸附的含氢自由基到激发气体中,使氢自由基得以再生。石英表面60并不会使氢离子再生,因而此类离子会再结合而形成电中性的、非离子性物种。因此,将已活化的清洁气体通过石英表面,可将经激发的清洁气体中的离子性物种有效地过滤,而保存了自由基物种。
石英表面60可成为对经激发的清洁气体提供过滤氢离子性物种的最佳安排。在一态样中,其石英表面60包含位在远程区30和制程室106a之间的连结管道62的部分内部表面,如第2a图展示。例如,此连结管道62可包含一石英管。在另一态样中,其石英表面60包含一或多个气体分配器70的表面,如第2a图展示。例如,该气体分配器70可包含一气体分配板72(其中包含石英)。多个石英表面60亦可位在环绕着气体分配气室76(位在该气体分配板72与一气体分配器入口83之间)的多个壁78、80的表面上。例如,多个上方及侧边气室壁78、80可由石英制成。多个气室壁78、80亦可包含衬在多个壁78、80上的多个衬垫82,且可包含多个石英表面60,例如石英衬垫。该包含多个石英表面60的多个衬垫82亦可衬在室106a内的多个其它表面上,例如室侧壁114、底壁116、顶壁118、以及其它多个组件(例如气体分配板72)其中至少一个的部分表面。
基材10的温度是设定成可提供减少沉积物12内的氧化物量的最适条件,甚至可设定成使含氢自由基和沉积物12之间的化学反应速度加快。例如,基材温度可维持在约0至约500℃,例如约150℃至约450℃,甚至约25℃至约350℃,例如约150℃至约350℃。在一态样中,其清洁制程施用于基材10的偏差功率量宜低,因为偏差功率量过高会使经激发清洁气体内的离子增加轰击基材10。适合的偏差功率量可低于约100Watts,例如介于约0至约10Watts,甚至约1Watts至约10Watts,甚至可实质上是零。在另一态样中,其是施用较高的偏差功率量以增加清洁速率,例如偏差功率量可高于100Watts,甚至介于约100Watts至约200Watts。
进一步的研究发现,基材10的清洁过程可经由进行热处理或热炼步骤移除基材10上的沉积物12而改良。在热处理步骤中,基材10是加热至一高温足以移除基材10上的气体材料,例如蒸气化沉积物12。在热处理步骤期间亦可提供一还原性气体流以抑制多个氧化物在基材上10形成。适合的还原性气体可包含一含氢气体,例如H2。可实质上仅进行热处理步骤而不将还原性气体激发,例如还原性气体实质上并未与RF或微波能耦合,以便在以远程激发的氢自由基进行清洁步骤之前先进行较温和的初步清洗基材10。
在一热处理步骤态样中,其基材10是加热至温度至少约100℃,例如介于约150℃至约350℃。提供一股H2气流,其体积流量约在100sccm至约5公升/分钟。热处理步骤期间的压力可维持在约100mTorr至约100Torr,甚至低于约30Torr,且适合的加热时间可为约1至约10分钟。
在一态样中,其热处理步骤是依循以氢自由基清洁的步骤在相同的室106a内进行。例如,室106a内可包含一温度控制系统140,并搭配一加热器142以便在室106a内将基材10加热到热处理温度。如第4a图的实施例展示,该加热器142包含一个位在基材支撑件110内基材10下方的耐热组件111。该加热器142亦可包含其它多个加热装置,例如一投射式加热灯143。在另一态样中,其热处理步骤是分别在不同的热处理室中进行,如第4a图中展示的实施例。此热处理室106c宜与清洗室106a以真空接触,例如经由一真空传送室117,以便基材10可从该热处理室106c转移至清洗室106a且不破坏真空。
在一实施例中,其清洁制程(及热处理制程,视需要)是在已实质上完全移除抗蚀剂层22而使下层的低-k介电层18的表面19外露后才进行。如第1a图展示,其剥除程序包含使包含抗蚀剂层22的基材10曝露在一经激发的剥除气体下,例如在剥除室内的含氧气体。一旦完成剥除该抗蚀剂层22且实质上已完全移除抗蚀剂层22后,如第1b图展示,该基材10即转移至另一清洗室106a。该清洗室106a宜属于一独立的多重室设备的一部份而不与其剥除室于真空下接触,此乃因剥除过程涉及自基材10上移除大量材料,若其剥除室是与该清洗室106a或其它的室进行真空式合一,可能会发生源自其剥除室的污染。当使用独立的热处理室106c时,此热处理室106c亦不宜与其剥除室于真空下接触,且其可为多重室真空设备(包括清洗室106a)的一部份。在将基材10从剥除室传送至清洗室106a时使含金属的导体16曝露在含氧剥除环境下、或曝露在周围的大气下,可在含金属的导体16表面14上形成沉积物12的自身氧化物成分(如第1b图展示)。
在一适合的清洁制程的态样中,其清洁气体包含约50至约1000sccm的H2,例如300sccm的H2,以及约0至约10sccm的H2O,例如,经施用约300Watts至约3000Watts(例如1050Watts)功率量,可在远程电源35内活化3scm H2O。该室内的压力是维持在低于约10Torr,例如约1Torr。其是施用偏差功率量约0至约100Watts(例如50Watts)使基材10偏置,而此基材10的温度则维持在约150至约450℃之间,例如250℃。该清洁制程实质上已移除了多个沉积物12而提供一干净的表面14,如第1c图展示。
完成清洁制程之后,其室106a内的压力是降低至约10mTorr以下,以排放使用过的清洁气体及清洁副产品,并减少该多重室设备遭到清洗室106a污染的可能性。然后该基材10可在真空下经由一独立的基材传送室117(内有传送机器人119)转移至一沉积室106b,以便在刚清洗过的含金属的导体表面14上沉积一层第二含金属的导体21,例如是铜、铝、钽、钨、氮化钽及氮化钨其中至少一个,如第1d图展示。
第2a图是展示一设备102的实施例,其包含一清洗室106a适用于含氢自由基清洁制程。在此展示的该设备102的特定实施例是适用于清洗多个基材10(例如多个半导体晶圆),并可由一般技术人员改造用以清洁其它种基材10(例如平面显示器、聚合物面板、或其它的电路接收结构)。一般而言,该清洗室106a包含一或多个壁107,例如:一气密室壁,其可包含一顶壁118;多个侧壁114;及一底壁116用以封住处理区108。经激发的清洁气体是藉由一气体供应器130,其包含该远程电源35及一气体分配器70,提供到该处理区108。该清洁气体是经该远程电源35激发并透过一连结管道62(具有一入口83)由该气体分配器70接收。该气体分配器70可包含一气体分配板72,其具有一开口71以在该处理区108内分配气体。视需要,该气体分配器70亦可包含在基材10周围的一条或多条导管。用过的气体及副产品是从该室106a经由一排气系统144排放,其包含一排气口177可接收源自处理区108的气体,亦可包含一节流阀135以控制在室106a内的气体压力,以及一或多个排气泵152,例如涡轮式分子排气泵。该排气系统144可使室106a内维持在一大气压下。
适用于自远程将清洁气体激发的远程电源35包含一远程室40,其具有一远程区30;一清洁气体源39以及一远程气体激发器37。在运转时,该清洁气体是源自远程室40的清洁气体源39。可提供一流动阀41以控制该清洁气体进入远程室40的流速。远程的气体激发器37会联结能量到远程区30内的清洁气体以激发该清洁气体,因此形成一股经激发的清洁气体,其包含激发的离子及自由基物种。该远程的气体激发器37可将(例如)RF和微波能其中至少一个联结到清洁气体。在一态样中,此远程的气体激发器37包含一感应器天线,其可将RF能感应联结到远程区30内的清洁气体。联结清洁气体的适合的RF功率量可为约100Watts至约10kWatts。在另一态样中,其远程的气体激发器37包含一螺旋气体激发器以便将能量联结到远程区30内的清洁气体,例如在美国专利第6,150,628号(颁给Smith等人)描述,其全文在此并入参考文献。螺旋气体激发器施用的合适RF功率量可为约1000Watts至约10,000Watts。亦可提供一远程气体激发器37,其包含一微波气体活化器。适合的微波功率量可为约300Watts至约5kWatts。室106a亦可视需要包含一制程室气体激发器,其是将能量联结至室106a之处理区108内的气体。例如,此制程室气体激发器可包含一或多个电极及一感应器天线以联结RF能。
基材10是置于处理区108内位在具有基材接受表面180的支架110上。支架110可视需要包含一电极90,其可由电压供应器91施用功率量而产生电偏差。该电极90可经偏置而以静电力支撑支架110上的基材10。该电极90以及基材10亦可经偏置而影响制程的特性,例如离子轰击基材10的程度。然而,支架110亦可不含任何可通电的电极。一温度控制系统140是用以保持该基材10的温度,并可包含例如,在基材10的下方支架110内的耐热组件111。该温度控制系统140亦可包含一或多个其它种热-交换设备,例如一热交换管道以提供热交换液、以及加热灯。该温度控制系统140亦可包含一温度监测仪,例如热电偶,以监测基材10的温度,并提供一信号,其与室控制器300的温度相关。
设备102,包含该制程室195,亦可为一较大型多重室设备102(其包含数个制程室106a-d)的一部份。在一设备102的实施例中,其适用于处理基材10,包含一或多个制程室106a-d,如第3图展示。该制程室106a-d是安置在一平台(例如Precision5000平台,Applied Materials,Inc.,SantaClara,California)上以提供电、水、以及其它的支撑功能。该平台109通常是支撑一真空隔绝室113(以承接待处理基材10的卡匣115)及一基材传送室117(内有机器人119),后者可从卡匣115将该基材传送至不同的多个室106a-d以进行处理,并在处理之后将其送回。不同的多个室106a-d可包含,例如:一沉积清洗室106a;一沉积室106b,以便在晶圆上沉积材料;或者,一热处理室106c;以及其它多个制程室。例如,在一态样中,多个室106a-d其中之一包含该清洗室106a,其可将在基材10的含金属导体16上形成的沉积物12移除。完成清洁制程之后,该基材10可经机器人119转移至沉积室106b以便在已清洗的基材10上沉积材料,例如含金属的导体。该基材10亦可经机器人119转移至第二沉积室106,其能在先前于第一室内沉积的该第一材料上再沉积另一材料(例如另一含金属的导体)。在进行热处理制程之后,该基材10亦可由机器人119从热处理室106c转移至清洗室106a。该多个室106a-d是在设备102内相互连结以形成连续的真空环境,其中制程是持续进行而不会中断,因此可降低基材10遭污染的可能性(当晶圆在不同制程阶段下于独立制程室之间转送时会大幅增加遭污染的机会)。该传送室117包含一排气系统,其具有一出口129可排气并能维持低压环境,例如压力低于约10mTorr,以减低多个室106a-d的污染。
在一典型态样中,室106b能将材料沉积在基材10上,如第4b图的例示性说明。该室106b是自电离电浆室的代表,例如SIP+型室(由AppliedMaterials,lnc.,Santa Clara,CA研发制造)。然而,本发明的特色并不仅限于包含SIP+型室的沉积室,其它种沉积室亦适合。典型的室106b包含多个气密室壁218,其包含多个侧壁120、多个底壁122以及一顶壁124。一基材支撑件230是用以在室106b内支撑基材10。此基材支撑件230可经一座式电源供应器210(例如一RF电源供应器203)电漂浮或偏置。基材10是经过在室106b的侧壁120内的基材装载入口(未显示)引入室106b并置于支架230上。支架230可藉由多个支架吊管(未显示)升起或下降,且在运送基材10出入室106b时可用一吊架指组件(亦未显示)将基材10升起或下降以运送到支架230上。
一制程气体,例如溅镀气体,是经由一制程气体供应器252(其包含多个气体源154a-c)引入室106b,各气体源是供料到一管道156a-c,其具有一气体流量控制阀158a-c(例如质量流量控制器)可经此传送一给定流速的气体。该多个导管156a-c将气体供应至一混合歧管160,气体在此处经过混合而形成所要求的制程气体组成物。该混合歧管160是供料到一气体分配器162,其在室106b内具有一个或多个气体出口164。该多个气体出口164可穿过多个室侧壁120而止于基材支撑件230附近。该制程气体可包含不具反应性的气体,例如氩或氙,其一旦受激发即撞击靶211且从此处喷溅材料。该制程气体亦可包含一反应性气体,例如一种或多种含氧气体和含氮气体,其均能与喷溅材料反应而在基材10上形成层。使用过的制程气体及副产品是经由一排气系统168从室106b排放,该排气系统包括一或多个排气口170可接收用过的制程气体,并将用过的气体输送至一排气管172,由一节流阀174控制室106b内的气压。该排气管172是供气到一或多个排气泵176。典型的,室106b内的溅镀气体压力则设定在次大气值。
溅镀室106b更包含一溅镀靶211,其面对基材10。该溅镀靶211可包含所要求的形状,例如第4b图内展示的形状。该溅镀靶211亦可包含一实质上为平面的形状,其表面实质上为一平坦的溅镀面。该溅镀室106b亦包含一外罩128可保护室106b的壁218免于受材料溅镀,且一般亦作为相对于阴极靶211的阳极。外罩128可行电漂浮或接地。该靶211是与室106b电隔绝,并连接至一靶电源201,例如脉冲式DC电源,但亦可为其它型的电源。在一态样中,靶电源201、靶211、以及外罩128是作为一气体激发器180,其能激发溅镀气体以便从靶211处喷溅材料。该靶电源201是施用一相对于外罩128的偏差电压于靶211上。在溅镀靶211上施用电压会在室106b内产生电场而激发溅镀气体形成电浆,其会强力地撞击在靶211上并进行轰击使靶材料喷出而溅镀在基材10上。激发制程气体的脉冲式DC电压的适合脉冲频率可为例如,至少约50kHz,更佳者低于约300kHz,最佳者约100kHz。一适合激发制程气体的DC电压可为例如,约200至约800伏特。
室106b更包含一磁控电子管403,其内含的磁场发生器401会在靠近室106b的靶211处产生磁场,使得邻近靶211的高-密度电浆区226内的离子密度增加而改善了靶材料的溅镀效果。可使用一改良式磁控电子管403以维持铜自身溅镀或铝、钛、或其它多种金属溅镀,同时可将为了轰击靶而使用不具反应性的气体的需要减至最小,例如,描述于美国专利第6,183,614号,颁给Fu,标题是“Rotating Sputter Magnetron Assembly”;以及美国专利第6,274,008号,颁给Gopalraja等人,标题是“Integrated Process forCopper Via Filling,”,两者的全文在此并入参考文献。
第4a图展示一视需要选用的热处理室106c的实施例。该热处理室包含多个气密室壁407,其是封闭一处理区408。热处理气体是经由一气体传递系统430(包含例如:一热处理气体源432以及一气体分配器434可经由一连结管道436接收源自来源的热处理气体)提供到处理区408。该气体传递系统430可进一步的包含一流量计438以管制气体流入该处理区408。基材10是放在该处理区408内的支架410上。该支架410可视需要包含一电极(未显示),其可藉由电偏差将基材10固定在支架410上。用过的气体以及副产品是经由一排气系统444从室106c排出,该排气系统包含一排气口477可接收来自处理区408的气体,并可包含一节流阀435以控制室106a内的气压,以及一或多个排气泵452,例如涡轮分子排气泵。
该热处理室106c包含一温度控制系统140,其具有一加热器142能加热基材10至所要求的温度,以及维持基材10在所要求的温度。在第4a图展示的实施例内,其加热器142包含一排加热灯泡143的阵列445,其作用是将热照射到基材10表面。温度控制系统140亦可包含一个或多个其它加热设备,例如在支架410内有耐热组件或提供一热交换管道以提供热交换流。该温度控制系统140亦可包含一温度监测仪,例如热电偶,其可监测基材10的温度,以及提供一关于室控制器300的温度的信号。
一多重室设备102可经硬件接口304以控制器300操作,如第5图展示。该控制器300包含一计算机302具有中央处理器(CPU)306,例如68040微处理器(市售自Synergy Microsystems,California)或Pentium处理器(Intel Corporation,Santa Clara,California),其是联结至内存308以及计算机外设组件。较佳者,内存308可包含一卸除式储存媒体310,例如CD或软盘;一非卸除式储存媒体312,例如硬盘、以及随机存取内存314。该控制器300可更进一步的包含数个适配卡,包括,例如:模拟式以及数字式输入以及输出板、接口板、以及马达控制板。在操作人员与控制器300之间的接口可为,例如,一显示器316及一光笔318。该光笔318可用光笔318尖端的光感应器侦测到从显示器316发出的光。在选择一特定的画面或功能时,操作人员碰触显示器316上一画面的指定区并按下光笔318上的按钮。典型地,被碰触的区域会改变颜色,或显示一新选单,即确认了使用者与控制器300间的联线。
在一态样中,其控制器300包含一计算机-可读取程序320,其是储存在内存308内,其可位在(例如)非卸除式储存媒体312或在卸除式储存媒体310内。该计算机可读取程序320一般包含,例如:一制程控制软件,其内含一程序代码可操作多个室106a-d及其多个组件、传送室117及机器人119;一制程监控软件,以监控在多个室106a-d内进行的多道制程;多个系统安全软件;以及其它控制软件。该计算机可读取程序320能用任何习见的计算机可读取的程序语言撰写,例如:汇编语言、C++、或Fortran。适当的程序代码是使用习见的文字编辑器输入一档案夹、或多个档案夹,并储存或置入该内存308的计算机可用的媒体内。若输入码的本文是一高级语言,即行编辑编码,所产生的编辑码再与预编辑的子程序库(precompiled Iibraryroutines)的目的码联结。为了执行此联结、编辑目的码,使用者激活目的码,激活CPU306读取并执行编码以进行程序确认的工作。
第10图是显示一专一性实施例,其为计算机可读取程序320的层级控制构造的例示性方框图。使用者根据CRT终端机所显示的选单或画面使用光笔接口将制程设定值及制程室号码输入计算机可读程序320。该计算机可读的程序包括程序代码,可控制基材位置、气流、气压、温度、RF功率量、以及其它特定制程的参数,及用以监控制程室的编码。该制程设定值是一组在进行专一制程时必备的制程预定参数。该制程参数是多个制程条件,包括(但不限于):气体组成、气体流速、温度、压力、气体激发器设定值例如RF功率量。
制程序列发生器程序代码322包含程序代码,可接受来自计算机可读取程序320的制程室型式及制程设定参数与控制其操作。序列发生器程序代码322激活制程设定值的执行,其是藉由将特定的制程参数送交到制程室管理程序代码324(在制程室106a-d内控制多道制程项目)进行。典型地,该制程室程序代码324包括一基材布置程序代码326、一气体供应控制器程序代码328、一气压控制程序代码330、一温度控制程序代码332、一气体激发器控制程序代码334、以及一制程监控程序代码336。
典型地,该基材布置程序代码326包含一使用说明用以控制多个制程室组件,其是关于将基材10装载在多个室106a-d内的支架110、230上,并视需要,在室106a-d内将基材10升高到所要求的高度。该基材布置程序代码334亦可控制传送室117内的机器人119在多重室设备102的多个制程室之间传送基材10。该气流控制程序代码328包含一使用说明用以控制在制程气体中多个不同成份(例如清洁气体、热处理气体或溅镀气体)的流速。该气流控制程序代码328则用以调控一或多个气流阀41、158(a、c)以及436的开口大小,以便气体进入多个室106a-c的流速能符合要求。
该温度控制程序代码332包含一程序代码用以控制多个制程室106a-d内的温度,例如基材10的温度。例如,该温度控制程序代码可控制在清洗室106a内基材10的温度,其是藉由控制施用在加热器142(例如在支架内的耐热组件111)的电流及监控来自温度传感器的信号以维持所要求的温度。该温度控制程序代码同样地可控制在独立的热处理室106c内基材10的温度,其是藉由控制施用在加热器142的电流及监控基材温度而达成。该气体激发器控制程序代码334包含一说明用以控制多个气体激发器,例如其远程的气体激发器37和溅镀气体激发器180,例如设定用以激发气体的施用功率量。该制程监控程序代码334包含一使用说明用以监控在多个室106a-d内的制程,例如,经由其侦测器202监控在一种或多种未经过滤及经过滤激发气体中的离子性对自由基物种的比率。该压力控制程序码330包含一使用说明用以控制多个室106a-d和传送室117(例如经控制多个节流阀174、135以及477)内的压力。
在一态样中,其控制器包含一程序代码可操作清洗室106a、溅镀室106b、和机器人119,以便将沉积物12从该清洗室106a内基材10上的第一含金属导体116上洗去、传送该基材10到该溅镀室106b、并在该经清洗的第一含金属导体16上沉积一层第二含金属导体21。该控制器300亦可视需要包含一程序代码以操作其热处理室106c,以便在运送基材10到清洗室106a清除沉积物12之前先进行初步热处理步骤。
该控制器300所收到及/或评估的数值讯号可送至一工厂自动化主计算机338。该工厂自动化主计算机338可包含一主软件程序340,其是用以评估来自数个系统、多个平台或多个室106a-d的资料,在多批的多个基材10或长时间下,其辨识出的统计性制程管制参数是关于(i)基材10上进行的制程;(ii)在单一基材10上可能变异的统计性质;或(iii)在整批的多个基材10上可能变异的统计性质。该主软件程序340亦可将这些资料用于评估延续性的现场制程或控制其它制程参数。适合的主软件程序包含一WORKSTREAMTM软件程序,可自上述Applied Materials购得。该工厂自动化主计算机338可进一步的适用于对下列情况提供指示讯息:(i)例如,当基材的品质不佳或未落在一预设的统计值范围内时,或若一制程参数偏离合理的范围时,即将特定的基材10移出制程顺序;(ii)终止特定制程室106a-d中的制程;(iii)对被认定为一品质不佳的基材10或制程参数即调整制程的条件。该工厂自动化主计算机338亦可在开始处理基材10或结束时根据主软件程序340的分析资料提供指示讯息。
实施例
下列多个实施例是示范藉由经激发的含氢自由基产生的较佳清洁结果。在这止上实施例中,其基材10包含一铜导体16,其上形成一低-k介电层。该铜导体16表面上有一层氧化铜12,其是在位于低-k介电层18中的特征20处外露。介电层k值的变化是使用Hg探针测定。
实施例1
本实施例展示习见的清洁方法用在不同厚度的低-k介电层对k值造成的效应。习见的清洁制程包含:在处理区内提供一清洁气体,其中包含95% He和5% H2;将450Watts的RF功率量感应式地联结到处理区内的清洁气体;将室内压力维持在80mTorr;以及施用10Watts偏差功率量。该基材10是在清洁气体下曝露40秒。表1是显示k值变化值对低-k介电层厚度的函数。
表1
Figure C200480007358D00291
表1中显示,经清洗的基材10其k值变化会随低-k介电层18的厚度减小而变大。一般认为,厚度较小时(例如在
Figure C200480007358D00292
或在
Figure C200480007358D00293
的下)的k值会急升,事实上是由于该低-k介电层18的顶端表面19在历经习见的清洁制程后赫然成为受损最严重的区域。当介电层的毛厚度减小时,其顶端表面19的电容量对层18的总电容量的贡献度最大。因此,习见的方法并无法提供理想的方法以清洗具有低-k介电层18、尤其是厚度约在及小于约1500
Figure C200480007358D0029181531QIETU
的低-k介电层18的基材
实施例2
实施例2显示不同的制程参数对清洁制程的效应。在这些实施例中,低-k介电层原来厚度为
Figure C200480007358D00294
的基材10是以习见的清洁制程清洗,其中经激发的清洁气体在处理区内形成且该清洁气体并未经过滤以减少离子数目。多重因素测试是在变化制程参数下进行,其中包括:基材偏差功率量、气体激发功率量、与气压。第6图显示,在多重因子条件下,经处理基材10的低-k介电层的厚度变化(Δt)及k值变化(Δk)。
第6图显示,基材的偏差功率量对于低-k介电层18的k值变化与厚度变化(其常因低-k介电层18的溅射而变小)有强烈的影响。如同线501与502所显示,厚度变化与k值变化均随着施用于基材10的偏差功率量增加而变大。相反地,气体激发功率量和压力对于k值和厚度的影响则并不强烈。线503以及504是分别代表在增加气体激发功率量下的厚度和k值变化。线505以及506分别代表在压力升高下的厚度和k值变化。提高偏差功率量会使离子性物种对基材10的轰击增加。因此,此资料显示影响k值变化的决定性因子是离子性物种对基材10的轰击。据此,改良过的清洁制程会从清洁气体中过滤离子性物种而降低其对基材10的轰击。
实施例3
在本实施例中,其是以使用不同清洁气体组成物的习见清洁制程来评估k值的变化。在制程中清洗的基材10包含一厚度为
Figure C200480007358D00301
的低-k介电层18。在清洁制程中,表2所列的清洁气体是藉由将能量联结到处理区内的气体而激发。在第一道清洁制程中,其基材偏差功率量为300Watts而气压则为0.5mTorr。在第二道清洁制程中,其基材偏差功率量为10Watts而气压则为80mTorr。其低-k介电层的k值变化百分比则在每道清洁制程后测量。其清洁制程的结果如表2所示。
表2
 
清洁制程 气体组成物 Δk(%)
1 He 20
Ar 5-15
H<sub>2</sub> 19
CH<sub>4</sub>(10%)He(90%) 25
2 He 11
Ar 9
H<sub>2</sub> 21
H<sub>2</sub>(5%)He(95%) 11
CH<sub>4</sub>(10%)He(90%) 25
H<sub>2</sub>(5%)N<sub>2</sub>(95%) 13-30
O<sub>2</sub>(5%)Ar(95%) 15
因此,表2显示,即使用不同型态的清洁气体,习见的清洁方法仍无法产生良好的清洁结果,即在清洗基材10后不使k值增加。k值的增加程度就使用含氢气体的清洁制程而言可高达30%。虽然Ar清洁气体制程的k值变化百分比最小,不过,Ar气体通常须施用较高的偏差功率量才能将基材10上的金属氧化物充份洗净,如此却又会导致k值的增加程度过大。
实施例4
在本实施例中,基材10是以较温和的清洁制程清洗,其是提供大量的含氢自由基物种将基材10上的金属氧化物洗净。该较温和的清洁制程包含:在远程区内形成一经激发的含氢气体,过滤该激发气体使激发气体通过一石英表面60以减少该气体内的离子性物种数目并供应大量的含氢自由基物种。在该制程中清洗的基材10包含一层厚度为
Figure C200480007358D00311
的低-k介电层18。该清洁气体包含3000sccm H2,且该清洁制程是在下述表3所列的气体激发功率量和温度下进行40秒。测量介电层18的k值变化并列入表3中。
表3
 
制程条件 Δk(%)
1400Watts/175℃ 2
2
3
500Watts/175℃ 2
1
2
500Watts/250℃ 5
5
5
因此,在比较表1、2及3之后显示,使用内含大量含氢自由基的经激发清洁气体的清洁制程会实质上造成k值变化变小,因此可用以改善未采用过滤方法减少其气体中的离子性物种数目的清洁制程。习见未曾过滤离子性物种的清洁方法所导致的k值变化百分比,相较于一些其离子性物种数目已减少的清洁气体制程的k值变化百分比,可比后者高出20倍、甚至30倍。据此,清洁具有多个低-k介电层的多个基材10的改良方法可藉由过滤经激发的含氢气体以减少气体内的离子性物种数目,因此改善了经处理的多个基材10的品质,并得以清洗厚度较小的多个介电层18实质上却又不致于损及该多个介电层。
实施例5
本实施例是展示温度对以含氢自由基的激发气体来清洁基材的重要性。含厚度为
Figure C200480007358D00321
的低-k介电层的多个基材10是用经激发的清洁气体清洗,其中该清洁气体在远程源含3000sccm H2及30sccm H2O并以施用微波功率量1400Watts激发。每一片被清洗的基材10的基材10温度均不同,如下列表4显示。k值在清洗之前和之后均加以测量,并决定其k值的变化百分比。k值在清洁后测得约为3。值得注意的是,由于各晶圆间的变异性会产生干扰且确实清洗的基材数较少,因此测得的k值变化高于实际变化,且大于表3中所列。不过,表4显示随着温度上升,k值有增加的趋势。
表4
 
基材温度(℃) ΔK(%)
85 8.9
7.6
7.8
125 13.6
10.7
8.2
150 11.7
13.2
9.8
200 16.0
14.6
13.4
经清洁的基材其反射性亦经测量,且该反射性百分比亦对升高的基材温度作图显示于第7图中。反射性越高即代表从铜导体16表面14上移除的自身氧化物愈多,而反射性低则代表表面14上有氧化铜的存在。线507为在清洁制程之前的平均反射性,线508则是清洁制程之后的平均反射性,而线509与510则分别代表在清洁制程之前和之后的反射性测量的标准偏差百分比。因此,该图显示,较好的基材10清洁效果是在较高的温度下达成,不过在较低的基材温度80℃下仍可达到良好的清洁效果。据此,表4和第7图的图显示,良好的清洁效果可藉由使用含氢自由基清洁达成,同时也显示在小心选用温度下应能提供最适当的清洁效果且不致于使低-k介电层的k值过度增加。
实施例6
本实施例展示由进行一热处理步骤可提供的较佳结果。在本实施例中,基材10(内含一铜导体16及低-k介电层18)是经由一热处理制程处理,其中包含将该基材加热至温度至少约100℃,同时并提供一股H2气流。经热处理的该基材10其后便在一清洁制程中以一远程激发气体(其中包含经过滤的含氢自由基物种)清洗,以便去除铜导体16表面14上的沉积物12。其后便进行一沉积制程,以便在该经清洁的表面14上沉积一层第二含金属的导体21(内含氮化钽)。测量遍布在基材10上的特征20的铜与钽氮化物导线特征20的电阻,结果如第8图的线600展示。
为了比较热处理结果,另一基材10并未经热处理步骤处理,并测量在整片基材10上该特征20的电阻。在一制程中,其基材10是先以一内含经过滤含氢自由基的远程激发气体清洁,再于基材10上沉积一氮化钽层,且未经一独立的热处理步骤处理,其结果如线602展示。在另一制程中,其氮化钽层是沉积在基材上10而未先经过清洁或热处理步骤,其结果如线604展示。
第8图显示,当基材先进行热处理步骤,其后才进行清洗并将材料沉积于其上时,其基材20上接触电阻较低的特征20的百分比例明显高出很多。第8图是显示一电阻在一测量值下或低于此值的特征的百分比图,其测得的电阻值是标示在图中的X轴,而特征的百分比是展示在图的Y轴上。如线600展示,热处理步骤能提供基材上95%的特征20的电阻约小于1Ohm/特征。相反的,在未经热处理的基材10上其电阻小于1Ohm/特征者占全体特征20的百分比不及5%。
实施例7
本实施例更进一步示范由一内含经过滤含氢自由基的清洁气体所提供的较佳清洁效果。为了测定清洁气体对于清洁沉积物12(例如含碳的残留物)的能力,在基材10中包含一层光阻剂,其是曝露在一内含含氢自由基的经激发清洁气体下。测量这些基材的光阻剂消除速率,并和曝露在习见清洁气体下的光阻剂的基材10的光阻剂消除速率相比较。第9图显示内含含氢自由基的经激发清洁气体的光阻剂消除速率(如长条图700显示),及习见的清洁气体的光阻剂消除速率(如长条图702显示)。第9图中展示,该内含经过滤含氢自由基的经激发清洁气体的光阻剂消除速率较高,超过约三倍,也因此该清洁气体能提供比习见清洁气体优异的清洁效果。
实施例8
本实施例亦示范由一内含经过滤含氢自由基的清洁气体所提供的较佳清洁效果。在本实施例中,含金属导体16(其上有自身氧化物膜12)的基材10的反射性是经测量,如第10图的线704所展示。其后基材10是经清洗,其是藉由将基材10曝露在一内有含氢自由基的经激发清洁气体下,之后再测量经清洗的该基材10的反射性,如第10图的线706所展示。该经清洗的基材10,相较于未经清洗的基材10的较低反射性(约在40%),整个基材10的反射性约接近120%,代表实质上已完全去除该自身氧化物12。据此,以一内含经过滤含氢自由基的经激发清洁气体清洗可对基材10上含金属导体16的表面提供良好的清洁效果。
实施例9
在本实施例中是展示多个特征20在以一内含多个经过滤含氢自由基的经激发清洁气体清洗过后,其电阻会降低。为了显示此已改善的电阻,一基材,其具有多个含金属导体16的多个特征20,是先以内含多个内含氢自由基的气体清洗,其后再于其上沉积一层含金属的导体并形成多个导线结构以连接多个特征20。其后再测试该导线结构的电阻(以Ohms/结构表示),并将实测的电阻值对具有该实测电阻值的导线结构百分比作图,如第11图的线708所展示。未经清洁步骤即形成的特征的导线电阻亦经测量,如第11图的线709所展示。因此,使用内含经过滤含氢自由基的经激发清洁气体的清洁制程,其所提供具较小电阻的导线结构所占的百分比高于未经清洗所形成的导线结构。
实施例10
在本实施例中,其是决定以内含经过滤含氢自由基的经激发清洁气体清洗的清洁方法对电容量的效应。多个基材10(具有多个含金属导体16)是先经过清洗去除沉积物,之后再于其上沉积多个含金属的导体以形成多个导线结构。之后再测量由该经处理基材所形成的多个电容器的电容量,如第12图的线710展示。其清洁结果是与未经清洁步骤处理过的基材上的电容器的电容量比较,如第12图的线712展示。因此,如第12图展示,使用内含经过滤含氢自由基的经激发清洁气体的清洁制程实质上并未改变该基材10上的多个结构的电容量。据此,清洁制程对于介电层k-值的影响很小。
虽然本发明的实施例已经展示并加以说明,不过一些熟悉此技艺的专业人士亦可设计其它合并本发明的实施例,而这些均隶属在本发明的范畴内。例如,制程室106a可包含除了上述已说明者的外的多个组件,这些是熟悉此技艺的专业人士已知的。此外,术语:下方、上方、底部、顶部、向上、向下、第一及第二和其它的相对性或位置性术语均是参考多个图的实施例且可彼此互换。因此,随附的申请专利范围不应仅限于文中用以例示性说明本发明的较佳态样、材料、或立体配置。

Claims (24)

1.一种用以清洁基材的设备,用于清洁基材上由低k材料所包围的含金属的表面,所述低k材料具有小于3的k值,所述设备包含:
(a)一远程电源,用以自远程激发一含氢气体以形成一远程激发气体,所述远程激发气体中离子性含氢物种对含氢自由基物种的比值为第一比率;以及
(b)一制程室,其包含:
(i)一基材支撑件;
(ii)一离子过滤器,用以过滤所述远程激发气体而形成一经过滤的激发气体,所述经过滤的激发气体中离子性含氢物种对含氢自由基物种的比值为第二比率,所述第二比率低于所述第一比率;以及
(iii)一气体分配器,用以将所述经过滤激发气体引入至所述制程室内。
2.如权利要求1所述的设备,其特征在于,所述离子过滤器包含有一线栅极在所述气体分配板上。
3.如权利要求1所述的设备,其特征在于,包含一石英表面,用以减少所述激发气体内的所述离子性含氢物种的数目。
4.如权利要求3所述的设备,其特征在于,所述石英表面是下列表面的中至少一个:(i)一制程室衬垫;或(ii)一管道,用以连结所述远程电源和所述室。
5.如权利要求1所述的设备,其特征在于,其包含一侦测器,适于在所述设备内的一处或多处侦测自由基浓度和离子浓度至少其中之一。
6.如权利要求1所述的设备,其特征在于,所述制程室包含一适以将制程室压维持在大气压以下的排气系统。
7.一种用以清洁基材的设备,用于清洁基材上由低k材料所包围的含金属的表面,所述低k材料具有小于3的k值,所述设备包含:
(a)一远程电源,在远程激发一含氢气体以形成一远程激发气体,在所述远程激发气体中离子性含氢物种对含氢自由基物种的比值为第一比率;以及
(b)一制程室,其包含:
(i)一基材支撑件;
(ii)一气体分配器,将所述远程激发气体引入所述制程室内,所述气体分配器包含一石英板,其孔口供所述远程激发气体通过,以使得经石英板的孔过滤的激发气体中的离子性含氢物种对含氢自由基物种的比值为低于所述第一比率的第二比率;
(iii)一石英制程室衬垫;及
(iv)一排气系统。
8.如权利要求7所述的设备,其特征在于,所述远程电源是位在距所述石英板d距离之处,以便在所述远程激发气体通行所述距离d后产生一经过滤的激发气体,所述经过滤的激发气体中离子性含氢物种对含氢自由基物种的比值小于所述第二比率。
9.如权利要求8所述的设备,其特征在于,所述第一比率的值至少为所述第二比率的值的100倍。
10.一种清洁基材的方法,用于清洁基材上由低k材料所包围的含金属的表面,所述低k材料具有小于3的k值,所述方法包含:
(a)放置一基材在一处理区内,所述基材包括位于基材上的由低k材料所包围的含金属的表面,所述低k材料具有小于3的k值;
(b)在一远程区内,将能量耦接至一含氢气体以形成一股激发气体,所述激发气体中离子性含氢物种对含氢自由基物种的比值为第一比率。
(c)过滤所述激发气体以形成一经过滤的激发气体,在所述经过滤的激发气体中离子性含氢物种对含氢自由基物种的比值为第二比率,所述第二比率低于第一比率;以及
(d)将所述经过滤远程激发气体引入所述处理器中,在降低对于基材上的低k值介电层的破坏的情况下清洗所述基材。
11.如权利要求10所述的方法,其特征在于,所述第一比率的值至少是所述第二比率的值100倍。
12.如权利要求10所述的方法,其特征在于,步骤(c)包含至少下列其中之一:(i)在所述远程区和所述处理区之间维持一电性接地栅极,及(ii)使所述激发气体通过一石英表面以减少所述离子性含氢物种的数目。
13.如权利要求10所述的方法,其特征在于,在步骤(b)中所述含氢气体包含至少80% H2
14.如权利要求10所述的方法,其特征在于,在步骤(b)中所述含氢气体包含H2O。
15.如权利要求10所述的方法,其特征在于,所述激发气体包含一含氮气体。
16.如权利要求10所述的方法,其特征在于,在步骤(b)中所述含氢气体包含NH3
17.如权利要求10所述的方法,其特征在于,在步骤(b)中所述含氢气体包含至少80%体积比的H2和1%至20%体积比的H2O。
18.如权利要求10所述的方法,其特征在于,在步骤(b)中所述含氢气体包含至少80%体积比的H2,1%至20%体积比的H2O,及1%至20%体积比的NH3
19.如权利要求10所述的方法,其特征在于,步骤(d)中更包含对在基材下方的一电极施加低于100Watts的偏压电力。
20.如权利要求10所述的方法,更包含将基材温度维持在150℃至350℃。
21.一种用以清洁基材并在基材上沉积含金属的导体的设备,所述含金属的导体由k值小于3的低k材料所包围,所述设备包含:
(a)一清洁室,其包含:
(i)一基材支撑件;
(ii)一远程电源,自远程激发一含氢气体以形成一激发气体,所述激发气体中离子性含氢物种对含氢自由基物种的比值为第一比率;以及
(iii)一离子过滤器,过滤所述远程激发气体以形成一经过滤的激发气体,所述经过滤的激发气体中离子性含氢物种对含氢自由基物种的比值为第二比率,所述第二比率低于所述第一比率,所述离子过滤器包含一用以将所述远程激发气体引入所述清洁室内的石英气体分配器和一石英室衬垫;及
(iv)一排气系统,将所述清洁室内的所述气体排出,所述排气系统能使所述清洁室内的压力维持在10mTorr以下;
(b)一沉积室,包含:
(i)一基材支撑件;
(ii)一气体输送系统,将一气体引入所述沉积室;
(iii)一气体激发器,激发所述气体以便将材料沉积在所述基材上;及
(iv)一排气系统,以排放所述沉积室内的气体;
(c)一传送室,内含一机器人将所述基材从所述清洗室运送到所述沉积室,所述传送室内含一气密室,其能使基材维持在10mTorr以下的低压环境;以及一出口,将所述传送室内的气体排放以保持低压状态;及
(d)一控制器,操作所述清洗室、所述沉积室、以及所述机器人,以清洗所述基材上第一内含金属导体的多种沉积物,其后再将所述基材运送至所述沉积室以便在所述经清洗的第一内含金属导体上沉积一层第二内含金属的导体。
22.一种清洁包含低k介电材料的基材的方法,其中所述介电材料上已形成有多个使下层的含金属导体外露的特征,所述低k介电材料具有小于3的k值,所述方法包含:
(a)在一热处理步骤中,使基材暴露在含氢气体下,同时所述基材的温度是维持于至少100℃;及
(b)在一清洁步骤中,使所述基材暴露在一包含含氢自由基物种的经过滤的远程激发气体下以清洗基材,所述经过滤的远程激发气体形成如下:
(i)将能量耦接至一远程区内的第二含氢气体以形成一远程激发气体,所述远程激发气体中离子性含氢物种对含氢自由基物种的比值为第一比率,
(ii)将所述远程激发气体过滤以形成一经过滤的激发气体,所述经过滤的激发气体中离子含氢物种对含氢自由基物种的比值为第二比率,所述第二比率比所述第一比率低,及
(iii)使所述基材曝露在所述经过滤的激发气体下以清洗所述基材。
23.如权利要求22所述的方法,其特征在于,步骤(a)包含将所述基材的温度维持在150℃至350℃。
24.如权利要求22所述的方法,其特征在于,步骤(a)包含提供一股H2气流至处理区内,其体积流速100sccm至5公升/分钟,并将所述处理区内的压力维持在30Torr以下。
CNB2004800073581A 2003-02-14 2004-02-12 利用含氢自由基清洁自生氧化物的方法和设备 Withdrawn - After Issue CN100468611C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US44737203P 2003-02-14 2003-02-14
US60/447,372 2003-02-14

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2009100033019A Division CN101457338B (zh) 2003-02-14 2004-02-12 利用含氢自由基清洁自生氧化物的方法和设备

Publications (2)

Publication Number Publication Date
CN1762039A CN1762039A (zh) 2006-04-19
CN100468611C true CN100468611C (zh) 2009-03-11

Family

ID=32908430

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2004800073581A Withdrawn - After Issue CN100468611C (zh) 2003-02-14 2004-02-12 利用含氢自由基清洁自生氧化物的方法和设备
CN2009100033019A Expired - Fee Related CN101457338B (zh) 2003-02-14 2004-02-12 利用含氢自由基清洁自生氧化物的方法和设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2009100033019A Expired - Fee Related CN101457338B (zh) 2003-02-14 2004-02-12 利用含氢自由基清洁自生氧化物的方法和设备

Country Status (5)

Country Link
US (1) US7604708B2 (zh)
JP (3) JP4673290B2 (zh)
KR (3) KR101276694B1 (zh)
CN (2) CN100468611C (zh)
WO (1) WO2004074932A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备

Families Citing this family (227)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI233168B (en) * 2003-09-01 2005-05-21 Macronix Int Co Ltd Method of cleaning surface of wafer by hydroxyl radical of deionized water
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP4032058B2 (ja) * 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法
US20060016783A1 (en) * 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060137711A1 (en) * 2004-12-27 2006-06-29 Kun-Yuan Liao Single-wafer cleaning procedure
US20070272270A1 (en) * 2004-12-27 2007-11-29 Kun-Yuan Liao Single-wafer cleaning procedure
US7144808B1 (en) * 2005-06-13 2006-12-05 Texas Instruments Incorporated Integration flow to prevent delamination from copper
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US8317929B2 (en) 2005-09-16 2012-11-27 Asml Netherlands B.V. Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7799138B2 (en) * 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
JP5554469B2 (ja) * 2007-05-14 2014-07-23 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
WO2008139621A1 (ja) * 2007-05-15 2008-11-20 Canon Anelva Corporation 半導体素子の製造方法
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
US8609545B2 (en) 2008-02-14 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve mask critical dimension uniformity (CDU)
US20090258487A1 (en) * 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
JP2009256747A (ja) * 2008-04-18 2009-11-05 Canon Anelva Corp マグネトロンスパッタリング装置及び薄膜の製造法
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8138076B2 (en) * 2008-05-12 2012-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. MOSFETs having stacked metal gate electrodes and method
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
SG10201602599XA (en) * 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR20140107580A (ko) * 2011-12-23 2014-09-04 어플라이드 머티어리얼스, 인코포레이티드 원자 수소로 기판 표면들을 세정하기 위한 방법들 및 장치
SG11201404775RA (en) 2012-02-24 2014-09-26 California Inst Of Techn Method and system for graphene formation
JPWO2013129701A1 (ja) * 2012-03-02 2015-07-30 独立行政法人科学技術振興機構 導電性膜の形成方法
CN103377971A (zh) * 2012-04-30 2013-10-30 细美事有限公司 用于清洗基板的装置和方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US20140179110A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Methods and apparatus for processing germanium containing material, a iii-v compound containing material, or a ii-vi compound containing material disposed on a substrate using a hot wire source
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140273525A1 (en) 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20150011025A1 (en) * 2013-07-03 2015-01-08 Tsmc Solar Ltd. Enhanced selenium supply in copper indium gallium selenide processes
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN104425289B (zh) * 2013-09-11 2017-12-15 先进科技新加坡有限公司 利用激发的混合气体的晶粒安装装置和方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
RU2556433C1 (ru) * 2013-12-26 2015-07-10 Общество с ограниченной ответственностью ООО "Инновационно-промышленный комплекс "Беседы" Способ реактивного магнетронного нанесения наноразмерного слоя оксида на подложку
US9269585B2 (en) 2014-01-10 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning metal gate surface
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9396992B2 (en) * 2014-03-04 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a barrier-seed tool for forming fine-pitched metal interconnects
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US10854472B2 (en) * 2014-03-19 2020-12-01 Globalfoundries Inc. Method for forming a metal gate including de-oxidation of an oxidized surface of the metal gate utilizing a reducing agent
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20160013085A1 (en) * 2014-07-10 2016-01-14 Applied Materials, Inc. In-Situ Acoustic Monitoring of Chemical Mechanical Polishing
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160042916A1 (en) * 2014-08-06 2016-02-11 Applied Materials, Inc. Post-chamber abatement using upstream plasma sources
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160126134A1 (en) * 2014-10-29 2016-05-05 Applied Materials, Inc. Systems and methods for removing contamination from seed layer surface
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018091888A1 (en) * 2016-11-15 2018-05-24 Oxford University Innovation Limited Method and apparatus for applying atomic hydrogen to an object
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP7074956B2 (ja) * 2017-09-29 2022-05-25 東京エレクトロン株式会社 基板に流体をコーティングする方法及びシステム
US10269574B1 (en) 2017-10-03 2019-04-23 Mattson Technology, Inc. Surface treatment of carbon containing films using organic radicals
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10656539B2 (en) * 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source for lithography process
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
WO2019199922A1 (en) 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10840082B2 (en) * 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN112335017A (zh) 2018-11-16 2021-02-05 玛特森技术公司 腔室上光以通过减少化学成分改善刻蚀均匀性
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
US11107695B2 (en) 2018-12-21 2021-08-31 Beijing E-town Semiconductor Technology Co., Ltd. Surface smoothing of workpieces
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112601836A (zh) 2019-04-30 2021-04-02 玛特森技术公司 使用甲基化处理选择性沉积
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
US20210123139A1 (en) * 2019-10-29 2021-04-29 Applied Materials, Inc. Method and apparatus for low resistance contact interconnection
CN111081524B (zh) * 2019-12-31 2022-02-22 江苏鲁汶仪器有限公司 一种可旋转的法拉第清洗装置及等离子体处理系统
KR20230001280A (ko) * 2021-06-28 2023-01-04 주식회사 원익아이피에스 챔버내부처리방법 및 기판처리방법

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
JPS62158859A (ja) 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
JPS62213126A (ja) * 1986-03-13 1987-09-19 Fujitsu Ltd マイクロ波プラズマ処理装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0682643B2 (ja) * 1987-03-13 1994-10-19 科学技術庁長官官房会計課長 表面処理方法
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
DE3725358A1 (de) * 1987-07-30 1989-02-09 Telog Systems Gmbh Vorrichtung und verfahren zur oberflaechenbehandlung von materialien
JPH0732137B2 (ja) 1988-02-29 1995-04-10 東京エレクトロン東北株式会社 熱処理炉
JPH01290224A (ja) 1988-05-18 1989-11-22 Fujitsu Ltd 表面平坦化処理工程をもつ半導体装置の製造方法
KR930004115B1 (ko) * 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
GB8905073D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US5232872A (en) * 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
US4988644A (en) * 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
US5326794A (en) * 1990-05-08 1994-07-05 Industrial Technology Research Institute Barbituric acid-modified bismaleimide with diamine and polyisocyanate-modified epoxy resin
JP2646811B2 (ja) 1990-07-13 1997-08-27 ソニー株式会社 ドライエッチング方法
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP3038953B2 (ja) 1991-02-28 2000-05-08 ソニー株式会社 配線形成方法
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
DE4132559A1 (de) 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
DE69218720T2 (de) 1991-10-17 1997-07-17 Applied Materials Inc Plasmareaktor
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
JPH05215064A (ja) 1992-02-04 1993-08-24 Nec Corp イオンエンジン
JPH0684835A (ja) 1992-09-02 1994-03-25 Kawasaki Steel Corp 表面処理方法及びその装置
JP3231426B2 (ja) * 1992-10-28 2001-11-19 富士通株式会社 水素プラズマダウンフロー処理方法及び水素プラズマダウンフロー処理装置
JPH06204191A (ja) 1992-11-10 1994-07-22 Sony Corp 金属プラグ形成後の表面処理方法
US5409543A (en) * 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5336366A (en) * 1993-04-05 1994-08-09 Vlsi Technology, Inc. New dry etch technique
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5384465A (en) 1993-09-17 1995-01-24 Applied Materials, Inc. Spectrum analyzer in an ion implanter
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451263A (en) * 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
IT1271636B (it) 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3533583B2 (ja) * 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
US5698469A (en) * 1994-09-26 1997-12-16 Endgate Corporation Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections
JP3339200B2 (ja) 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
JP2809113B2 (ja) * 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
JPH08186085A (ja) * 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5565681A (en) 1995-03-23 1996-10-15 Applied Materials, Inc. Ion energy analyzer with an electrically controlled geometric filter
US5720097A (en) * 1995-04-07 1998-02-24 Axis Usa, Inc. Apparatus for inserting insulators
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0982689A (ja) 1995-09-19 1997-03-28 Toshiba Corp プラズマ処理装置およびその方法
JP3862305B2 (ja) * 1995-10-23 2006-12-27 松下電器産業株式会社 不純物の導入方法及びその装置、並びに半導体装置の製造方法
BE1009839A3 (fr) 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
US5904571A (en) * 1996-06-28 1999-05-18 Lam Research Corp. Methods and apparatus for reducing charging during plasma processing
JPH1022279A (ja) 1996-07-02 1998-01-23 Toshiba Mach Co Ltd 誘導結合型プラズマcvd装置
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5801098A (en) * 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
GB2319532B (en) * 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
GB2319533B (en) * 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
JPH1116912A (ja) 1997-06-25 1999-01-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6130436A (en) 1998-06-02 2000-10-10 Varian Semiconductor Equipment Associates, Inc. Acceleration and analysis architecture for ion implanter
US6148832A (en) * 1998-09-02 2000-11-21 Advanced Micro Devices, Inc. Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces
US6511575B1 (en) * 1998-11-12 2003-01-28 Canon Kabushiki Kaisha Treatment apparatus and method utilizing negative hydrogen ion
JP3619030B2 (ja) * 1998-11-12 2005-02-09 キヤノン株式会社 プラズマ処理装置及び処理方法
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6326794B1 (en) * 1999-01-14 2001-12-04 International Business Machines Corporation Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
GB2347686B (en) * 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
US6251775B1 (en) * 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6504159B1 (en) 1999-09-14 2003-01-07 International Business Machines Corporation SOI plasma source ion implantation
US6555832B1 (en) 1999-10-13 2003-04-29 Applied Materials, Inc. Determining beam alignment in ion implantation using Rutherford Back Scattering
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6710358B1 (en) 2000-02-25 2004-03-23 Advanced Ion Beam Technology, Inc. Apparatus and method for reducing energy contamination of low energy ion beams
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6639227B1 (en) 2000-10-18 2003-10-28 Applied Materials, Inc. Apparatus and method for charged particle filtering and ion implantation
KR100404956B1 (ko) * 2001-01-08 2003-11-10 (주)에이피엘 반도체 집적소자 제조공정 및 장치
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
JP2004022902A (ja) 2002-06-18 2004-01-22 Fujitsu Ltd 半導体装置の製造方法
US6758949B2 (en) 2002-09-10 2004-07-06 Applied Materials, Inc. Magnetically confined metal plasma sputter source with magnetic control of ion and neutral densities
US7604708B2 (en) 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
US7189980B2 (en) 2003-05-09 2007-03-13 Varian Semiconductor Equipment Associates, Inc. Methods and systems for optimizing ion implantation uniformity control
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7235795B2 (en) 2004-08-12 2007-06-26 Applied Materials, Inc. Semiconductor device manufacturing apparatus and a method of controlling a semiconductor device manufacturing process
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备

Also Published As

Publication number Publication date
JP2006523015A (ja) 2006-10-05
KR101127294B1 (ko) 2012-03-30
KR20120068982A (ko) 2012-06-27
CN1762039A (zh) 2006-04-19
KR20110079859A (ko) 2011-07-08
WO2004074932A3 (en) 2004-10-21
US7604708B2 (en) 2009-10-20
CN101457338B (zh) 2011-04-27
KR101352995B1 (ko) 2014-01-21
JP2011082536A (ja) 2011-04-21
JP2012199576A (ja) 2012-10-18
JP4673290B2 (ja) 2011-04-20
JP5542172B2 (ja) 2014-07-09
US20040219789A1 (en) 2004-11-04
CN101457338A (zh) 2009-06-17
KR20050101339A (ko) 2005-10-21
WO2004074932A2 (en) 2004-09-02
JP5061231B2 (ja) 2012-10-31
KR101276694B1 (ko) 2013-06-19

Similar Documents

Publication Publication Date Title
CN100468611C (zh) 利用含氢自由基清洁自生氧化物的方法和设备
TWI253702B (en) Monitoring an effluent from a chamber
US6830624B2 (en) Blocker plate by-pass for remote plasma clean
US6318384B1 (en) Self cleaning method of forming deep trenches in silicon substrates
CN1726302B (zh) 对具有涂层的处理腔组件进行清洁的方法
US7109114B2 (en) HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US5928426A (en) Method and apparatus for treating exhaust gases from CVD, PECVD or plasma etch reactors
US6676760B2 (en) Process chamber having multiple gas distributors and method
US6852242B2 (en) Cleaning of multicompositional etchant residues
US20030037802A1 (en) Semiconductor treating apparatus and cleaning method of the same
US6277347B1 (en) Use of ozone in process effluent abatement
US6814814B2 (en) Cleaning residues from surfaces in a chamber by sputtering sacrificial substrates
KR20010021675A (ko) 기판 처리 시스템의 세척 방법
WO2003008662A2 (en) Bypass set up for integration of remote optical endpoint for cvd chambers
JPH11152573A (ja) ガス混合装置及び方法
US20040200498A1 (en) Method and apparatus for cleaning a substrate processing chamber
JP4716566B2 (ja) 基板上の銅酸化物を還元するプラズマ加工チャンバ、及び、その方法
KR20010032726A (ko) 현장 예비세정 단계를 포함하는 웨이퍼상의 티타늄화학기상증착
CN113594032A (zh) 基板处理方法及等离子体处理装置
US20100270262A1 (en) Etching low-k dielectric or removing resist with a filtered ionized gas
US20060027530A1 (en) Etching gas, etching method and etching gas evaluation method
JP2008124460A (ja) レジスト除去及びレジスト下のフィーチャのファセット制御のためのプラズマ
CN116547410A (zh) 用于沉积残留物控制的系统和方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
AR01 Abandonment of patent right to avoid double patenting

Effective date of abandoning: 20110427

Granted publication date: 20090311