KR20010021675A - 기판 처리 시스템의 세척 방법 - Google Patents

기판 처리 시스템의 세척 방법 Download PDF

Info

Publication number
KR20010021675A
KR20010021675A KR1020007000233A KR20007000233A KR20010021675A KR 20010021675 A KR20010021675 A KR 20010021675A KR 1020007000233 A KR1020007000233 A KR 1020007000233A KR 20007000233 A KR20007000233 A KR 20007000233A KR 20010021675 A KR20010021675 A KR 20010021675A
Authority
KR
South Korea
Prior art keywords
cleaning
chamber
gas
plasma
stage
Prior art date
Application number
KR1020007000233A
Other languages
English (en)
Inventor
치엔-티 카오
칼 안토니 리토오
아낸드 바수데프
동 원 구
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010021675A publication Critical patent/KR20010021675A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J9/00Apparatus or processes specially adapted for the manufacture, installation, removal, maintenance of electric discharge tubes, discharge lamps, or parts thereof; Recovery of material from discharge tubes or lamps
    • H01J9/38Exhausting, degassing, filling, or cleaning vessels
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/916Differential etching apparatus including chamber cleaning means or shield for preventing deposits
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기판 처리 챔버를 효율적으로 세척하기 위한 다중 스테이지 마이크로파 플라즈마 세척 기술을 위한 방법에 관한 것이다. 특정 실시예에서, 2스테이지 세척 프로세스가 기술된다. 제1 스테이지는 가스 소스(7)로부터 반응 가스를 마이크로파가 점화되어 반응 가스로부터의 플라즈마를 유지하는 처리 챔버(15)내로 흐르게 함으로써 시작한다. 제2 스테이지에서, 불활성 가스가 반응 가스에 부가적으로 처리 챔버내로 흐르게 된다. 다음에, 마이크로파가 반응 가스, 및 선택적으로 불활성 가스로부터의 플라즈마를 점화하고 유지한다. 상기 반응 가스는 이런 실시예에서 바람직하게 NF3이지만, 또한 사플루오르 탄소(CF4) 또는 육플루오르화 황(SF6)과 같은 다른 플루오르 함유 가스가 사용될 수 있다. 더욱이, 염소 또는 다른 할로겐 함유 가스가 플루오르 함유 가스 대신에 다른 실시예에서 반응 가스로서 사용될 수 있다.

Description

기판 처리 시스템의 세척 방법 {METHOD FOR IMPROVED CLEANING OF SUBSTRATE PROCESSING SYSTEMS}
현대 반도체 소자의 제조에서 중요한 단계중 하나는 기판상에 어떤 층, 이를테면 텅스텐 실리사이드(WSix)와 같은 금속 실리사이드층을 형성하는 것이다. 공지되어 있는 바와 같이, 이런 층은 화학 기상 증착(CVD)에 의해 증착될 수 있다. 종래 열적 CVD 프로세스에서는, 처리되는 기판 표면상에 요구된 막을 형성하기 위해 열 유도 화학 반응이 발생하는 기판 표면에 반응 가스가 공급된다. 종래 플라즈마 강화 CVD(PECVD) 프로세스에서는, 요구된 막을 생성하기 위해 반응 가스내의 반응종을 분해하고 및/또는 에너자이징하기 위해 무선 주파수(RF)또는 마이크로파 에너지를 사용하여 제어된 플라즈마가 형성된다.
CVD 프로세스동안 초래되는 한가지 문제점은 요구되지 않은 증착이 일부 또는 모든 처리 챔버 내부 표면에서 발생하여, 잠재적으로 고유지 비용을 가져온다. 기판상에 요구된 막을 형성하는 CVD와 관련하여, 반응 가스가 처리 챔버의 대부분으로, 심지어 틈 사이와 코너 근처로 확산할 수 있기 때문에 바람직하지않은 찌꺼기의 증착이 히터 및 장치의 처리 키트 부품을 포함하는 어떤 표면에서 발생할 수 있다. 그 후의 기판 증착동안, 이런 찌꺼기 증착은 연속적인 금속 실리사이드막이 히터 및/또는 다른 부품상에 성장하기까지 가속된다. CVD 장치로부터 찌꺼기를 세척하는데 실패한, 오버타임은 종종 처리 수율을 저하시킨다. 과잉 증착이 CVD 시스템의 성능에 영향을 끼치기 시작할 때, 히터 및 다른 처리 키트 부품(섀도우 링과 가스 분배 면판)은 요구되지않은 그 위의 축적물을 제거하기 위해 교체될 수 있다. 얼마나 많은 부품이 교체되어야 하고 얼마나 교체가 빈번한가에 따라, 기판 처리 시스템의 유지 비용은 매우 높아지게 된다. 더욱이, 이러한 유지는 CVD 시스템의 처리량에 악영향을 끼친다.
CVD 프로세스에서, 처리 챔버의 세척은 챔버 벽, 히터 및 다른 처리 키트 부품으로부터 요구되지않은 찌거기를 제거하기 위해 정기적으로 수행된다. 매 기판(또는 매 n기판)에 대한 증착 단계 사이에 공통으로 수행되는, 한가지 이상의 세척(예를 들어, 에천트) 가스를 사용한 인 시튜 세척 절차는 증착 처리동안 축적되는 요구되지않는 찌꺼기 재료를 제거하기 위해 수행된다. 공통 세척 기술은 상당한 반응종(예를 들어, 할로겐 라디컬(상당한 반응성의 단일 할로겐 원자))을 발생하기 위해 반응 가스의 분해를 촉진하는 열, RF 플라즈마, 마이크로파 플라즈마 기술을 포함하며, 종종 "건식" 세척 기술로서 참조된다. 이런 기술에서, 할로겐 라디컬은 챔버 벽 및 다른 표면으로부터 요구되지않은 찌꺼기와 반응하여 에칭한다. 그러나, 챔버 세척에 유용한 세척 가스는 종종 부식성을 지니며, 제거되는 찌꺼기 뿐만 아니라 챔버, 히터, 및 처리 키트 부품을 이루고 있는 재료를 공격할 수 있다. 이것은 특히 금속 CVD 프로세스와 결합하여 사용되는 특정 세척 가스에 대해 들어 맞는다.
그러한 것은 삼플루오르화 염소(ClF3)를 사용한 열처리에 대한 경우이다. 그런 인 시튜 열 세척 프로세스에서, ClF3는 세척되는 처리 챔버내로 흐르게 된다. 다음에 열에너지가 플루오르 라디컬(예를 들어, F*로 표기되는 단일 플루오르 원자)을 형성하기 위해 ClF3에 인가된다. 텅스텐 실리사이드(WSix) 증착 처리가 수행되는 처리 챔버를 세척할 때, 플루오르 라디컬은 휘발성 부산물이 되어 처리 챔버로부터 배기될 수 있는 텅스텐 플루오르화물(WFY)과 실리콘 플루오르화물(SiFZ)을 형성하기 위해 텅스텐(W)과 실리콘(Si) 찌꺼기와 결합한다.
ClF3을 사용한 열적 프로세스에 의해 제공되는 장점은 그런 기술의 장점을 취하기 위해 기판 처리 시스템에 대한 약간의 변형 필요성을 포함한다. ClF3가 쉽게 분해되기 때문에, 요구되는 플루오르 라디컬을 발생시키는데 더욱 복잡한 RF 플라즈마에 기초한 세척 기술보다는 단순한 열적 방법이 요구된다는 것이다. 더욱이, 열적 기술은 처리 키트에 대한 최소 손상을 초래한다. 그러나, 또한 이런 기술은 특정 단점을 가진다. 이런 프로세스의 부산물로서 발생된 특정 가스(예를 들어, 염소와 플루오르)는 상당히 부식성을 지니고 환경적으로 바람직하지 못하다. 그러므로, 이런 독성 가스를 감소시키기 위해 복잡한 배기 하드웨어가 요구된다. 이들의 부식 특성 때문에, 배기 시스템의 중요한 부품의 교체와 같이, 배기 시스템의 빈번한 보수가 요구된다. 이것은 시스템을 생산하고 유지하는 비용을 증가시키며, 시스템 가동시간(예를 들어, 처리량)에 악영향을 끼친다.
처리 챔버의 인 시튜 세척을 위한 제2 대안은 RF 플라즈마의 사용이다. RF 플라즈마 세척 프로세스는 이런 기술이 NF3와 같은 더욱 안정한 화합물을 분해하는데 요구되는 고에너지를 첨가할 수 있기 때문에 질소 삼플루오르화물(NF3)을 사용할 수 있다. 우선, NF3가 세척되어지는 처리 챔버내로 흐르게 된다. 다음에 RF 에너지가 인가되며(예를 들어, 기판 처리 시스템의 용량성 결합 전극을 통해), 처리 챔버의 부품으로부터 요구되지않은 찌꺼기를 제거하는 플루오르 라디컬(F*)을 발생시킨다. 13.56 메가헤르츠(㎒)의 주파수가 플라즈마를 여기하는데 공통으로 사용된다. 이와 같이, 텅스텐 실리사이드 증착 프로세스가 수행되는 처리 챔버를 세척할 때, 플루오르 라디컬은 휘발성 부산물이 되어 처리 챔버로부터 배기될 수 있는 텅스텐 플루오르화물과 실리콘 플루오르화물을 형성하기 위해 텅스텐과 실리콘 찌꺼기와 결합한다.
이런 기술은 공지되어 있으며, 그래서 잘 이해된다. 그러나, 또한 이런 기술은 특정 단점을 가진다. 이미 기술된 열적 기술과 대조적으로, NF3를 사용하는 RF 플라즈마 프로세스는 플루오르 함유 부산물이 여전히 그런 기술에 의해 발생되더라도 환경 및 유지능력 걱정을 소시킨다. ClF3(또는 유사한 해리 에너지를 가지는 화합물)이 RF 플라즈마 세척 프로세스에 사용될 수 있더라도, 다시 ClF3에 관련하여 이미 언급한 문제에 부닥치게 될 것이다.
이런 단점중에서 두드러진 것은 세척 기술에 의해 프로세스 키트에 행해지는 손상이다. RF 플라즈마 세척은 금속성 처리 챔버 부품에 대한 이온 충돌을 초래하여, 내부 챔버 벽과 같은 부품에 물리적 손상을 가져온다. 그러므로, 인 시튜 세척은 히터와 다른 챔버 부품을 균등하게 손상시키지않고 효율적으로 찌꺼기를 세척하기 어렵게 만든다. 그러므로, 챔버 성능 유지는 고가의 소모 항목에 손해를 끼칠 수 있고, 빈번한 교체의 필요성을 초래한다. 또한, 아르곤(Ar)과 같은 불활성 가스가 종종 RF 플라즈마의 스트라이킹 증진을 위해 첨가된다. 이런 원소는 종종 세척 가스의 구성 원소(예를 들어, N과 F)보다 더 큰 원자 질량(예를 들어, 더 크고 더 무겁다)을 가지기 때문에, RF 플라즈마내의 불활성 가스의 존재는 이온 충돌 문제를 더욱 악화시킬 수 있다. 또한 이온 충돌에 의해 초래되는 물리적 손상은 파티클 발생의 가능성을 제공한다. 다른 관심사는 세척 프로세스의 타이밍에 대한 이런 기술의 민감도이고 플라즈마와 접촉하지않는 영역이 적절히 세척된다는 사실이다.
다른 대안은 필요한 플라즈마를 형성하고 세척 가스를 해리 시키기 위해 마이크로파 주파수를 사용하는 것이다. 마이크로파 플라즈마 기술에서, 플라즈마는 NF3와 같은 세척 가스에 마이크로파 에너지를 인가함으로써 점화되고, 그러므로 플루오르 라디컬을 발생시킨다. 이런 라디컬은 이전에서와 같이 처리 챔버의 내부를 세척한다. 2450 ㎒의 마이크로파 주파수가 플라즈마를 여기시키는데 공통으로 사용된다. 이런 기술의 장점은 현존하는 RF 플라즈마 세척 시스템과의 호환성 및 상당히 효율적인 할로겐 라디컬의 발생을 포함한다. 마이크로파 플라즈마 기술에 의해 제공된 높은 차단 효율성(약 50%, 그러나 약 99% 정도에 있게 되는)는 용량성 RF 플라즈마(약 15%와 30% 사이의 상대적으로 낮은 차단 효율성을 가지는)으로 얻어지는 것보다 더 높은 에칭 속도(텅스텐 실리사이드 찌꺼기의 경우에 약 2㎛/분)를 가져온다. 이것은 처리 챔버 내부 표면의 더 빠르고 더욱 철저한 세척으로 해석된다. 또한, 고비율의 플루오르 라디컬로 변환되는 플루오르(및 이들의 그후 찌거기와의 반응)가 주어지면, 이런 시스템에 의해 생성된 플루오르의 양은 감소되고, 배기 가스의 부식 특성과 부수적인 환경 효과에 대한 관심사가 줄어든다. 일부 기판 시스템은 이런 기술을 사용하기 위해 상당히 변형되어야 한다. 또한 이온 충돌의 문제가 이런 기술과 관련하여 발생할 수 있다.
인 시튜 마이크로파 플라즈마 세척 기술에 대한 대안으로서, 분리 마이크파 플라즈마 시스템이 기판 처리 시스템에 부착될 수 있다. 인 시튜 마이크파 플라즈마 기술을 사용할 때와 같이, 마이크로파 플라즈마 기술의 높은 차단 효율성은 높은 에칭율을 가져오고, 이미 언급한 이익을 제공한다. 그러나, 인 시튜 대조물과는 달리, 원격 마이크로파 플라즈마 발생 시스템은 세척 동작동안 처리 챔버 부품이 어떤 종류의 플라즈마에 의한 지배를 받지않고 라디컬을 제공한다. 따라서, 원격 마이크로파 플라즈마 세척 기술은 인 시튜 기술로 경험될 수 있는 가스 분배 매니폴드, 내부 챔버 벽, 및 다른 처리 챔버 부품에 대한 물리적 손상없이 더욱 완만하고 효율적이고 적절하게 찌꺼기를 세척할 수 있다. 본 시스템은 마이크로파 플라즈마 시스템이 완전히 독립적이기 때문에 원격 마이크로파 플라즈마 기술의 장점을 취하도록 쉽게 변형될 수 있다.
이미 언급된 세척 기술에서 직면하는 하나의 공통 문제는 세척되어지는 처리 챔버의 여러 내부 표면에 의해 경험되는 세척(에칭) 속도의 차이이다. 언급된 바와 같이, 일부 표면이 오버에칭되거나 또는 다른 것으로부터의 찌꺼기 제거 실패를 초래한다. 이런 불균형의 1차 원인은 세척되는 처리 챔버 부품의 표면 온도의 변화이다. 공지된 바와 같이, 모든 다른 챔버 파라미터가 일정하게 유지된다면 재료가 뜨거울수록 더 빠르게 재료가 에칭될 것이다. 특정 기판 처리 시스템(여기에서 "열벽(hot-walled)" 시스템으로서 참조되는)은 특정 처리 챔버 부품, 특히 챔버의 벽을 액체 가열(또는 냉각)함으로써 일정한 온도로 처리 챔버의 내부 표면을 유지한다. 이런 시스템에서, 세척 동작은 에칭 속도가 처리 챔버의 여러 표면사이에서 꽤 일정하게 유지되기 때문에 어떤 표면에서 다른 표면까지 더욱 균일하게 처리되는 경향이 있다. 표면 온도에 대한 제어를 제공하지않는 시스템(여기에서 냉벽(cold-walled)으로서 참조되는)에서, 에칭 속도는 상당히 다를 수 있는데, 뜨거운 표면상에 축적된 찌꺼기는 급속히 에칭되고 차가운 표면에 축적된 찌꺼기는 더욱 느리게 에칭된다. 이것은 차가운 표면의 분완전한 세척 또는 뜨거운 표면의 오버 에칭을 가져온다.
특정 처리 챔버 부품의 불완전한 세척과 관련된 문제, 및 수개의 처리 챔버 부품이 다른 처리 챔버 부품에 대해 수용불가능한 손상을 초래하지않고 완전히 세척될 수 있다는 사실때문에, 부가적 세척 절차가 수행되어야 한다. 이런 절차(종종 습식 세척으로서 참조된다)는 처리 챔버를 개방하는 단계, 및 특별한 천과 세척 유체로 축적된 찌꺼기를 가지는 챔버 벽, 배기 및 다른 영역을 포함한 전체 처리 챔버를 물리적으로 닦아내는 단계를 포함하며, 그래서 인 시튜 세척 프로세스보다 덜 빈번하게 수행된다. 빈번한 세척 절차(인 시튜와 습식 세척에서)가 없다면, CVD 장치내의 찌꺼기로부터의 불순물이 기판위로 이동하여 소자 손상을 가져올 수 있다.
따라서 CVD 시스템의 적당한 세척은 기판 처리 시스템의 신뢰성있는 동작, 및 수용가능한 소자 수율과 시스템 처리량의 유지에 중요하다는 것을 알 수 있다. 그러므로, 앞서 말한 것들이 주어진다면, 처리 챔버의 효율적 세척을 허용하는 마이크로파 플라즈마 세척 시스템이 바람직하다. 또한 세척 프로세스에 노출되는 처리 챔버의 부품에 대한 손상을 최소화하면서 반응성 라디컬의 효율적 발생을 제공하는 것이 바람직하다. 또한 습식 세척 동작이 수행되어야 하는 빈도를 감소시키는 세척 기술이 바람직하다. 결국, 이런 세척 기술은 열벽 또는 냉벽 시스템이 세척되는지에 무관하게 처리 챔버 내부 표면을 균일하게 세척해야 한다.
본 발명은 기판 처리에 관한 것으로서, 특히 기판 처리 시스템의 처리 챔버 세척을 위한 장치 및 방법에 관한 것이다.
도 1a와 도 1b는 본 발명에 따라 사용될 수 있는 바람직한 기판 처리 시스템의 일실시예를 도시하는 단면도.
도 1c와 도 1d는 도 1a에 도시된 CVD 시스템의 일부에 대한 확대 투시도.
도 1e는 하나 이상의 챔버를 포함할 수 있는 시스템에서의 시스템 모니터 및 CVD 시스템의 개략도.
도 1f는 특정 실시예에 따른 시스템 제어 소프트웨어의 계층적인 제어 구조의 예시적 블록도.
도 2는 본 발명의 방법의 일 특징에 따른 처리 챔버 세척에서 수행되는 단계들을 도시하는 흐름도.
본 발명은 기판 처리 시스템과 사용하기 위한 방법 및 장치를 제공한다. 본 발명에 따른 장치는 기판 처리 시스템의 효율적 세척을 제공하는데 사용될 수 있는 마이크로파 발생 플라즈마를 제공한다.
세척 프로세스의 미세 제어를 허용하고 찌거기 축적의 변화를 고려하기 위해, 본 발명의 방법은 다중 세척 싸이클, 또는 스테이지를 사용하여 기판 처리 챔버의 내부 표면을 세척한다. 각각의 세척 스테이지 동안, 할로겐 라디컬 및 선택적으로 한가지 이상의 불활성 가스가 처리 챔버내로 유입된다. 본 발명의 방법은 처리 챔버의 내부 표면에 축적된 찌꺼기와 반응하는 할로겐 라디컬을 발생시키기 위해 인 시튜 또는 원격으로 발생된 마이크로파 플라즈마를 사용한다. 다음에 이런 반응 부산물은 처리 챔버로부터 배기된다. 하나 이상이 불활성 가스를 포함하는 다중 세척 스테이지의 사용은 에칭(세척) 속도가 각각의 세척 스테이지에 대해 개별적으로 조절되도록 한다. 이것은 처리 챔버의 내부 표면의 오버에칭을 최소화하면서 이전 세척 스테이지후 잔류하는 어떤 찌꺼기의 제거를 허용하도록 수행된다.
본 발명의 특징에 따르면, 기판 처리 챔버의 내부 표면으로부터 찌꺼기를 제거하기 위한 방법이 제공된다. 이것은 2개 이상의 세척 스테이지를 수행함으로써 실행되고, 각각의 스테이지는 수개의 단계를 포함한다. 세척 스테이지는 반응성 할로겐 라디컬을 발생함으로써 시작한다. 이런 반응성 할로겐 라디컬은 마이크로파 에너지를 사용하여 할로겐 함유 가스를 해리시킴으로써 발생된다. 다음에 가스 세척 혼합물이 어떤 혼합비로 반응성 할로겐 라디컬을 불활성 가스와 혼합시킴으로서 형성된다. 상기 혼합비는 하나의 세척 스테이지에서 다른 스테이지로 변화될 것이며, 상기 프로세스동안 적어도 한번 변경될 것이다. 예를 들면, 상기 혼합비가 어떤 세척 스테이지동안의 제1 혼합비와 같다면, 상기 혼합비는 다른 세척 스테이지동안의 제2 혼합비와 같을 것이다(제1 및 제2 혼합비는 다르다). 다음에 할로겐 라디컬의 일부는 찌꺼기를 제거하기 위해 기판 처리 챔버의 내부 표면에 축적되는 찌꺼기의 일부와 반응한다. 다음에 제2 세척 스테이지가 수행된다. 또한 부가적 세척 스테이지가 제2 세척 스테이지 다음에 실행될 수 있다.
이제 본 발명의 실시예들 뿐만 아니라 장점 및 특징들이 첨부 도면을 참조로 더욱 상세히 기술될 것이다.
Ⅰ. 도입
세척 프로세스의 미세 제어를 허용하고 찌거기 축적 변화를 설명하기 위해, 본 발명의 방법은 다중 세척 싸이클 또는 스테이지를 사용하여 기판 처리 챔버 내부 표면을 세척한다. 각각의 세척 스테이지동안, 할로겐 라디컬 및 선택적으로 하나 이상의 불활성 가스가 처리 챔버내로 유입된다. 본 발명의 방법은 처리 챔버의 내부 표면에 축적되는 찌거기와 반응하는 할로겐 라디컬을 발생시키기 위해 마이크로파 플라즈마를 사용한다. 다음에 이런 반응의 부산물은 처리 챔버로부터 배기된다. 하나 이상이 불활성 가스를 포함하는 다중 세척 스테이지의 이용은 에칭 (세척) 속도가 각각의 처리 챔버에 대해 개별적으로 조절될 수 있도록 한다. 이것은 처리 챔버의 내부 표면의 오버에칭을 최소화하면서 이전 세척 스테이지 이후에 잔류하는 어떤 찌거기의 제거를 허용하도록 수행된다.
또한 각각의 세척 스테이지의 에칭 속도를 개별적으로 조절하는 능력은 오버에칭을 최소화하면서 에칭 속도의 더욱더 미세한 제어를 허용함으로써 처리량을 최대화한다. 예를 들면, 본 발명의 제1 세척 스테이지는 처리 챔버로부터 대량의 찌꺼기를 급속히 제거하는 높은 에칭 속도로 시작할 수 있다. 다음 스테이지는 에칭되는 재료량의 미세 제어를 제공할 수 있는(약간의 찌꺼기가 잔류하고 오버에칭 위험이 커지게 되는 시간에) 더 낮은 에칭 속도를 사용할 수 있다.
이런 식으로, 본 발명은 처리 챔버 부품의 오버에칭을 최소화하면서 나머지 찌꺼기의 연속적 레벨이 가능한 빨리 제거되게 다수의 축적된 찌꺼기를 급속히 제거한다. 그러므로 시스템 처리량은 처리 챔버 부품 신뢰성을 희생하지않고 최대화되며, 더욱이 휴지 시간을 최소화하여 처리량을 증가시킨다. 대안적으로, 세척 스테이지는 특정 표면이 미리 에칭되어 과잉 찌꺼기를 제거하고 다음 세척 스테이지가 전체 처리 챔버 내부로부터 잔류 찌꺼기 층을 빠르게 제거하도록 재배열될 수 있다. 본 발명의 다중 스테이지 세척 프로세스는 처리 챔버 부품의 오버에칭을 최소화하면서 가능한 빨리 처리 챔버의 내부 표면을 균일하게 세척한다. 이것은 개선된 소자 수율과 처리량을 가져오고, 습식 세척 동작이 수행되어야 하는 빈번함을 감소시킨다.
Ⅱ. 바람직한 CVD 시스템
본 발명의 특정 실시예는 다양한 화학 기상 증착(CVD) 또는 다른 타입의 기판 처리 시스템과 사용될 수 있다. 본 발명의 방법이 적용될 수 있는 적당한 기판 처리 시스템이 도 1a와 도 1b에 도시되어 있는데, 챔버 벽(15a)와 챔버 덮개 어셈블리(15b)를 포함하는 진공 또는 처리 챔버(15)를 구비한 CVD 시스템(10)의 수직 단면도이다. 챔버 벽(15a)과 챔버 덮개 어셈블리(15b)는 도 1c와 1d에 확대 투시도로 도시되어 있다.
CVD 시스템(10)은 처리 챔버 중심에 있는 저항성 가열 페데스탈(12)에 안착되는 기판(도시안됨)에 처리 가스를 분산하기 위한 가스 분배 매니폴드(11)를 포함한다. 처리동안, 상기 기판(예를 들어, 반도체 기판)은 페데스탈(12)의 편평한(또는 약간 볼록한) 표면에 배치된다. 바람직하게 알루미늄 질화물과 같은 세라믹 표면을 가지는, 페데스탈(12)은 하부 로딩/오프-로딩 위치(도 1a에 도시된)과 매니폴드(11)에 바싹 인접하는 상부 처리 위치(도 1a와 도 1b에서 점선 14에 의해 표시된) 사이에서 제어가능하게 이동될 수 있다. 센터보드(도시안됨)는 기판 위치에 대한 정보를 제공하기 위한 센서를 포함한다. 증착 및 캐리어 가스가 종래 평탄한 원형 가스 분배 면판(13a)의 관통 홀(13b(도 1d)을 통해 챔버(15)내로 흐른다. 증착 처리 가스는 입구 매니폴드(11)를 통해, 종래 관통 차단판(42)을 통해, 다음에 가스 분배 면판(13a)의 관통홀(13b)을 통해 챔버내로 흐른다(도 1b에 화살표 40에 의해 표시됨).
매니폴드에 도달하기 이전에, 증착 및 캐리어 가스는 가스 공급 라인(8)(도 1b)을 통해 가스 소스(7)로부터 이들이 결합하여 매니폴드(11)로 보내지는 가스 혼합 블록 또는 시스템(9)으로 입력된다. 또한 일부 예에서 증착 및 캐리어 가스가 공급 라인(8)에서 매니폴드(11)로 향하도록 하는 것이 가능하며, 바람직하다. 이런 경우에, 가스 혼합 시스템(9)은 우회된다. 다른 상황에서, 어떤 가스 라인(8)은 가스 혼합 시스템(9)을 우회할 수 있고 챔버(12)의 하부내의 통로(도시안됨)를 통해 가스를 유입한다. 도 1b에 도시된 바와 같이, WSix를 증착하는 특정 실시예에서는 3개의 가스 공급 라인(8)이 있다. 제1 라인(8a)은 가스 소스(7a)로부터 가스 혼합 시스템(9)으로 실리콘 함유 가스(예를 들어, 여기에서 "DCS"로서 참조되는 이염화실란(SiH2Cl2))를 공급하는 반면, 제2 라인(8b)은 가스 소스(7b)로부터 가스 혼합 시스템(9)으로 텅스텐 함유 가스(예를 들면, 육플루오르화 텅스텐(WF6))를 공급한다. 각각의 라인(8a과 b)에 대해, 가스 소스(7a와 7b)로부터의 캐리어 가스(예를 들어, 아르곤(Ar))은 가스 흐름 뿐만 아니라 혼합 시스템(9)내로의 2개 라인 사이의 가스 흐름도 안정화시키는 프로세스와 함께 공급될 수 있다. 챔버(15)의 하향 가스 혼합(예를 들어, DCS와 WF6)은 챔버내로의 더욱 균일한 가스 분배를 가져오리라 믿어지며, 그결과 증착되는 WSix막의 더 큰 균일도를 제공한다. 제3 공급 라인(8c)은 페데스탈(12) 아래의 챔버 영역으로부터 증착 가스를 배제하기 위해 챔버의 하부에서 가스 소스(7c)로부터 불활성 퍼지 가스(예를 들어, 아르곤)를 흐르게 한다. 일부 바람직한 실시예에서, 부가 실리콘 소스(예를 들어, 실란(SiH4))가 가스 라인(8a)에 공급될 수 있다.
일반적으로, 각각의 처리 가스를 위한 공급 라인은 (ⅰ) 자동 또는 수동으로 챔버내로의 처리 가스 흐름을 차단하는데 사용되는 수개의 안전 차단 밸브(도시안됨), 및 (ⅱ) 공급 라인을 통한 가스 흐름을 측정하는 유량 제어기(MFC)(또한 도시안됨)를 포함한다. 유독 가스가 상기 프로세스에 사용될 때, 수개의 안전 차단 밸브는 종래 구성으로 각각의 가스 공급 라인에 배치된다.
CVD 시스템(10)에서 수행되는 증착 프로세스는 열적 프로세스 또는 플라즈마 프로세스가 될 수 있다. 플라즈마 프로세스에서, RF 파워 서플라이(44)는 면판(13a)과 페데스탈(12) 사이의 실린더 영역내에 플라즈마를 형성하기 위해 처리 가스 혼합물을 여기시키도록 가스 분배 면판(13a)과 페데스타(12) 사이에 파워를 인가한다(이런 영역은 여기에서 "반응 영역"으로서 참조될 것이다). 플라즈마 조성물은 페데스탈(12)상에 지지된 반도체 기판의 표면에 요구된 막을 증착하기 위해 반응한다. RF 파워 서플라이(44)는 전형적으로 진공 챔버(15)내로 유입되는 반응종의 해리를 증진시키기 위해 13.56 ㎒의 높은 RF 주파수(RF1)로 그리고 360 킬로헤르츠(㎑)의 낮은 RF 주파수(RF2)로 파워를 공급하는 혼합 주파수 RF 파워 서플라이이다. 물론, RF 파워 서플라이(44)는 챔버(15)내로 유입된 반응종의 해리를 증진시키기 위해 매니폴드(11)에 단일 또는 혼합 주파수 RF 파워(또는 다른 요구된 변화량)를 공급할 수 있다. 열적 프로세스에서, RF 파워 서플라이(44)는 사용되지않으며, 상기 처리 가스 혼합물은 반응에 필요한 열적 에너지를 제공하기 위해 저항성 가열되는 페데스탈(12)에 지지된 반도체 기판의 표면상에 요구된 막을 증착하기 위해 열적으로 반응한다.
열적 증착 프로세스동안, 페데스탈(12)은 가열되며, CVD 시스템(10)을 가열하게 된다. 이전에 언급한 타입의 열벽 시스템에서, 뜨거운 액체가 플라즈마가 점화되지않을 때, 또는 열적 증착 프로세스동안 상승된 온도로 챔버 벽(15a)을 유지하기 위해 챔버 벽(15a)을 통해 순환될 수 있다. 챔버 벽(15a)을 가열하는데 사용되는 유체는 전형적인 유체 타입, 예를 들어 물에 기초한 에틸렌 글리콜 또는 오일에 기초한 열전달 유체를 포함한다. 이런 가열은 요구되지않은 반응 생성물의 응축을 유익하게 감소 또는 제거하고, 처리 가스의 휘발성 생성물과 그렇지않으면 차가운 진공 통로에 응축되고 가스 흐름이 없는 주기동안 처리 챔버내로 거꾸로 이동할 수 있는 오염물 제거를 개선시킨다. 냉벽 시스템에서, 챔버 벽(15a)은 가열되지않는다. 이것은 예를 들어 플라즈마 증착 프로세스동안 이용될 것이다. 그런 프로세스에서, 상기 플라즈마는 배기 통로(23)와 차단 밸브(24)를 둘러싸는 챔버 벽(15a)을 포함한 챔버(15)를 가열한다. 그러나, 플라즈마가 아마 모든 챔버 표면에 대해 동등하게 근접하기 때문에, 이전에 언급한 바와 같이 표면 온도의 변화가 발생할 수 있다.
반응 생성물을 포함한, 층으로 증착되지않는 나머지 가스 혼합물은 진공 펌프(도시안됨)에 의해 챔버로부터 배출된다. 특히, 상기 가스는 반응 영역을 둘러싸는 환형 슬롯(16)를 통해 그리고 환형 배기 플리넘(17)내로 배기된다. 환형 슬롯(16)과 플리넘(17)은 챔버 벽(15a)의 상부(상부 유전체 라이닝(19)을 포함하는)와 원형 챔버 덮개(20)의 하부 사이의 갭에 의해 정의된다. 환형 슬롯(16)과 플리넘(17)의 360°원형 대칭성과 균일성은 기판상에 균일한 막을 증착하기 위해 기판에 걸쳐 균일한 처리 가스 흐름을 달성하는데 중요하다. 상기 가스는 배기 플리넘(17)의 측면 확장부 아래로, 뷰잉 포트(도시안됨)를 지나, 하향 확장 가스 통로(23)를 통해, 진공 차단 밸브(24)(챔버 벽(15a)의 하부와 통합되는 바디를 가지는)를 지나, 그리고 포어라인(도시안됨)을 통해 외부 진공 펌프에 연결되는 배기 출구(25)로 흐른다.
저항성 가열되는 페데스탈(12)의 기판 지지 원반은 동심원 형태로 2개의 최대 권선을 형성하도록 구성된 내장 단일 루프 내장 히터 엘리먼트를 사용하여 가열된다. 상기 히터 엘리먼트의 외부는 지지 원반의 주변에 인접하여 진행하는 반면, 그 내부는 유사한 반경을 가지는 동심원의 경로로 진행한다. 상기 히터 엘리먼트에 대한 배선은 페데스탈(12)의 축을 통과한다. 페데스탈(12)은 알루미늄, 세라믹, 또는 이들의 일부 조합으로 만들어질 수 있다.
전형적으로, 어떤 또는 모든 챔버 라이닝, 가스 입구 매니폴드 면판, 여러 다른 처리 챔버 하드웨어는 알루미늄, 양극 처리된 알루미늄, 또는 세라믹 재료와 같은 재료로 제조된다. 이런 CVD 장치의 예는 Zhao 등에게 특허 허여된 발명의 명칭이 "CVD 처리 챔버"로 명명된 미국 특허 제5,558,717호에 개시되어 있다.
리프트 메커니즘과 모터(32)(도 1a)는 기판이 로봇 블래이드(도시안됨)에 의해 챔버(10)의 측면에 있는 삽입/제거 개구부(26)를 통해 챔버의 바디 내부와 외부로 이송될 때 페데스탈(12)과 그것의 기판 리프트 핀(12b)을 상승 및 하강시킨다. 모터(32)는 처리 위치(14)와 하부 기판 로딩 위치 사이로 페데스탈(12)을 상승 및 하강시킨다. 모터(32), 가스 운반 시스템의 여러 밸브와 MFC, 및 CVD 시스템(10)의 다른 부품은 단지 일부가 도시되는 제어 라인(36)상의 시스템 제어기(34)(도 1b)에 의해 제어된다. 제어기(34)는 제어기(34)에 의해 제어되는 적당한 모터에 의해 이동되는 드로틀 밸브와 페데스탈과 같은 이동가능한 기계적 어셈블리의 위치를 결정하기 위해 광센서로부터의 피드백에 의지한다.
바람직한 실시예에서, 시스템 제어기(34)는 하드 디스크 드라이브(메모리 38), 플로피 디스크 드라이브(도시안됨), 및 프로세서(37)를 포함한다. 프로세서(37)는 단일 보드 컴퓨터(SBC : single-board computer), 아날로그/디지털 입출력 보드, 인터페이스 보드, 및 스테퍼 모터 제어기 보드를 포함한다. CVD 시스템(10)의 여러 부품은 보드,카드 케이지, 및 커넥터 크기와 타입을 정의하는 VME(Versa Modular European) 표준에 따른다. 상기 VME 표준은 또한 16비트 데이터 버스와 24비트 어드레스 버스를 가지는 버스 구조를 정의한다.
시스템 제어기(34)는 CVD 시스템(10)의 모든 동작을 제어한다. 시스템 제어기(34)는 메모리(38)와 같은 컴퓨터 판독가능한 매체에 저장된 컴퓨터 프로그램이 되는 시스템 제어 소프트웨어를 실행한다. 바람직하게, 메모리(38)는 하드 디스크 드라이브이지만, 메모리(38)는 도한 다른 종류의 메모리가 될 수 잇다. 상기 컴퓨터 프로그램은 타이밍, 가스 혼합, 챔버 압력, 챔버 온도, RF 파워 레벨, 페데스탈 위치, 및 특별한 프로세스의 다른 파라미터를 지시하는 명령 세트를 포함한다. 또한 예를 들어 플로피 디스크 또는 다른 적당한 드라이브를 포함하는 다른 메모리 디바이스에 저장된 다른 컴퓨터 프로그램이 시스템 제어기(34)를 동작시키는데 사용될 수 있다.
사용자와 제어기(34) 사이의 인터페이스는 하나 이상의 챔버를 포함할 수 있는 기판 처리 시스템내의 시스템 모니터와 CVD 시스템(10) 간략도인, 도 1e에 도시된 CRT 모니터(50a)와 라이트 펜(50b)을 통해 이루어진다. 바람직한 실시예에서, 2개의 모니터(50a)가 사용되는데, 하나는 조작자를 위해 크린룸에 장착되고 다른 하나는 서비스 기술자를 위해 상기 벽 뒤에 장착된다. CRT 모니터(50a)는 동일한 정보를 동시에 표시하지만, 단지 하나의 라이트 펜(50b)이 인에이블된다. 라이트 펜(50b)의 팁에 있는 광센서는 CRT 모니터(50a)에 의해 방출된 광을 검출한다. 특별한 스크린 또는 기능을 선택하기 위해, 조작자는 디스플레이 스크린의 지정된 영역을 터치하고 펜(50b)의 버튼을 누른다. 터치된 영역은 그것의 강조된 컬러로 변하거나, 또는 새로운 메뉴 또는 스크린이 표시되어, 라이트 펜과 디스플레이 스크린 사이의 통신을 확인한다. 키보드, 마우스 또는 다른 지시 또는 통신 장치와 같은 다른 장치가 사용자가 시스템 제어기(34)와 통신하도록 라이트 펜(50b)에 부가적으로 사용될 수 있다.
상기 막을 증착하기 위한 프로세스는 시스템 제어기(34)에 의해 실행되는 컴퓨터 프로그램 제품을 사용하여 수행될 수 있다. 상기 컴퓨터 프로그램 코드는 어떤 종래 컴퓨터 판독가능한 프로그래밍 언어: 예를 들어 68000 어셈블리 언어, C, C++, 파스칼, 포트란 등으로 작성될 수 있다. 적당한 프로그램 코드는 종래 텍스트 편집기를 사용하여 단일 파일, 또는 다중 파일로 기입되고 컴퓨터의 메모리 시스템과 같은 컴퓨터 사용가능한 매체에 저장 또는 내장된다. 기입된 코드 텍스트가 고레벨 언어라면, 상기 코드는 컴파일링되며, 다음에 얻어지는 컴파일러 코드가 미리 컴파일링된 윈도우 라이브러리 루틴의 객체 코드와 링크된다. 상기 링크된, 컴파일링된 객체 코드를 실행하기 위해, 시스템 사용자는 상기 객체 코드를 불러와서, 컴퓨터 시스템이 메모리에 코드를 로딩하도록 한다. 다음에 CPU는 상기 프로그램에서 식별된 업무를 수행하기 위해 상기 코드를 판독하고 실행한다.
도 1f는 특정 실시예에 따른 시스템 제어 소프트웨어, 컴퓨터 프로그램(70)의 계층적인 제어 구조의 예시적 블록도이다. 라이트 펜 인터페이스를 사용하여, 사용자는 CRT 모니터(50a)에 표시되는 메뉴 또는 스크린에 응답하여 프로세스 세트 넘버와 처리 챔버 넘버를 프로세스 선택기 서브루틴(73)에 기입한다. 프로세스 선택기 서브루틴(73)은 요구된 프로세스를 수행하기 위한 처리 챔버를 동작시키는데 필요한 (ⅰ) 요구된 프로세스 챔버와 (ⅱ) 요구된 프로세스 파라미터 세트를 확인한다. 특정 프로세스를 수행하기 위한 프로세스 파라미터는 예를 들어 처리 가스 조성과 흐름 속도, 온도, 압력, RF 파워 레벨과 낮은 주파수 RF 주파수같은 플라즈마 조건, 냉각 가스 압력, 및 챔버 벽 온도와 같은 처리 조건에 관련한다. 이런 파라미터는 처방전 형태로 사용자에게 제공되고 라이트 펜/CRT 모니터 인터페이스를 사용하여 기입된다. 상기 프로세스를 모니터링하기 위한 신호는 시스템 제어기의 아날로그와 디지털 입력 보드에 의해 제공되며, 상기 프로세스를 제어하기 위한 신호는 CVD 시스템(10)의 아날로그와 디지털 출력 보드상에 출력된다.
프로세스 시퀀스 서브루틴(75)은 프로세스 선택기 서브루틴(73)으로부터 확인된 프로세스 챔버를 수락하고 여러 처리 챔버의 동작을 제어하기 위한 프로그램 코드와 프로세스 파라미터 세트를 포함한다. 다중 사용자가 프로세스 세트 넘버와 처리 챔버 넘버를 입력할 수 있거나, 또는 한 사용자가 다중 프로세스 세트 넘버와 처리 챔버 넘버를 입력할 수 있으며, 그래서 프로세스 시퀀서 서브루틴(75)은 요구된 순서로 선택된 처리를 스케쥴링하도록 동작한다. 바람직하게, 처리 시퀀서 서브루틴(75)은 (ⅰ) 챔버가 사용되고 있는지를 결정하기 위해 퍼리 챔버의 동작을 모니터링하고, (ⅱ) 사용되고 있는 챔버에서 어떤 처리가 수행되는지 결정하며, (ⅲ) 처리 챔버의 능력과 수행되어야 하는 처리 타입에 기초하여 요구된 프로세스를 실행하는 단계를 포함한다. 상기 처리 챔버를 모니터링하는 폴링과 같은 종래 방법이 사용될 수 있다. 실행될 프로세스를 스케쥴링할때, 프로세스 시퀀서 서브루틴(75)은 선택된 프로세스에 요구되는 처리 조건, 또는 특별한 사용자 입력 요구의 "시기", 또는 시스템 프로그래머가 스케쥴링 우선권을 결정하기 위해 포함하기를 원하는 어떤 다른 관련 인자와 비교하여 사용되고 있는 처리 챔버의 현재 상태를 고려한다.
실행될 처리 챔버와 프로세스 세트 조합을 결정했을 때, 프로세스 시퀀서 서브루틴(75)은 프로세스 시퀀서 서브루틴(75)에 의해 결정된 프로세스 세트에 따라 처리 챔버(15)에서 취해지는 다중 처리 작업을 제어하는 챔버 매니저 서브루틴(77a-c)에 특별한 프로세스 세트 파라미터를 전달함으로써 프로세스 세트의 실행을 시작한다. 예를 들면, 챔버 매니저 서브루틴(77a)은 처리 챔버(15)에서의 스퍼터링과 CVD 처리 동작을 제어하기 위한 프로그래밍 코드를 포함한다. 챔버 매니저 서브루틴(77a-c)은 또한 선택된 프로세스 세트를 수행하기 위해 필요한 챔버 부품의 동작을 제어하는 여러 챔버 부품 서브루틴의 실행을 제어한다. 챔버 부품 서브루틴의 예는 기판 위치설정 서브루틴(80), 처리 가스 제어 서브루틴(83), 압력 제어 서브루틴(85), 히터 제어 서브루틴(87), 및 플라즈마 제어 서브루틴(89)이다. 당업자들은 처리 챔버(15)에서 어떤 프로세스가 수행되어야 하는지에 따라 다른 챔버 제어 서브루틴이 포함될 수 있다는 것을 쉽게 인식할 것이다.
동작중, 챔버 매니저 서브루틴(77a)은 실행되어지는 특별한 프로세스에 따라 프로세스 부품 서브루틴을 선택적으로 스케쥴링 또는 호출한다. 챔버 매니저 서브루틴(77a)은 실행될 프로세스 세트와 그것이 실행하는 챔버를 스케쥴링하는 프로세스 시퀀서 서브루틴(75)과 무척 유사하게 프로세스 부품 서브루틴을 스케쥴링한다. 전형적으로, 챔버 매니저 서브루틴(77a)은 여러 챔버 부품을 모니터링하는 단계를 포함하며, 실행될 프로세스 세트를 위한 처리 파라미터에 기초하여 동작하는데 필요한 부품을 결정하여, 모니터링 및 결정 단계에 응답하여 챔버 부품 서브루틴을 실행한다.
이제 특별한 챔버 부품 서브루틴의 동작이 도 1f를 참조하여 기술될 것이다. 기판 위치설정 서브루틴(80)은 기판을 페데스탈(12) 위에 로딩하고, 처리 챔버(15)에서 요구된 높이까지 기판을 리프팅시키며, 기판과 가스 분배 매니폴드(11)사이의 간격을 제어하는데 사용되는 챔버 부품을 제어하기 위한 프로그램 코드를 포함한다. 기판이 처리 챔버(15)내로 로딩될 때, 페데스탈(12)은 기판을 수용하기 위해 하강하며, 그후 페데스탈(12)은 처리 동안 가스 분배 메니폴드(11)로부터 요구된 거리 또는 간격으로 기판을 유지하기 위해 처리 챔버(15)내의 요구된 위치로 상승된다. 동작중, 기판 위치설정 서브루틴(80)은 챔버 매니저 서브루틴(77a)으로부터 전송되는 지지 높이에 관련한 프로세스 세트 파라미터에 응답하여 페데스탈(12)의 이동을 제어한다.
처리 가스 제어 서브루틴(83)은 처리 가스 조성과 흐름 속도를 제어하기 위한 프로그램 코드를 가진다. 처리 가스 제어 서브루틴(83)은 안전 차단 밸브의 개방/밀폐 위치를 제어하며, 또한 요구된 가스 흐름 속도를 얻기 위해 유량 제어기를 램핑 업/다운시킨다. 처리 가스 제어 서브루틴(83)은 챔버 매니저 서브루틴(77a)에 의해 호출되고, 챔버 매니저 서브루틴 프로세스로부터 요구된 가스 흐름 속도에 관련한 파라미터를 수신한다. 전형적으로, 처리 가스 제어 서브루틴(83)은 가스 공급 라인를 개방함으로써 동작하고, 반복적으로 (ⅰ) 필요한 유량 제어기를 판독하고, (ⅱ) 상기 판독치를 챔버 매니저 서브루틴(77a)으로부터 수신되는 요구된 흐름 속도와 비교하며, (ⅲ) 필요한대로 가스 공급 라인의 흐름 속도를 조절한다. 더욱이, 처리 가스 제어 서브루틴(83)은 불안전한 속도에 대한 가스 흐름 속도를 모니터링하고 불안전한 조건이 검출될 때 안전 차단 밸브를 동작시키는 단계를 포함한다.
일부 프로세스에서, 헬륨 또는 아르곤과 같은 불활성 가스가 반응 처리 가스가 유입되기 이전에 챔버내의 압력을 안정화시키기 위해 처리 챔버(15)내로 흐른다. 이런 처리를 위해, 처리 가스 제어 서브루틴(83)은 챔버(15)내로 챔버(15)의 압력을 안정화하는데 필요한 시간동안 불활성 가스를 흐르게 하는 단계를 포함하며, 다음에 이미 언급된 단계들이 수행된다. 부가적으로, 처리 가스가 액체 전구체(예를 들어, 테트라에틸오르토실리케이트("TEOS"))로부터 기화되어야 한다면, 처리 가스 제어 서브루틴(83)은 버블러 어셈블리내의 액체 전구체를 통해 헬륨과 같은 운반 가스를 버블링하거나 또는 액체 주입 시스템내로 헬륨 또는 질소와 같은 캐리어 가스를 유입하기 위한 단계를 포함하도록 기록된다. 버블러가 이런 타입의 프로세스를 위해 사용될 때, 처리 가스 제어 서브루틴(83)은 요구된처리 가스 속도를 얻기 위해 운반 가스의 흐름, 버블러의 압력 및 버블러 온도룰 조정한다. 이미 개시된 바와 같이, 상기 요구된 처리 가스 흐름 속도는 프로세스 파라미터로서 처리 가스 제어 서브루틴(83)으로 전송된다. 더욱이, 처리 가스 제어 서브루틴(83)은 소정 처리 가스 흐름 속도에 대해 필요한 값을 포함하는 저장 테이블에 접근함으로써 필요한 운반 가스 흐름 속도, 버블러 압력 및 버블러 온도를 얻는 단계를 포함한다. 필요값이 얻어질 때, 상기 운반 가스 흐름 속도, 버블러 압력, 및 버블러 온도가 모니터링되고, 필요값과 비교되며, 그에 따라 조절되게 된다.
압력 제어 서브루틴(85)은 챔버 배기 시스템의 드로틀 밸브의 개구부 크기를 조정함으로써 처리 챔버(15)내의 압력을 제어하기 위한 프로그램 코드를 포함한다. 상기 드로틀 밸브 개구부의 크기는 전체 처리 가스 흐름, 처리 챔버(15)의 크기, 및 배기 시스템을 위한 펌핑 설정점 압력과 관련하여 요구된 레벨로 챔버 압력을 제어하도록 설정된다. 압력 제어 서브루틴(85)이 호출될 때, 상기 목표 압력 레벨은 챔버 매니저 서브루틴(77a)으로부터 파라미터로서 수신된다. 압력 제어 서브루틴(85)은 챔버에 연결된 하나 이상의 종래 압력계를 판독함으로써 처리 챔버(15)내의 압력을 측정하고, 측정값을 목표 압력과 비교하고, 목표 압력에 대응하는 저장된 압력 테이블로부터 비례, 적분 및 차동(PID :proportional, integral, and differential) 값을 얻고, 압력 테이블로부터 얻어진 PID값에 따라 드로틀 밸브를 조절하도록 동작한다. 대안적으로, 압력 제어 서브루틴(85)은 요구된 압력으로 처리 챔버(15)를 조정하기 위해 특별한 개구부 크기로 드로틀 밸브를 개방 또는 밀폐하도록 기록될 수 있다.
히터 제어 서브루틴(87)은 기판을 가열하는데 사용되는 가열 유니트에 대한 전류를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(87)은 또한 챔버 매니저 서브루틴(77a)에 의해 호출되어 목표, 또는 설정점 온도 파라미터를 수신한다. 히터 제어 서브루틴(87)은 페데스탈(12)에 배치된 열전상의 전압 출력을 측정함으로써 온도를 측정하고, 측정된 온도를 설정점 온도와 비교하며, 설정점 온도를 얻기 위해 가열 유니트에 인가되는 전류를 증가 또는 감소시킨다. 상기 온도는 저장된 변환 테이블의 대응하는 온도를 찾아봄으로써 또는 4차 다항식을 사용하여 온도를 계산함으로써 측정된 전압으로부터 얻어진다. 내장 루프가 페데스탈(12)을 가열하는데 사용될 때, 히터 제어 서브루틴(87)은 상기 루프에 인가되는 전류의 램핑 업/다운을 차츰 제어한다. 부가적으로, 빌트-인 고장-안전 모드가 프로세스 안전 승낙을 검출하기 위해 포함될 수 있으며, 처리 챔버(15)가 적절히 구성되지않는다면 가열 유니트의 동작을 차단할 수 있다.
플라즈마 제어 서브루틴(90)은 처리 챔버915)내의 처리 전극에 인가되는 낮고 높은 주파수 RF 파워 레벨, 및 사용되는 낮은 주파수 RF 주파수를 설정하기 위한 코드를 포함한다. 또한 플라즈마 제어 서브루틴(90)은 본 발명에 사용되는 자석 또는 다른 마이크로파 소스에 인가되는 파워 레벨을 턴온 및 설정/조절하기 위한 프로그램 코드를 포함한다. 플라즈마 제어 서브루틴(90)은 이전에 기술된 챔버 부품 서부루틴과 유사한 형태로 챔버 매니저 서부루틴(77a)에 의해 호출된다.
상기 설명은 주로 예시적 목적을 위한 것이다. 전자 가속 공명(ECR) 플라즈마 CVD 시스템, 유도 결합된 RF 고밀도 플라즈마 CVD 시스템 등과 같은 다른 장비가 본 발명을 사용할 수 있다. 부가적으로, 페데스탈 설계, 히터 설계, RF 파워 주파수, 및 RF 파워 연결 위치 뿐만 아니라 다른 변경과 같은 상기 기술된 시스템의 변형이 가능하다. 예를 들면, 상기 기판은 석영 램프에 의해 가열될 수 있다. 본 발명은 어떤 특정 장치와 사용하는 것에 필연적으로 제한되지않는 것으로 이해하여야 한다.
Ⅲ. 본 발명에 다른 다중 스테이지 세척 프로세스
도 2는 일반적으로 본 발명의 방법을 설명한다. 간략화를 위해, 도 2에 도시된 단계들은 단지 본 발명의 방법의 2 스테이지 수행을 기술한다. 이전에 언급된 바와 같이, 부가적 스테이지가 도 2에 도시된 세척 스테이지중 어느 하나를 반복함으로써 부가될 수 있다. 필요하다면, 이것은 예를 들어 제1의 2 스테이지에 의해 세척되지않은 표면의 세척을 제공하도록 수행될 수 있을 것이다. 대안적으로, 이것은 또한 이전에 언급한 바와 같이 최대 처리량을 유지하고 오버에칭을 최소화하면서 에칭 속도에 관한 더욱 더 미세한 제어를 제공하도록 수행될 수 있을 것이다. 물론, 본 발명의 방법에 의해 이해되는 다른 방법론은 다중 스테이지 세척 프로세스(예를 들어 제1 및 제2 세척 스테이지를 반복하는)에 의해 표현된다. 예를 들면, 처리 챔버로부터 유리된 파티클을 배출시키기 위해 아르곤과 같은 불활성 가스가 어떤 다른 세척 가스를 흐르게 하기 이전에 챔버를 통해 흐르도록 하는 부가적 단계가 첨가될 수 있다.
제1 세척 스테이지는 단계 200에서 시작하고, 그동안 처리 챔버내의 환경 파라미터가 형성된다. 이것은 처리 챔버(15)의 온도와 압력을 안정화시키는 단계를 포함하나. 다음에, 반응 가스가 단계 210에서 처리 챔버(15)내로 유입된다. 일실시예에서의 반응 가스는 바람직하게 NF3이지만, 또한 사플루오르화 탄소(CF4) 또는 육플루오르화 황(SF6)과 같은 다른 플루오르 함유 가스가 사용될 수 있다. 더욱이, 또한 염소 또는 다른 할로겐 함유 가스가 플루오르 함유 가스 대신에 본 발명의 다른 실시예에서 처리 가스로서 사용될 수 있다. 플라즈마를 점화하기 위해, 다음에 마이크로파 에너지가 단계 220에서 처리 가스에 인가된다. 이런 플라즈마는 단계들 사이에서 유지될 수 있고, 또는 본 발명의 특정 실시예에 따라 소화 및 그후 재점화될 수 있다. 또한 반응성 할로겐 라디컬이 원격에서 발생된다면, 도 2에 도시된 단계들은 상당히 변경될 것이다. 예를 들어, 플라즈마 형성에 부가적으로, 발생되는 반응성 할로겐 라디컬이 처리 챔버(15)내로 이송될 것을 요구할 것이다.
단계 230에서, 상기 반응 가스로부터 형성된 플라즈마는 어떤 시간 주기동안 유지되며, 그러므로 발생된 반응성 라디컬이 상기 주기동안 처리 챔버(15)의 내부 표면상의 찌꺼기와 반응하도록 한다. 원격 프로세스가 사용된다면, 상기 플라즈마의 유지는 처리 챔버(15)에서 라디컬 흐름을 유지하는 것과 동등하다. 단계 203동안, 진공 펌프 시스템은 반응 부산물과 요구되지않은 세척 가스를 배기 플리넘(17)을 통해 처리 챔버(15)로부터 가스 통로(23)내로 그리고 배기 라인 외부로 배출시키며, 상기 가스와 부산물이 상기 배기 라인을 통해 방출되는 압력은 드로틀 밸브와 진공 펌프 시스템에 의해 제어된다.
상기 제1 세척 프로세스 스테이지는 세척 프로세스 최대 에칭 속도(또는 적어도 상대적으로 높은 에칭 속도)로 처리 챔버(15)의 내부 표면에 대한 균일한 세척을 제공한다. 이것은 상기 세척 스테이지가 마이크로파 플라즈마 발생 시스템(인 시튜 도는 원격)이 운반할 수 있는 할로겐 라디컬의 최대 집중(예를 들어 할로겐 라디컬이 어떤 식으로도 희석되지않는다)을 사용하기 때문이다. 그러므로, 축적된 찌거기는 상기 세척 프로세스(상기 세척 스테이지가 상기 프로세스 어디에서 발생하는지에 무관하게)의 이런 부분에 의해 제거된다. 상기 세척 스테이지가 가능한 가장 빠른 속도로 찌꺼기를 제거하더라도, 가장 어려운 것은 챔버 내부 표면에 대한 부식성을 정확히 제어하는 것이다.
대형의 찌꺼기가 잔류할때, 이것은 상대적으로 두꺼운 찌꺼기가 빨리 제거되기 때문에 상대적으로 높은 에칭 속도가 유리하다. 그러나, 작은 찌꺼기가 잔류한다면, 이런 에칭 속도는 세척 프로세스에 관한 정밀한 제어를 어렵게 할 수 있다. 예를 들면, 처리 챔버의 모든 내부 표면이 적어도 최소 두께로 균일한 잔류물을 가진다면, 본 발명의 상기 제1 세척 스테이지가 챔버의 모든 내부 표면으로부터 균일하게 최소 두께를 제거하는데 사용될 것이다. 이것은 어떤 처리 챔버 부품의 표면을 에칭하지않고 처리 챔버의 모든 표면으로부터 최대량의 잔류물을 제거할 것이다. 그러나, 잔류물은 여전히 최소 두께 이상의 잔류 두께를 가지는 표면에 잔류할 것이다. 이런 잔류물들은 상기 제2(또는 제3 또는 그 다음) 세척 스테이지가 제거하는 것이다.
할로겐 라디컬의 발생에서 마이크로파 플라즈마를 사용하기 때문에, 본 발명의 방법은 또한 상당히 효율적인 라디컬 발생의 이익을 제공한다. 이것은 더욱 완전한 챔버 내부 표면의 세척 및 더 빠른 세척(높은 라디컬 집중이 제공되는 증가된 에칭 속도때문에)을 포함한다. 예를 들면, 플루오르 함유 화합물이 텅스텐 실리사이드 증착 프로세스가 수행된후 처리 챔버 내부를 세척하는데 사용된다면, 잔류물과 발생된 플루오르 라디컬 사이의 반응은 다음을 포함할 수 있다.
F*+ W ----> WF (1)
F*+ Si ----> SiF (2)
이런 부산물은 세척 프로세스동안(예를 들어, 단계 230동안) 상기 시스템을 통과하는 가스의 흐름에 의해 처리 챔버(15)로부터 배기된다.
그러나, 제1 세척 스테이지 수행에도, 찌꺼기는 특정 처리 챔버 내부 표면에 잔류할 수 있다. 이것은 특히 이전에 기술된 냉벽 시스템에서 확실하다. 언급한 바와 같이, 세척(예를 들어 에칭) 반응이 발생하는 속도는 적어도 부분적으로 세척되는 표면의 온도에 의존한다. 표면이 뜨거울수록, 상기 반응은 더 빠르게 진행된다. 반대로, 상기 세척 반응은 상대적으로 낮은 온도를 가지는 표면에서 더욱 느리게 진행될 것이다. 또한 언급한 바와 같이, 이런 온도 차이는 종종 처리 챔버 내부 표면의 불균일한 세척을 초래한다. 종종 표면 온도가 처리 챔버 내부 도처에서 광범하게 변하기 때문에(특히, 냉벽 시스템에서), 종래 기술의 세척 시스템은 세척되지않은 일부 표면 또는 다른 표면의 오버에칭되게 한다. 본 발명의 방법은 최소 오버에칭(예를 들어 상대적으로 차가운 표면)을 초래하면서 제1 스테이지동안 충분히 세척되지않은 표면을 더욱 완전히 세척하는 한번 이상의 세척 스테이지를 제공함으로써 하나 이상의 부가적 세척 스테이지를 제공함으로써 이런 제한을 다룬다.
도 2는 제2 세척 스테이지가 수행되는 세척 프로세스를 설명한다. 언급된 바와 같이, 도 2의 제2 스테이지는 후속 세척 스테이지가 잔류하는 찌꺼기를 제거하는데 유용하기 때문에 제1 세척 스테이지가 처리 챔버 내부 표면의 일부 또는 모두를 부분적으로 세척하도록 한다. 다음에 상기 부가적 세척 단계는 제1 단계에 의해 완전히 세척되지않은 표면을 세척한다. 대안적으로, 여러 더 많은 세척 스테이지가 본 발명의 방법의 범위내에서 도 2에 도시된 프로세스에 부가될 수 있다. 일반적으로, 다음 세척 프로세스는 증가하는 양의 불활성 가스를 유입할 것이다. 이것은 높은 에칭 속도로 시작하고(높은 처리량을 얻지만, 제거되는 찌거기 양의 빈약한 제어), 감소된 에칭 속도로 한번 이상의 중간 세척 스테이지를 수행하고(변화하는 양의 불활성 가스를 사용하여), 작은 찌꺼기가 잔류하는 부분에서 낮은 에칭 속도로 진행(오버에칭의 위험이 가장 큰 위치에서 에칭되는 재료양에 관한 더 미세한 제어를 제공)함으로써 상당히 더 미세한 에칭 속도의 제어를 제공한다. 매우 적은 찌꺼기가 어떤 표면에 잔류할 때, 상기 에칭 속도는 증가된 불활성 가스의 흐름을 제공함으로써 감소된다. 이상적으로, 상기 감소된 에칭 속도에 의해 제공되는 정밀도는 짧은 시간에 처리 챔버 내부의 어떤 에칭없이 잔류하는 찌꺼기의 완전한 제거를 허용한다. 실제적으로, 더욱 정밀한 에칭이 필요하다면(예를 들어, 찌꺼기가 얇아지게 되어 세척 프로세스가 소정 에칭 속도(불활성 가스 흐름)를 사용하여 정확하게 제어가 어렵게 될 때), 다른 세척 스테이지가 사용될 수 있다. 세척 스테이지의 수는 단지 처리량 고려와 특정 영역이 다른 것 이전에 완전히 세척되었을 것이라는 사실, 및 최소화되어야 하는 일부 오버에칭을 경험할 것이라는 사실에 의해서만 제한된다.
도 2에 의해 설명된 바와 같이, 상기 제2 세척 스테이지는 단계 240에서 새로운 프로세스 파라미터를 형성함으로써 시작한다. 단계 240이 선택적이더라도(단계 200에서 선택된 프로세스 파라미터가 전체 세척 프로세스에 대해 수용가능하기 때문에), 여러가지 챔버 파라미터는 적어도 작은 조절을 요구할 수 있고, 또는 새로운 챔버 파라미터가 처리 챔버(15)에서의 특정 표면의 세척을 증진시키기 위해 선택될 수 있다. 예를 들면, 페데스탈(12)과 가스 분배 면판(13a) 사이의 간격은 페데스탈(12)의 일부에 의해 경험되는 에칭 속도를 변화시키기 위해 변경될 수 있다. 사용되는 세척 가스와 관련한 파라미터는 이런 파라미터에 포함되지않으며, 물론 세척 스테이지 사이에서 변화할 것이다.
단계 250에서, 한가지 이상의 세척 가스가 처리 챔버(15)내로 유입된다. 이런 세척 가스는 바람직하게 반응 가스와 불활성 가스를 포함한다. 상기 반응 가스는 상기 제1 프로세스 스테이지에 사용되는 것과 거의 유사할 것이지만, 필요하다면 다른 할로겐 함유 화합물이 사용될 수 있다. 디른 불활성 가스가 동일한 결과로 사용될 수 있더라도, 아르곤 또는 질소가 상기 불활성 가스로서 사용된다. 불활성 가스 대 반응 가스의 비율은 오버에칭을 최소화하면서 빠른 세척을 제공하는 어던 값이 될 수 있다. 그러나, 이런 값은 다른 인자중에서도 아마 사용된 가스, 세척 스테이지의 수, 처리량에 대한 요구, 처리 챔버에 대한 손상의 최소화 요구, 및 반응 가스와 찌꺼기 및 챔버 재료의 반응성에 의존할 것이다. 상기 비율은 흐름 속도, 무게, 또는 어던 다른 측정과 관련될 수 있다. 예를 들면, NF3와 Ar를 사용하여 2 스테이지로 알루미늄(Al)으로부터 WSix를 세척하는 프로세스에서, 제1 세척 스테이지는 순수 NF3를 사용할 것이고 제2 스테이지는 10:1 비율(Ar:NF3)을 사용할 것이다. 대안적으로, 이런 시나리오는 3 세척 스테이지를 사용할 것인데, 제1 스테이지는 3:1 비율, 제2 스테이지는 10:1 비율, 및 제3 스테이지는 20:1 비율이 될 것이다. 다시, 이것들은 단지 보기이며, 실제로 사용되는 비율은 여러 인자에 의존하여 변경될 것이다.
상기 불활성 가스는 에칭 속도르 제어하기 위해 플루오르 라디컬을 희석하는데 사용되며, 그러므로 잔류하는 찌거기의 양(예를 들어, 수행될 에칭 양에 관련하여 필요한 정밀도 레벨) 및 오버에칭없이 가능한 빨리 잔류 찌꺼기를 제거하는 필요가 주어진다면 대 가능한 에칭 속도의 사용을 허용한다. 에칭속도를 제어하는데 필요한 정밀도 양은 수행되는 에칭을 정확히 제어하는 것(오버에칭, 및 수반되는 마모와 휴지시간을 방지하기 위해)과 관련하여 처리 챔버의 빠른 세척을 균형맞추기 위한 필요에 의해 조정된다. 에칭 속도의 제어 허용에 부가적으로, 불활성 가스의 포함은 전체 가스 흐름을 유지(또는 증가)하여, 반응성 라디컬의 분포를 개선시킨다. 이런 개선된 분포는 낮은 라디컬 집중의 경우에 특히 중요한, 더욱 완전하고 균일한 처리 챔버(15)의 세척을 제공한다.
다음에, 마이크로파 에너지가 단계 260에서 플라즈마를 점화하기 위해 세척 가스에 인가된다. 대안적으로, 상기 플라즈마는 반응 가스로부터 형성될 수 있고 다음에 불활성 가스가 그렇게 발생된 플루오르 라디컬의 흐름에 혼합된다. 단계 270에서, 상기 세척 가스로부터 형성된 플라즈마는 어떤 시간 주기동안 유지되어, 그렇게 발생된 반응성 라디컬이 상기 주기동안 처리 챔버(15)의 내부 표면상의 찌꺼기와 반을하도록 한다. 원격 프로세스가 사용된다면, 상기 플라즈마의 유지는 처리 챔버(15)내에 라디컬 흐름을 유지하는 것과 동등시된다. 이전에서와 같이, 상기 배기 시스템은 배기 라인을 통해 처리 챔버로부터 반응 부산물 및 요구되지않은 세척 가스를 제거한다.
도 2에서 설명된 방법에서, 할로겐 라디컬이 제1 세척 스테이지에서 제거되는것과 동일한 찌꺼기와 반응하기 때문에, 제2 세척 스테이지의 반응식은 동일한 할로겐 함유 화합물이 사용된다고 가정하면 제1 세척 스테이지로부터의 반응식 1 및 2와 동일하게 된다. 그러나, 반응성 라디컬이 처리 챔버(15)의 내부 표면을 에칭하는 속도는 다른 인자중에서도 반응성 라디컬의 집중, 이런 라디컬이 반응하는 물질, 및 반응이 발생하는 온도에 의존한다. 언급한 바와 같이, 표면이 뜨거울수록, 반응이 진행되는 속도는 더 빨라지고 에칭 속도도 더 높아진다. 또한 반응성라디컬의 집중 증가는 에칭 속도를 증가시킬 것이다. 그러나, 이것은 다른 물질이 에칭되어진다면 비례하는 현상은 아니다, 첫째, 다른 화합물은 다른 속도롤 에칭할 수 있고, 모든 다른 변수는 일정하게 유지된다. 더욱이, 다른 재료의 에칭 속도는 온도의 변화, 반응 라디컬 집중, 및 다른 인자로 다른 속도로 변경할 수 있다. 예를 들면, 텅스텐 실리사이드 지꺼기를 제거하기 위해 플루오르 라디컬을 사용하는 세척 프로세스에서, 플라우르 라디컬 집중의 감소는 텅스텐 실리사이드 찌꺼기의 에칭 속도 이상으로 알루미늄 성분(예를 들어, 특정 챔버의 내부 표면)의 에칭 속도를 감소시킨다. 그러므로, 본 발명의 부가적 이익은 잔류하는 찌꺼기(예를 들어, 제1 세척 프로세스후 잔류하는 찌꺼기)를 지속적으로 세척하면서 내부 챔버 표면의 오버에칭을 최소화하는 능력이다.
언급한 바와 같이, 도 2의 흐름도는 단지 본 발명의 보기일 뿐이며, 본 발명의 범위내에서 변경될 수 있다. 예를 들면, 도 2에 도시된 순서가 반전될 수 있다. 그런 경우에, 본래 제2 스테이지에 의해 세척되는 표면이 우선 세척되며, 제1 스테이지에 의해 세척되는 표면이 다음에 세척된다. 그러나, 상기 세척 스테이지가 도 2에 도시된 순서로부터 반전될 때, 상기 세척 프로세스에서 사용되는 챔버 파라미터는 각각의 세척 스테이지가 다른 세척 특성을 나타낸다는 사실을 고려하여 조절될 필요가 있을 것이다. 할로겐 함유 세척 가스에 대한 불활성 가스의 비율을 조절하는 것과 같은, 이런 조절은 중요하지않을 수 있다. 더욱 난해한 변화는 특정 지역의 에칭을 감소하기 위해 불활성 가스의 분사를 사용하거나, 또는 특정 영역의 에칭을 증가하기 위해 할로겐 함유 세척 가스의 분사를 사용(또는 이들의 조합)하게 될 것이다.
도 2의 프로세스에 대한 다른 변화는 제1 세척 스테이지의 한가지 이상의 불활성 가스의 유입을 포함할 수 있다. 이런 경우에, 상기 세척 프로세스는 단순히 제2 세척 스테이지의 2번 이상의 반복이 된다. 예를 들면, 2번의 세척 스테이지가 수행된다면, 상기 라디컬과 불활성 가스의 각각의 혼합이 발생되는, 다른 비율이 언급한 바와 같이 오버에칭을 최소화하면서 처리량을 최대화하기 위해 각각의 스테이지에서 사용될 수 있다.
도 2에서 설명된 본 발명에 따른 방법은 인 시튜 마이크로파 플라즈마 발생 기술과 관련하여 기술되었다. 그러나, 상기 마이크로파 플라즈마는 Kao에서 기술된 것과 같은 장치를 사용하여 원격으로 발생될 수 있다. Kao에서, 상기 반응 가스는 가스 입구를 통해 마이크로파 소스로부터 도파관을 통해 전송되는 마이크로파가 정상파를 형성하는 플라즈마 어플리케이터(기본적으로, 마이크로파 공동)로부터 유입된다. 상기 플라즈마 어플리케이터의 정상파는 세척 가스로부터 플라즈마를 점화하여 유지한다. 그러므로 발생된 바능ㅇ성 라디컬은 기판 처리 장치(예를 들어, CVD 시스템(10))의 처리 챔버(예를 들어, 처리 챔버(15))를 세척하는데 사용하기 위해 출구 및 전달 다운스트림을 통해 플라즈마 어플리케이터로부터 방출된다. 원격 마이크로파 플라즈마 발생 기술의 사용은 처리 키트 부품에서의 감소된 마모의 부가 이익을 제공하여, 종래 시스템의 간단한 변형이 본 발명의 세척 기술의 장점을 취하도록 한다. 언급한 바와 같이, 상기 불활성 가스는 마이크로파 플라즈마내로 유입되거나, 또는 반응성 라디컬, 플라즈마의 다운스트림내에 유입될 수 있다.
본 발명의 방법에 따른 세척 프로세스에 사용되는 챔버 파라미터는 여전히 처리 챔버(15)의 수용가능한 세척을 제공하면서 광법하게 변경될 수 있지만, 특정 범위가 특히 효율적이고 완전한 챔버 내부 표면의 세척을 제공한다. 예를 들면, 제1 세척 스테이지(예를 들어, 도 2의 단계 200-230)에서 NF3를 사용할 때, 상기 NF3는 바람직하게 특정 실시예에서 약 50 내지 100 sccm 범위, 더욱 바람직하게 약 300 sccm의 흐름율로 유입된다. 이런 흐름율는 여기에 기술된 다른 챔버 파라미터가 그럴 수 있는 것과 같이, 인 시튜 또는 원격 마이크로파 플라즈마 발생 기술중 하나에서 사용될 수 있다. 특정 실시예에서 1 밀리토르(mT) 내지 20 토르(T) 사이, 가장 바람직하게 1 T의 압력으로 유지되는 처리 챔버로, 300 내지 3000 W, 가장 바람직하게 500 W의 마이크로파 파워 소스를 사용하여 어떤 플라즈마가 점화되어 반응성 가스에 유지된다. 바람직하게, 다른 마이크로파 주파수가 다른 실시예에서 바람직할 수 있더라도, 2450 ㎒의 마이크로파 주파수가 이런 스테이지동안 마이크로파 플라즈마를 점화하여 유지하는데 사용된다. 상기 페데스탈(12)(상기 히터)의 온도는 바람직하게 400 내지 700 ℃, 가장 바람직하게 약 550 ℃로 유지된다. 이것은 본 발명의 또다른 장점인데, 종래 기술에서와 같이 챔버 부품의 오버에칭을 방지하기 위해 온도가 하강될 필요가 없다. 이것은 챔버 부품의 냉각 및 가열에 수반되는 지연을 방지함으로써 처리량을 개선한다. 상기 플라즈마는 바람직하게 제1 세척 스테이지동안 10 내지 1000초 사이동안 유지되고, 가장 바람직하게 약 300초 주기동안 유지된다. 상기 제1 스테이지는 플라즈마를 소화하거나, 또는 세척 가스 및/또는 챔버 파라미터를 변경함으로써(예를 들어, 단순히 제2 세척 스테이지를 시작하여) 중단될 수 있다. 선택적으로, 아르곤과 같은 불활성 가스가 세척 프로세스의 에칭 속도 및/또는 세척될 표면을 제어하기 위해 5000 sccm에 이르는 흐름 속도로 유입될 수 있다.
도 2에 설명된 제2 스테이지는 제1 세척 스테이지에서 사용된 것과 유사한챔버 파라미터를 사용한다. 다시, 상기 언급한 흐름 속도, 온도, 압력 및 다른 챔버 파라미터가 이전에서와 같이 인 시튜 또는 원격 마이크로파 플라즈마 발생 기술중 하나와 사용될 수 있다. 제2 세척 스테이지에서 NF3를 사용할 때, 상기 NF3는 바람직하게 특정 실시예에서 약 50 내지 100 sccm 범위, 더욱 바람직하게 약 300 sccm의 흐름율로 유입된다. 이런 세척 스테이지에서, 또한 아르곤이 처리 챔버(15)(또는 이런 스테이지에 사용된 불활성 가스가 원격 플라즈마 발생 시스템이 사용될 때에도 처리 챔버내로 유입될 수 있더라도, 플라즈마 어플리케이터)내로 유입된다. 아르곤은 특정 실시예에서 바람직하게 약 50 내지 5000 sccm 범위, 가장 바람직하게 약 500 sccm의 흐름 속도로 유입된다. 특정 실시예에서 1 밀리토르(mT) 내지 20 토르(T) 사이, 가장 바람직하게 1 T의 압력으로 유지되는 처리 챔버(15)(또는 어플리케이터)로, 300 내지 3000 W, 가장 바람직하게 500 W의 마이크로파 파워 소스를 사용하여 어떤 플라즈마가 점화되어 반응성 가스에 유지된다. 다시, 다른 마이크로파 주파수가 다른 실시예에서 바람직할 수 있더라도, 2450 ㎒의 마이크로파 주파수가 이런 스테이지동안 마이크로파 플라즈마를 점화하여 유지하는데 사용된다. 상기 히터의 온도는 바람직하게 400 내지 700 ℃, 가장 바람직하게 약 550 ℃로 유지된다. 상기 플라즈마는 바람직하게 제1 세척 스테이지동안 10 내지 1000초 사이동안 유지되고, 가장 바람직하게 약 300초 주기동안 유지된다.
다수의 다른 마이크로파 파워 서플라이가 이미 언급한 범위내의 마이크로파 에너지를 발생할 수 있다. 예를 들면, 값싼 펄스, 저와트 파워 서플라이가 약 1.0 내지 1.5㎾ 마이크로파 파워를 발생할 수 있다. 대안적으로, 고와트 지속파(CW) 파워 서플라이가 요필요한 마이크로파 에너지를 발생시키는데 사용될 수 있다. 그런 시스템은 전형적으로 2.5 내지 6㎾의 마이크로파 파워를 제공한다. 일부 바람직한 실시예에서, 상기 마이크로파 파워 서플라이는 특정 마이크로파 오브에서 사용되는 타입으로 이루어질 수 있고, 저가, 저와트, 펄스 60헤르츠(㎐) 반파정류된 파워 소스에 의해 파워가 인가되며, 바람직하게 약 2450 ㎒의 주파수를 가지는 마이크로파를 제공한다. 이런 펄스, 저와트 마이크로파 발생기는 고파워 CW 마이크로파 발생기 또는 RF 발생기보다 가격면에서 적어도 2배정도 더 낮다. 다른 바람직한 실시예에서 상기 마이크로파 파워 서플라이는 약 2.45 ㎓에서 300 W 내지 6 ㎾ 사이의 마이크로파를 제공하는 CW 마이크로파 소스가 된다.
상기 기술된 가스 흐름, 챔버 압력, 온도 범위 및 다른 챔버 파라미터는 텅스텐 실리사이드 증착 프로세스에서 다중 기판을 처리한후 시간이 지남에 따라 축적할 수 있는 텅스텐과 실리콘 찌거기와 같은 원치않는 찌꺼기를 제거하는데 충분한 세척 절차를 제공한다. 상기 세척 프로세스의 파라미터는 청구범위에 제한되는 것으로 고려해서는 안된다. 다른 산화물, 질화물 또는 금속 함유 찌꺼기는 텅스텐 실리사이드 이외의 다른 타입을 증착하는 기판 처리 장치에서 본 발명을 사용하여 세척될 수 있다. 특별한 세척 개념에 대해 선택된 실제값(온도, 압력, 가스 흐름등)은 여러가지 응용에 따라 변경될 것이다. 또한, 상기 언급한 흐름값은 어플라이드 머티어리얼스사에 의해 제조된 DCSxZ 챔버(200-㎜ 기판 처리 능력을 갖추고 약 7리터의 전체 체적을 갖는)에 대한 것이다. 흐름값은 사용되는 챔버의 타입 도는 크기에 의존하여 다를 수 있다. 부가적으로, 본 발명의 유용성은 바람직한 반응 가스로서 NF3를 사용하여 CVD 장치의 세척에 대해 증명된다. 그러나, CF4와 ClF3와 같은 다른 할로겐 함유 가스가 사용될 수 있다. 또한 당업자들은 본 발명에 관련한 세척을 위해 다른 화학작용, 챔버 파라미터 및 조건들을 사용할 수 있을 것이다.
본 발명의 방법에 따른 세척 프로세싱은 종래 기술 이상의 수개의 장점들을 제공한다. 가장 중요하게, 그런 세척 프로세스는 가능한 작게 처리 챔버 부품을 에칭하면서 가능한 빠르게 세척이 발생하도록 한다. 본 발명의 다른 이익은 제거되는 찌꺼기가 균일하게 증착되지않더라도(공통 상황) 제공되는 균일하는 세척이다. 그러므로 이런 세척 방법은 처리 채버의 더욱 완전한 세척을 제공한다. 최종적으로 본 발명에 의해 제공되는 개선된 세척은 처리 챔버 부품의 에칭을 감소시키고 습식 세척 사이에 수행될 수 있는 증착 수를 증가시킴으로써 휴지 시간을 감소시킨다.
상기 상세한 설명은 예시적 목적을 위한 것이지, 제한적이지 않다고 이해하여야 한다. 많은 실시예가 상기 상세한 설명을 검토할때 당업자들에게 분명히 드러날 것이다. 보기에 의해, 본 발명은 여기에서 주로 인 시튜 세척 프로세스와 관련하여 설명되었지만, 이들은 거기에 제한되지않는다. 당업자들은 본 발명의 청구범위의 범위내에서 기판 처리 챔버를 세척하는 다른 동등 또는 대안적 방법을 인식할 것이다. 상기 상세한 설명이 특히 NF3를 개시하더라도, 묽은 F2, CF4, C2F6, C3F8, SF6또는 ClF3과 같은 다른 반응성 가스가 텅스텐 실리사이드 찌꺼기를 증착하는데 사용된 기판 처리 시스템, 또는 상기 시스템에서 사용된 특정 기판 처리에 의존하여 다른 바람직하지않은 찌꺼기를 세척하기 위해 사용될 수 있다. 또한 그런 세척 가스는 산소 함유 및 다른 화합물을 제거하는데 사용될 수 있다. 상기 기술된 실시예들이 단일 모드의 공진을 사용하여 여기를 제공하더라도, 다른 실시예들은 다중 공진의 장점을 취하거나 또는약 2.45 ㎒ 이외의 다른 주파수를 사용할 수 있다. CVD 챔버와 사용되는 것에 부가적으로, 상기 기술된 세척 방법은 에칭 챔버, 물리적 기상 증착(PVD) 챔버, 또는 다른 챔버와 사용될 수 있다. 비록 본 발명이 바람직한 실시예를 참조하여 기술되었지만, 당업자는 본 발명의 사상 및 범위를 벗어나지 않고 변형이 이루어진다는 것을 인식할 것이다.

Claims (21)

  1. 기판 처리 챔버의 내부 표면으로부터 찌꺼기를 제거하기 위한 방법에 있어서,
    다수의 세척 스테이지를 수행하는 단계를 포함하는데, 상기 다수의 각각의 세척 스테이지는,
    마이크로파 에너지를 사용하여 할로겐 함유 가스를 해리시킴으로써 반응성 할로겐 라디컬을 발생시키는 단계,
    소정 혼합비로 상기 반응성 할로겐 라디컬을 불활성 가스와 혼합함으로써 가스성 세척 혼합물을 형성하는 단계, 및
    찌꺼기의 일부를 제거하기 위해 상기 기판 처리 챔버의 내부 표면상에 축적되는 찌꺼기의 일부와 상기 반응성 할로겐 라디컬의 일부를 반응시키는 단계를 포함하며, 상기 혼합비는 상기 다수의 세척 스테이지중 하나의 스테이지 동안의 제1 혼합비와 동일하고 상기 혼합비는 상기 다수의 세척 스테이지중 다른 스테이지 동안의 제2 혼합비와 동일하며, 상기 제1 및 제2 혼합비는 서로 다른 것을 특징으로 하는 방법.
  2. 제 1항에 있어서, 상기 혼합비는 상기 다수의 각각의 세척 스테이지와 관련한 인자를 고려하여 감소되는 것을 특징으로 하는 방법.
  3. 제 1항에 있어서, 상기 할로겐 함유 가스는 상기 세척 스테이지중 제1 스테이지 동안의 제1 할로겐 함유 가스이고 제2 할로겐 함유 가스는 상기 세척 스테이지중 다음 스테이지 동안의 제2 할로겐 함유 가스인 것을 특징으로 하는 방법.
  4. 제 1항에 있어서, 상기 세척 단계는 400 내지 700℃의 온도에서 수행되는 것을 특징으로 하는 방법.
  5. 제 1항에 있어서, 상기 세척 단계는 소정 세척 온도에서 수행되는데, 상기 세척 온도는 기판이 상기 기판 처리 시스템에서 처리되는 온도와 동일한 것을 특징으로 하는 방법.
  6. 제 1항에 있어서, 상기 마이크로파 에너지는 상기 할로겐 함유 가스로부터 플라즈마를 형성하는 것을 특징으로 하는 방법.
  7. 제 6항에 있어서, 상기 형성 단계는 상기 플라즈마내로 상기 불활성 가스를 유입함으로써 수행되고, 상기 불활성 가스는 상기 플라즈마의 일부가 되는 것을 특징으로 하는 방법.
  8. 제 1항에 있어서, 상기 형성 단계는 상기 플라즈마내로 상기 불활성 가스를 유입함으로써 수행되고, 상기 불활성 가스는 상기 플라즈마의 일부가 되는 것을 특징으로 하는 방법.
  9. 제 1항에 있어서, 상기 형성 단계는 상기 기판 처리 챔버내로 상기 가스성 세척 혼합물을 유입하기 이전에 상기 반응성 할로겐 라디컬내로 상기 불활성 가스를 유입함으로써 수행되는 것을 특징으로 하는 방법.
  10. 제 1항에 있어서, 상기 형성 단계는 상기 기판 처리 시스템내의 상기 반응성 할로겐 라디컬내로 상기 불활성 가스를 유입함으로써 수행되는 것을 특징으로 하는 방법.
  11. 제 1항에 있어서, 상기 마이크로파 에너지는 약 2450 ㎒의 주파수에 있는 것을 특징으로 하는 방법.
  12. 제 1항에 있어서, 상기 할로겐 함유 가스는 플루오르 함유 가스인 것을 특징으로 하는 방법.
  13. 제 1항에 있어서, 상기 마이크로파 에너지는 상기 각각의 세척 스테이지 동안 10 내지 1000초의 시간 주기동안 인가되는 것을 특징으로 하는 방법.
  14. 제 1항에 있어서, 상기 마이크로파 에너지는 300 내지 3000 W 사이인 것을 특징으로 하는 방법.
  15. 제 1항에 있어서, 상기 혼합비는 상기 불활성 가스의 흐름 속도 대 상기 할로겐 함유 가스의 흐름 속도 비율인 것을 특징으로 하는 방법.
  16. 제 15항에 있어서, 상기 제1 혼합비는 상기 불활성 가스의 흐름 속도가 0이 되도록 되는 것을 특징으로 하는 방법.
  17. 제 15항에 있어서, 상기 할로겐 함유 가스의 흐름 속도는 50 내지 1000 sccm인 것을 특징으로 하는 방법.
  18. 제 15항에 있어서, 상기 불활성 가스의 흐름 속도는 상기 다수의 세척 스테이지중 제1 스테이지 동안 0 내지 5000 sccm이며, 상기 다수의 세척 스테이지중 제2 스테이지 동안 50 내지 5000 sccm인 것을 특징으로 하는 방법.
  19. 제 15항에 있어서, 상기 혼합비는 상기 다수의 세척 스테이지중 제1 스테이지동안 0:1 내지 100:1 사이이며, 상기 다수의 세척 스테이지중 제2 스테이지동안 0.05:1 내지 10:1 사이인 것을 특징으로 하는 방법.
  20. 기판 처리 시스템에 있어서,
    챔버를 형성하는 하우징;
    상기 챔버에 결합된 마이크로파 에너지 애플리케이션 시스템;
    상기 챔버에 결합된 가스 분배 시스템;
    상기 가스 분배 시스템과 상기 에너지 애플리케이션 시스템을 제어하기 위한 제어기; 및
    상기 챔버의 내부 표면을 세척하기 위한 세척 프로세스를 수행하는 상기 기판 처리 시스템의 동작을 검출하기 위해 내장된 컴퓨터 판독가능한 프로그램을 가지는 컴퓨터 판독가능한 매체를 포함하는 상기 제어기에 결합된 메모리를 포함하며, 상기 컴퓨터 판독가능한 프로그램은,
    상기 챔버내로 할로겐 함유 가스를 유입하도록 상기 가스 분배 시스템을 제어하기 위한 제1 컴퓨터 명령 세트,
    플라즈마를 형성하기 위해 상기 할로겐 함유 가스에 에너지를 인가하도록 상기 마이크로파 에너지 애플리케이션 시스템을 제어하기 위한 제2 컴퓨터 명령 세트를 포함하는데, 상기 할로겐 함유 가스의 플라즈마는 반응성 할로겐 라디컬을 형성하며,
    상기 챔버내로 불활성 가스를 유입하기 위해 상기 가스 분배 시스템을 제어하기 위한 제3 컴퓨터 명령 세트,
    소정 시간 주기동안 상기 플라즈마를 유지하기 위해 상기 마이크로파 에너지 애플리케이션 시스템을 제어하기 위한 제4 컴퓨터 명령 세트, 및
    상기 제1, 제2, 제3 및 제4 컴퓨터 명령 세ㅌ를 적어도 한번 반복하기 위해 상기 기판 처리 시스템을 제어하기 위한 제5 컴퓨터 명령 세트를 포함하는 것을 특징으로 하는 기판 처리 시스템.
  21. 제 20항에 있어서, 상기 마이크로파 에너지는 원격으로 상기 플라즈마를 형성하기 위해 상기 챔버 외부의 마이크로파 공동내에 상기 할로겐 함유 가스를 인가하며, 상기 마이크로파 공동은 상기 챔버에 결합되는 것을 특징으로 하는 기판 처리 시스템.
KR1020007000233A 1997-07-11 1998-07-10 기판 처리 시스템의 세척 방법 KR20010021675A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/893,922 US6125859A (en) 1997-03-05 1997-07-11 Method for improved cleaning of substrate processing systems
US8/893,922 1997-07-11
PCT/US1998/013980 WO1999003312A1 (en) 1997-07-11 1998-07-10 Method for improved cleaning of substrate processing systems

Publications (1)

Publication Number Publication Date
KR20010021675A true KR20010021675A (ko) 2001-03-15

Family

ID=25402347

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007000233A KR20010021675A (ko) 1997-07-11 1998-07-10 기판 처리 시스템의 세척 방법

Country Status (7)

Country Link
US (1) US6125859A (ko)
EP (1) EP0995342A4 (ko)
JP (1) JP2001509639A (ko)
KR (1) KR20010021675A (ko)
AU (1) AU8384498A (ko)
TW (1) TW385494B (ko)
WO (1) WO1999003312A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100506107B1 (ko) * 2001-08-30 2005-08-04 가부시끼가이샤 도시바 반도체 제조 장치의 드라이클리닝 시기 판정 시스템,반도체 제조 장치의 드라이클리닝 방법, 반도체 제조장치의 드라이클리닝 시스템 및 반도체 장치의 제조 방법
KR101122978B1 (ko) * 2008-11-13 2012-03-16 도쿄엘렉트론가부시키가이샤 이물 검출 방법, 장치 및 기억 매체
US20220344135A1 (en) * 2021-04-27 2022-10-27 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6274058B1 (en) * 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6872322B1 (en) 1997-11-12 2005-03-29 Applied Materials, Inc. Multiple stage process for cleaning process chambers
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
DE19813757C2 (de) * 1998-03-27 2000-12-14 Siemens Ag Verfahren zur Herstellung einer mit Fluor belgten Halbleiteroberfläche
US6127271A (en) * 1998-04-28 2000-10-03 Balzers Hochvakuum Ag Process for dry etching and vacuum treatment reactor
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6259105B1 (en) * 1999-05-10 2001-07-10 Axcelis Technologies, Inc. System and method for cleaning silicon-coated surfaces in an ion implanter
JP3562997B2 (ja) * 1999-07-02 2004-09-08 シャープ株式会社 成膜方法
US6318381B1 (en) 1999-07-13 2001-11-20 Micron Technology, Inc. Methods of cleaning vaporization surfaces
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
TW451351B (en) * 2000-01-31 2001-08-21 Mosel Vitelic Inc Method of removing the polymer byproduct accumulated on the bottom electrode of the etch reaction chamber
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6676800B1 (en) * 2000-03-15 2004-01-13 Applied Materials, Inc. Particle contamination cleaning from substrates using plasmas, reactive gases, and mechanical agitation
US6527968B1 (en) * 2000-03-27 2003-03-04 Applied Materials Inc. Two-stage self-cleaning silicon etch process
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US6564810B1 (en) 2000-03-28 2003-05-20 Asm America Cleaning of semiconductor processing chambers
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6843258B2 (en) * 2000-12-19 2005-01-18 Applied Materials, Inc. On-site cleaning gas generation for process chamber cleaning
JP2002246381A (ja) * 2001-02-15 2002-08-30 Anelva Corp Cvd方法
US6939579B2 (en) * 2001-03-07 2005-09-06 Asm International N.V. ALD reactor and method with controlled wall temperature
US6545245B2 (en) * 2001-05-02 2003-04-08 United Microelectronics Corp. Method for dry cleaning metal etching chamber
US7028696B2 (en) * 2001-05-04 2006-04-18 Lam Research Corporation Plasma cleaning of deposition chamber residues using duo-step wafer-less auto clean method
US20030005943A1 (en) * 2001-05-04 2003-01-09 Lam Research Corporation High pressure wafer-less auto clean for etch applications
US6752166B2 (en) * 2001-05-24 2004-06-22 Celerity Group, Inc. Method and apparatus for providing a determined ratio of process fluids
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
US6902629B2 (en) * 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US20030221708A1 (en) * 2002-06-04 2003-12-04 Chun-Hao Ly Method of cleaning a semiconductor process chamber
US7588036B2 (en) * 2002-07-01 2009-09-15 Applied Materials, Inc. Chamber clean method using remote and in situ plasma cleaning systems
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
JP4411215B2 (ja) * 2002-11-11 2010-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
DE10310524A1 (de) * 2003-03-11 2004-09-23 Micronas Gmbh Verfahren zum Ätzen einer Probe sowie Ätzanlage
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7112546B2 (en) * 2003-09-02 2006-09-26 Texas Instruments Incorporated Method of manufacturing semiconductor devices comprising a deposition tool cleaning process having a moving plasma zone
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
JP4541739B2 (ja) * 2004-03-26 2010-09-08 株式会社日立国際電気 半導体装置の製造方法、クリーニング方法及び半導体装置の製造装置
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
WO2006130838A2 (en) * 2005-06-02 2006-12-07 Applied Materials, Inc. Methods and apparatus for incorporating nitrogen in oxide films
JP2007051002A (ja) * 2005-08-19 2007-03-01 Kyocera Mita Corp 用紙カセット
TW200742506A (en) * 2006-02-17 2007-11-01 Noritsu Koki Co Ltd Plasma generation apparatus and work process apparatus
US7569111B2 (en) * 2006-04-19 2009-08-04 United Microelectronics Corp. Method of cleaning deposition chamber
WO2007142850A2 (en) * 2006-06-02 2007-12-13 Applied Materials Gas flow control by differential pressure measurements
TW200816881A (en) * 2006-08-30 2008-04-01 Noritsu Koki Co Ltd Plasma generation apparatus and workpiece processing apparatus using the same
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US8118946B2 (en) 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
US20090151872A1 (en) * 2007-12-17 2009-06-18 Tugrul Samir Low cost high conductance chamber
US8911559B2 (en) * 2008-09-22 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method to pre-heat and stabilize etching chamber condition and improve mean time between cleaning
US20100074810A1 (en) * 2008-09-23 2010-03-25 Sang Hun Lee Plasma generating system having tunable plasma nozzle
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US7921804B2 (en) * 2008-12-08 2011-04-12 Amarante Technologies, Inc. Plasma generating nozzle having impedance control mechanism
US20100201272A1 (en) * 2009-02-09 2010-08-12 Sang Hun Lee Plasma generating system having nozzle with electrical biasing
JP4875116B2 (ja) * 2009-03-13 2012-02-15 株式会社日立国際電気 半導体装置の製造方法
US20100254853A1 (en) * 2009-04-06 2010-10-07 Sang Hun Lee Method of sterilization using plasma generated sterilant gas
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
TWI488234B (zh) * 2010-06-29 2015-06-11 Global Unichip Corp 利用氧氣中和釋放晶圓上堆積之電荷
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
JP5179624B2 (ja) * 2011-04-22 2013-04-10 シャープ株式会社 成膜装置のクリーニング方法および成膜方法
JP5179623B2 (ja) * 2011-04-22 2013-04-10 シャープ株式会社 成膜装置のクリーニング方法および成膜方法
US20140069459A1 (en) * 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN105190847A (zh) 2013-03-08 2015-12-23 应用材料公司 具有适于保护抵抗氟等离子体的保护涂层的腔室部件
US20210319989A1 (en) * 2020-04-13 2021-10-14 Applied Materials, Inc. Methods and apparatus for processing a substrate
US20230073011A1 (en) * 2021-09-03 2023-03-09 Applied Materials, Inc. Shutter disk for physical vapor deposition (pvd) chamber

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6348832A (ja) * 1986-08-19 1988-03-01 Tokyo Electron Ltd Cvd装置
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JP2662688B2 (ja) * 1987-10-16 1997-10-15 株式会社 半導体エネルギー研究所 被膜作製方法
FR2631258B1 (fr) * 1988-05-10 1991-04-05 Prestations Services Sps Procede de nettoyage en surface par plasma differe
US5211796A (en) * 1990-01-08 1993-05-18 Lst Logic Corporation Apparatus for performing in-situ etch of CVD chamber
JPH03261138A (ja) * 1990-03-09 1991-11-21 Mitsubishi Electric Corp 半導体装置のクリーニング方法およびクリーニング装置
JPH04165075A (ja) * 1990-10-25 1992-06-10 Canon Inc 化学蒸着装置のクリーニング法
JPH04302426A (ja) * 1991-03-29 1992-10-26 Sony Corp デジタル・エッチング方法
JPH0590180A (ja) * 1991-07-26 1993-04-09 Fuji Electric Co Ltd プラズマcvd処理装置のドライクリーニング方法
DE4132561C2 (de) * 1991-09-30 1994-08-18 Siemens Ag Verfahren zur plasmaunterstützten Scheibenreinigung bei der Herstellung integrierter Halbleiterschaltungen
JPH06318580A (ja) * 1993-05-07 1994-11-15 Fuji Electric Co Ltd ドライクリーニング方法
US5491172A (en) * 1993-05-14 1996-02-13 Warner-Lambert Company N-acyl sulfamic acid esters (or thioesters), N-acyl sulfonamides, and N-sulfonyl carbamic acid esters (or thioesters) as hypercholesterolemic agents
US5413670A (en) * 1993-07-08 1995-05-09 Air Products And Chemicals, Inc. Method for plasma etching or cleaning with diluted NF3
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5454903A (en) * 1993-10-29 1995-10-03 Applied Materials, Inc. Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
JP3466744B2 (ja) * 1993-12-29 2003-11-17 株式会社東芝 洗浄機能付き荷電ビーム装置および荷電ビーム装置の洗浄方法
JPH0831752A (ja) * 1994-07-15 1996-02-02 Toshiba Corp Cvd装置の反応室のクリーニング方法およびコーティング方法
JP3107275B2 (ja) * 1994-08-22 2000-11-06 東京エレクトロン株式会社 半導体製造装置及び半導体製造装置のクリーニング方法
US5503676A (en) * 1994-09-19 1996-04-02 Lam Research Corporation Apparatus and method for magnetron in-situ cleaning of plasma reaction chamber
JP3404434B2 (ja) * 1994-09-19 2003-05-06 株式会社日立製作所 マイクロ波プラズマ装置のクリーニング方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100506107B1 (ko) * 2001-08-30 2005-08-04 가부시끼가이샤 도시바 반도체 제조 장치의 드라이클리닝 시기 판정 시스템,반도체 제조 장치의 드라이클리닝 방법, 반도체 제조장치의 드라이클리닝 시스템 및 반도체 장치의 제조 방법
KR101122978B1 (ko) * 2008-11-13 2012-03-16 도쿄엘렉트론가부시키가이샤 이물 검출 방법, 장치 및 기억 매체
US8243265B2 (en) 2008-11-13 2012-08-14 Tokyo Electron Limited Method and apparatus for detecting foreign materials and storage medium
US20220344135A1 (en) * 2021-04-27 2022-10-27 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus
US11837448B2 (en) * 2021-04-27 2023-12-05 Applied Materials, Inc. High-temperature chamber and chamber component cleaning and maintenance method and apparatus

Also Published As

Publication number Publication date
TW385494B (en) 2000-03-21
EP0995342A4 (en) 2004-04-28
EP0995342A1 (en) 2000-04-26
WO1999003312A1 (en) 1999-01-21
JP2001509639A (ja) 2001-07-24
US6125859A (en) 2000-10-03
AU8384498A (en) 1999-02-08

Similar Documents

Publication Publication Date Title
KR20010021675A (ko) 기판 처리 시스템의 세척 방법
US6068729A (en) Two step process for cleaning a substrate processing chamber
US6026762A (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
US5849092A (en) Process for chlorine trifluoride chamber cleaning
KR100798552B1 (ko) 화학 기상 증착된 필름의 인-시츄 후증착 표면 부동태화 방법
JP4402291B2 (ja) 基板処理システムおよび基板上に被膜を堆積させるための方法
US7654224B2 (en) Method and apparatus for cleaning a CVD chamber
US8075789B1 (en) Remote plasma cleaning source having reduced reactivity with a substrate processing chamber
JP4484997B2 (ja) 加速プラズマ洗浄
US6079426A (en) Method and apparatus for determining the endpoint in a plasma cleaning process
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US20030066486A1 (en) Microwave heat shield for plasma chamber
KR100527811B1 (ko) Cvd챔버내의과도한알루미늄축적을최소화하기위한방법및장치
KR20010032726A (ko) 현장 예비세정 단계를 포함하는 웨이퍼상의 티타늄화학기상증착
EP1156511A1 (en) Remote plasma CVD apparatus
US20010042513A1 (en) Apparatus for improved remote microwave plasma source for use with substrate processing systems
US6932092B2 (en) Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid