JP4402291B2 - 基板処理システムおよび基板上に被膜を堆積させるための方法 - Google Patents

基板処理システムおよび基板上に被膜を堆積させるための方法 Download PDF

Info

Publication number
JP4402291B2
JP4402291B2 JP2000523394A JP2000523394A JP4402291B2 JP 4402291 B2 JP4402291 B2 JP 4402291B2 JP 2000523394 A JP2000523394 A JP 2000523394A JP 2000523394 A JP2000523394 A JP 2000523394A JP 4402291 B2 JP4402291 B2 JP 4402291B2
Authority
JP
Japan
Prior art keywords
frequency
waveform
chamber
low frequency
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000523394A
Other languages
English (en)
Other versions
JP2001525601A (ja
Inventor
セバスチャン ラオックス,
マンダール マドゥホルカール,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001525601A publication Critical patent/JP2001525601A/ja
Application granted granted Critical
Publication of JP4402291B2 publication Critical patent/JP4402291B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Description

【0001】
関連出願の相互参照
この出願は、Sebastien Raoux, Mandar Mudholkar, William N. Tayler, MarkFodor, Judy Huang, David Silvetti, David Cheung 及び Kevin Fairbairnを共同発明者として、「混合周波数CVDプロセス及び装置(MIXED FREQUENCY CVD PROCESS AND APPARATUS)」と題し同時に出願され普通に譲渡された特許出願と:Sebastien Raoux, Mandar Mudholkar 及び William N. Taylerを共同発明者として、「調整可能なインピーダンスを有する基板処理チャンバ(SUBSTRATE PROCESSINGCHAMBER WITH TUNABLE IMPEDANCE)」と題し同時に出願され普通に譲渡された特許出願と:Sebastien Raoux, Mandar Mudholkar 及び William N. Taylerを共同発明者として、「チャンバインピーダンスを監視し調整する方法及び装置(METHOD AND APPARATUS FOR MONITORING AND ADJUSTING CHAMBER IMPEDANCE)」と題し同時に出願され普通に譲渡された特許出願とに関係している。また、本出願は、Jun Zhao, Charles Dornfest, Talex Sajoto, Leonid Selyutin, Stefan Wolff, Lee Luo, Harold Mortensen 及び Richard Palickaを共同発明者として「RF機能を有する高温セラミックヒータアセンブリ及び関連方法(A HIGH TEMPERATURE CERAMICHEATER ASSEMBLY WITH RF CAPABILITY AND RELATED METHODS)」と題し、1997年2月12日に出願された米国特許願第08/800,096号に関連している。上述した関連出願の各々は、本発明の譲受人であるアプライドマテリアルズ社(Applied Materials, Inc.)に譲渡されており、上述の各関連出願は、参照によってこの明細書に組み込まれる。
【0002】
発明の背景
本発明は、真空チャンバにおける化学蒸着による集積回路の製造に関するものである。特に、本発明は、低温度(例えば約400℃)及び高温度(例えば、約580℃を超える)処理の双方を使用して高品質CVD被膜の形成を可能とする方法及び装置に関するものである。本発明は、りん化ホウ素ケイ酸塩ガラス,りんケイ酸塩ガラス及びフッ素ドープ処理ケイ酸塩ガラスのようなドープ処理酸化ケイ素と同様に、酸化ケイ素,窒化ケイ素,酸窒化物ケイ素及び非晶質ケイ素のPECVD及びSACVD堆積を含む、TEOS(テトラエチルオルソシリケート)及びシランベースの化学物質の堆積に有用である。しかし、本発明は、他の堆積化学物質と共に使用してもよい。
【0003】
最近の半導体デバイスの製造における主要ステップの1つは、ガスの化学反応により半導体基板上に薄膜を形成することである。このような堆積プロセスは化学蒸着即ちCVDと呼ばれている。通常の熱CVDプロセスは、反応ガスを基板表面に供給し、そこで熱により招来された化学反応が起きて所望の被膜を形成する。
【0004】
基板を覆って層を堆積させる別の方法は、プラズマ促進CVD(PRCVD)技術を含むものである。プラズマ促進CVD技術は、無線周波もしくは高周波(RF)エネルギを反応領域に加えることによって反応ガスの励振及び/又は解離を促進し、これによりプラズマを生成する。プラズマにおける化学種の高反応性のため、化学反応を起こすのに必要なエネルギが減少し、従って、かかるCVDプロセスのために必要な温度が通常の熱CVDプロセスと比較して低下する。PECVDプロセスの相対的に低い温度は、集積回路を製造する際に半導体製造者が熱に関する総予算を下げるのに役立つ。
【0005】
半導体デバイスは数十年前に初めて導入されたので、その外形寸法の大きさは劇的に減少されてきた。その後、集積回路は18ヶ月/サイズ半減のルール(ムーアの法則(Moore's Law)としばしば呼ばれる)に概ね従ってきた。これは、1つのチップに適合するデバイスの数が18ヶ月毎に4倍になることを意味している。現今のウェーハ製造プラントは、0.5-μmの機能及び0.25-μmの機能さえ有する集積回路を日常的に製造しているが、明日のプラントはより小さい寸法を有するデバイスを直ぐ製造することになろう。
【0006】
このような寸法の減少が可能になったのは、一部には、PECVD処理に使用される基板処理チャンバのような半導体製造装置に関連した技術の進歩のためである。これらの技術的進歩のあるものは、今日の製造設備において使用中のCVD堆積システムの設計及び製造に反映された進歩であり、技術的進歩の他のものは、多段階の開発途中にあり、明日の製造設備においてまもなく広範に普及することになろう。
【0007】
今日の製造設備において普通に使用されている技術的進歩の1つは、混合周波数PECVDとしばしば呼ばれるPECVD技術の使用であり、この混合周波数PECVDにおいては、高及び低周波RF電力の双方を用いてプラズマを発生させ、基板のイオン衝撃を促進している。かかる混合周波数方法の1つは、高及び低周波RF電力の双方を第1電極として機能する金属製のガス分配マニホルドに接続する。この方法においては、高周波RF電力の印加が反応ガスを解離する第1の手段であり、一方、低周波RF電力の印加が基板のイオン衝撃を促進することになる。基板は、第2電極としても機能する接地された基板支持体上に配置されている。別の混合周波数方法では、高周波RF電力をガス分配マニホルド(第1電極)に接続し、低周波RF電力を基板ホルダ(第2電極)に接続する。
【0008】
現在入手しうるPECVD堆積チャンバにおいて用いられる別の技術的進歩には、チャンバに導入されたガスの解離を増すために、ガス分配マニホルドにある円錐形の孔を使用するものがある。該円錐形の孔についての詳細な説明は、メイチャン,ダビッドワン,ジョンホワイト,ダンメイダン(Mei Chang, David Wang, John White, Dan Maydan)を共同発明者とする「入口マニホルド,並びにガス解離を促進するため及び誘電被膜のPECVDのための方法(INLET MANIFOLD AND METHODS FORINCREASING GAS DISSOCIATION AND FOR PECVD OF DIELECTRIC FILMS)」と題する米国特許第4,854,263号明細書に含まれている。この4,854,263号特許は、本出願人の譲受人であるアプライドマテリアルズ社(Applied Materials, Inc.)に譲渡されており、参照によってこの明細書に組み込まれる。
【0009】
上述したものよりも最近の技術的進歩の例は、CVDチャンバにおけるセラミックの使用であり、リアクタを高温作動状態で使用することを可能にする。かかる高温処理のため特別に設計されると共に、チャンバのその他の特徴の中でも特にセラミックヒータアセンブリを含むCVDチャンバの一例が、上に言及した米国特許願第08/800,896号に記載されている。
【0010】
上述した技術的進歩のような技術の進歩が無制限に受け入れられるわけではない。例えば、混合周波数PECVD技術は多数の適用例において非常に有利であることが証明されていたが、高及び低周波波形を同時に適用するには、高電圧となってガス分配マニホルドのところにアーク放電を生じさせうる干渉を避けるように制御されねばならない。アーク放電は、ガス分配マニホルドにある孔内の白熱光や、高周波電圧の振幅が増すときの堆積速度の減少によって証明しうる。アーク放電は、一般的に、次の技術のうちの1つかそれ以上を使用して回避される。即ち、真空チャンバ内の圧力を特定のプロセスについての最小(de minimis)レベル以上に維持する技術,低周波RF電力を総RF電力の30%以下に設定して作動する技術,及び総RF電力を減少する技術である。
【0011】
以前は、高及び低周波RF電源の双方をガス分配マニホルドに接続せしめていた混合周波数PECVDチャンバにおいて円錐形の孔を採用し、実験が行われていた。これらの実験で、アーク放電の問題は、被膜堆積を実質的に妨げる程まで更に拡大することが分かった。従って、本発明者が知っている全ての混合周波数PECVDシステムは、ガス分配マニホルドにおいて円錐形というよりも円筒形の孔を使用している。
【0012】
従って、半導体製造者が円錐形の孔と混合周波数PECVD堆積技術との利点を同時に享受しうるようにする基板堆積チャンバのための技術を開発することが望ましい。
【0013】
発明の概要
本発明は、基板上にCVD被膜を堆積させるための改良された方法及び装置を提供する。この装置は、混合周波数RF電力を採用しており、円錐形の孔のあるガス分配マニホルドを含んでいる。アーク放電のための電位もしくはポテンシャルは、低周波RF電源を基板ホルダに埋め込まれた電極に接続すると共に、高周波RF電源を電極としても機能するガス分配マニホルドに接続することにより、大幅に低減される。独立した整合ネットワークが低周波波形を高周波波形から減結合して、これらの波形間の位相干渉を最小にしている。
【0014】
これらの特徴もしくは機能が結合して、先行技術の基板処理チャンバでは達成不可であった条件で堆積プロセスを進行させることが可能になり、また、本発明の基板処理装置が0.25及び0.18μmプロセスを含む0.35μm以下のプロセスにおいて使用することが可能になる。
【0015】
本発明の一実施例による基板処理システムは、埋設されたRF電極を有するセラミック製基板ホルダと、該基板ホルダから離間したガス分配マニホルドとを含む。ガス分配マニホルドは、1つかそれ以上のプロセスガスを複数の円錐形の孔を介して処理システム内の基板処理チャンバの反応領域に供給すると共に、第2のRF電極としても作動する。各円錐形の孔は、反応領域に開口する出口と、この出口よりも直径の小さい、該出口から離れて配置された入口とを有する。混合周波数RF電源は、高周波RF電源をガス入口マニホルド電極に接続させ低周波RF電源を基板ホルダ電極に接続させて、基板処理システムに結合されている。RFフィルタ・整合ネットワークは高周波波形を低周波波形から減結合している。このような構成は、拡張プロセス状況(enlarged process regime)を可能とし、また以前には達成できなかった物理的特徴を有する窒化ケイ素被膜を含む被膜の堆積を可能とする。
【0016】
本発明の方法の好適な実施例においては、窒化ケイ素被膜が堆積される。シラン,アンモニア及び分子窒素を含むプロセスガスは円錐形の孔を有するガス分配マニホルドを介して導入され、プラズマは、混合周波数RF電力を使用してプロセスガスから形成される。高周波(HF)分はガス分配マニホルドに印加され、一方、低周波(LF)分は底部電極に印加される。低温処理条件下でこの実施例により堆積される窒化ケイ素被膜は、2:1かそれ以上のアスペクト比で優れたステップカバレージ特性を保持しながら、170Å/分程の低さのウエットエッチング速度(WER)を有することが証明された。また、高温処理条件(580℃を超える)下でこの実施例により堆積される窒化ケイ素被膜は、15Å/分かそれより低いWERを有しうることが証明された。
【0017】
これらの窒化ケイ素被膜の優れた物理的特性が達成されるのは、一部には、該被膜が他のチャンバにおいて以前には可能でなかった圧力及びRF電力レベルで堆積できるためである。例えば、もっと好ましい実施例においては、LF電力対総RF電力の比は50%以上であるが、別の好ましい実施例においては、窒化ケイ素堆積シーケンスは2〜5トルの間の圧力で行われる。また、上述の物理的特性が達成されるのは、一部には、円錐形の孔の使用によるためである。円錐形の孔の使用は、プラズマ密度を高めると共に、生成したプラズマのイオン化効率を高め、従って、NH3と比較して増量されたN2が被膜のプロセスガスにおいて使用される。プロセスガス中のNH3含有量の減少によって被膜における水素が低下し、WERが低くなる。
【0018】
別の実施例においては、三角形もしくは鋸歯状波形とも呼ばれる両極性の低周波非対称RF波形がイオン衝撃を制御するために使用されている。かかる非対称RF波形は、プラズマシースの不安定性を引き起こすことが本発明者により発見された高調波の形成を妨げながら、基板のところにおけるイオン衝撃を促進する。
【0019】
本発明のもう1つの実施例において、基板処理システムは、反応領域を有する堆積チャンバと、該堆積チャンバの反応領域内にプラズマを形成するためのプラズマ電源と、インピーダンス調整システムとを含んでいる。プラズマは第1のインピーダンスレベルを有し、これは、インピーダンス調整システムにより調整して第2のインピーダンスレベルにすることができる。かかる調整は付加的な「制御ノブ」として作用し、反応領域内で堆積する被膜の特性を変更もしくは調整するのにプロセス技術者が使用する別の方法を提供する。この実施例の好適な形態においては、インピーダンス調整システムは可変コンデンサを含む。
【0020】
本発明の更に別の実施例においては、基板処理システムは、反応領域を有する堆積チャンバと、基板処理の間、基板を反応領域内に保持しておくための基板ホルダと、反応領域にプロセスガスを供給するためのガス分配システムと、反応領域内にプロセスガスからプラズマを形成するためのプラズマ電源と、堆積チャンバに電気的に接続されると共に、プラズマのインピーダンスレベルを測定することができるインピーダンスモニタとを含んでいる。この基板処理システムはまた、測定したインピーダンスレベルを入力として受けるコンピュータプロセッサを含むことができる。該プロセッサは、ガス分配システム,圧力制御システム及び/又はRF発生器のような基板処理チャンバの種々のシステムに接続することができると共に、測定したインピーダンスレベルに応じて処理条件を調整することができる。このような調整は、チャンバの測定インピーダンスが操業の過程で変化する場合に、例えば、長期のウェーハ生産(例えば1ロットで2000ウェーハ)の最後近くに行うことができる。この例において、プロセッサは、チャンバのインピーダンスレベルが所定の範囲外にドリフトするならば、或いはドリフトするときに、処理条件を調整することができる。この調整には、チャンバ圧力,温度,プラズマ出力レベル(例えば、RF電力レベル)及び同様のプロセス変数の調整を含めることができる。また、基板処理システムがインピーダンス調整システムを含んでいれば、この調整は、該インピーダンス調整システムでチャンバのインピーダンスを直接に調整することを含むことができる。
【0021】
本発明のこれらの実施例及びその他の実施例、並びにその利点及び特徴については、以下の本文及び添付図面に関連して詳細に記載されている。
【0022】
発明の詳細な説明
本発明を更に理解するためには、以下に続く詳細な説明を参照すべきである。
【0023】
1.CVDシステム10のハードウエア
図1を参照すると、本発明によるCVDシステム10は、重要な種々の構成要素があるなかで、特に、反応チャンバ30と、真空システム88と、ガス分配システム89と、RF電源5と、熱交換システム6と、セラミック製ペデスタル32と、プロセッサ85とを含んでいる。本発明についての議論で特に重要なのは、ガス分配システム89から供給されるプロセスガスを反応チャンバ30の反応領域58に導入するガス分配マニホルド(入口マニホルドと呼ばれたり、「シャワーヘッド」と呼ばれたりもする)40の構造と、RF電源5の構造並びにそのマニホルド40及びペデスタル32に埋設された電極への接続とである。従って、これらの構成要素について先ず説明し、その後、CVDシステム10の他の構成要素について本発明を理解するために必要に応じて説明することにする。
【0024】
A.ガス分配システム89
続けて図1を参照して、ガス分配システム89は、ガスライン92A−Cを経由して反応チャンバ30にガスを分配する。このガス分配システム89は、ガス供給パネル90及びガス,液体又は固体供給源91A−C(所望ならば別の供給源を追加しうる)を含んでいる。該供給源は、特定の適用例に用いられる所望のプロセスに応じて変わりうるガス(例えばSiH4又はN2),液体(例えばTEOS)又は固体を収容している。一般に、各プロセスガスの供給ラインは、プロセスガスの流れを自動的に又は手動により遮断するのに使用できる遮断弁(図示せず)を含み、同様に、各供給ラインを通るガス又は液体の流量を測定するガス質量流量コントローラ(図示せず)を含んでいる。例えばシラン(SiH4),ヘリウム(He),窒素(N2)及び/又はその他のドーピングエージェントもしくは反応物源を含むプロセスガス及びキャリアガスが反応チャンバに供給される割合もしくは速度も、温度利用の液体又はガス質量流量コントローラ(MFC)及び/又は弁(図示せず)によって制御される。勿論、その他の化合物を堆積源及びクリーン源(clean source)として利用しうることが分かる。代替実施例において、プロセスガス及びキャリアガスが反応チャンバに供給される速度は、圧力利用の固定又は可変開口によって制御しうる。有毒ガス(例えばオゾンやハロゲン化ガス)がプロセスにおいて使用される場合、各供給ラインに数個の遮断弁を通常の配置で置くことができる。
【0025】
ガス供給パネル90は、混合のため供給源91A−Cから堆積プロセスガス及びキャリアガス(或いは気化液体)を受けて、供給ライン92A−C(図示しないが、その他のラインが在ってもよい)経由で、ガス給送カバープレート45にあるガス中央入口44に送る混合システムを有している。この特定実施例において、混合システム,この混合システムへの入口マニホルド,及びこの混合システムから中央入口44への出口マニホルドはニッケルで、或いはニッケルめっきしたアルミナのような材料で製作されている。
【0026】
液体供給源が使用される場合、当業者に知られているように、この供給源を反応チャンバ30に導入する多くの異なる方法がある。1つの方法は、液体をアンプル内に閉じ込めて加熱し、蒸気圧により、堆積プロセスに十分な安定した気化液体の流れをもたらすようにすることである。液体供給源を使用して供給ガスを導入する別の方法は、ヘリウムのようなキャリアガスを液体に通して泡立たせることである。更に別の方法は、測定した量の液体を気化してキャリアガスの流れに入れる液体噴射システムを使用することである。液体噴射システムは、泡形式の供給と比較して、ガス混合システムに導入される反応液体の量を大きく制御できるので、ある場合に好ましい。
【0027】
B.円錐形の孔42を有するガス分配マニホルド40
プロセスガスは、ガス給送カバープレート45にあるガスの中央入口44を介して反応チャンバ30内に噴射されて、第1のディスク形状のスペース48に流れ、そこから、バッフルプレート(或いはガス遮断プレート)52にある通路(図示せず)を経て第2のディスク形状のスペース54へ、シャワーヘッド40へと流れる。シャワーヘッド40は、プロセスガスを反応領域58内に噴射するための多数の孔もしくは通路42を含んでいる。
【0028】
好ましくは、各孔42は、前述したように参照によりこの明細書に組み込まれる米国特許第4,854,263号明細書に詳細に記載されているように、且つ図4Aに示すように、「円錐形の孔」である。図4Aは、シャワーヘッド40にある多くの孔の1つを代表する好適な円錐形の孔の略図である。各円錐形の孔は、処理の間、基板に対峙する円錐形部分50を有する。これらの孔は、シャワーヘッド40を通る層流を促進する大きさに作られている。ガス分配孔内の円錐形部分50の存在によって反応ガスの解離が改善され、プラズマ密度及びイオン化効率が増大する。この解離の改善は、N2のように分解の難しいガスが堆積に使用されている場合に、特に有益である。
【0029】
この明細書で使用しているような用語「円錐形の孔」は、ガス出口の直径がガス入口の直径よりも大きい反応領域58内にこの孔を介して導入されるガスの解離及び反応度を増すように設計された任意の孔を指している。従って、円錐形以外の垂直断面形状もここで使用されている「円錐形の孔」の意味の範囲内に含まれる。円錐形の孔の別の例は図4Bに示されている。図4Bに示された孔は、凹状の断面部分51を有する。更に他の垂直断面を有する孔、特に、凸状,放物線状,椀状,半楕円形状のものも使用できる。
【0030】
図1に戻って、プロセスガスはシャワーヘッド40にある孔42から噴射して、ウェーハ36の表面で反応するようにシャワーヘッドとペデスタルとの間の反応領域58に流入する。次いでプロセスガスの副産物は、ウェーハ36の縁部及び限流リング48を横断して半径方向外方に流れる。この限流リング46は、ペデスタル32が処理位置にあるとき、該ペデスタル32の上部周囲に配置される。ここから、プロセスガスは、環状アイソレータ64の底部とチャンバ壁体ライナアセンブリ53の頂部との間に形成されたチョーク開口を通って、ポンピング通路60に流入する。
【0031】
真空システム88は、特定圧力を反応チャンバ内に維持すると共に、この反応チャンバからガス状副産物及び使用済みガスを除去するために使用される。真空システム88は真空ポンプ82とスロットル弁83とを含んでいる。排ガスは、ポンピング通路60に入ると、真空ポンプ82により真空引きされるべく、プロセスチャンバの周囲の回りに送られる。ポンピング通路60は、排気開口74を介してポンピングプレナム76に接続されている。排気開口74は、ポンピング通路とポンピングプレナムとの間の流れを制限する。弁78は、排気口80を通る真空ポンプ82への排気をゲートで制御する。スロットル弁83は、メモリー86に保管された圧力制御プログラムに従ってプロセッサ85により制御される。即ち、プロセッサ85は、マノメータのような圧力検出器(図示せず)からの測定信号をメモリーに保管されている或いは制御プログラムに従って発生される所望値に対して比較する。ポンピング通路60とその構成要素は、プロセスガスと副産物とを排気システム内へ指向させることにより、所望されていない膜堆積の影響を最小にするように設計されている。
【0032】
C.セラミック製ペデスタル32
図1に戻って、抵抗加熱されるセラミック製ペデスタル32は、ウェーハポケット34内にウェーハ36を支持する。ペデスタル32は、自己調節式昇降機構を用いて、処理位置(例えば、図1に示されている)と下方の装荷位置との間で垂直方向に移動しうる。この自己調節式昇降機構は、「自己整列昇降機構(Self-Aligning Lift Mechanism)」と題する共有に係る米国特許願第08/738,240号(発明者:レオニド,セリューチン(Leonid Selyutin)及びユン,チャオ(JunZhao)で1996年10月25日に出願)に詳細に記載されている。ペデスタル32が下方の装荷位置(スリットバルブ56のところよりも若干下方)にあると、ロボットブレード(図示せず)は、昇降ピン及び昇降リングと協働して、ウェーハ36をスリットバルブ56を介して反応チャンバ30の内外へ搬送する。これは、スリットバルブ56を介する反応チャンバの内外へのガスの流れを防止するために真空封止することができる。昇降ピン38は挿入されたウェーハ(図示せず)を持ち上げてロボットブレードから離し、次いでペデスタルが上昇してウェーハを持ち上げて昇降ピンから離し、ペデスタルの上側表面にあるウェーハポケットに置く。適当なロボット搬送アセンブリはメイダン(Maydan)等に発行された共有に係る米国特許第4,951,601号明細書に記載されている。自己整列昇降機構の使用により、ペデスタル32はその後更にウェーハ36を持ち上げて、ガス分配マニホルド40の直ぐ近くにある処理位置に入れる。
【0033】
ペデスタル32の簡略化した断面図である図2に示すように、セラミック製ペデスタル32は、埋設モリブデンメッシュのような埋設RF電極22と、埋設モリブデンワイヤコイルのような加熱素子33とを含んでいる。セラミック製ペデスタル32は、好ましくは窒化アルミニウムから形成されると共に、セラミック支持ステム26に好ましくは拡散接合されている。セラミック支持ステム26は、昇降モータに係合する水冷アルミニウム軸28(図2には示していないが、図1に示してある)に取り付けられている。セラミック支持ステム26及びアルミニウム軸28は、ニッケルロッド25により占められた中央通路を有しており、該ロッド25が低周波数のRF電力を埋設電極22に伝達する。この中央通路は、金属同士の接続部における腐食作用とアーク放電とを防止するため、大気圧に維持されている。
【0034】
図3は、セラミック基板ホルダ32に埋設されたRF電極22にRF電力を供給するための好適な金属/セラミック接続部の概略図である。図3に示すように、ニッケルロッド25は、基板ホルダ32に形成されためねじ部に係合するおねじ部29を有するニッケル製のアイレット27にろう付けされている。カバープラグ28はこのアイレット27内でニッケルロッド25の端部にろう付けされている。モリブデンペレット26はRF電極22と接触してAlN基板ホルダと互いに焼結されている。その後カバープラグ28はモリブデンペレット26にろう付けされ、ニッケルロッド25をRF電極22に固着する。銀/チタンろう付け合金が好ましい。
【0035】
セラミック製のペデスタル32は、RF電極22を基板ホルダの表面下に一様な深さで埋設することによって一様な静電容量もしくはキャパシタンスを提供するように、製作されている。RF電極22は、該RF電極22を覆う薄いセラミック層の割れ或いははく離を避けながら最大の静電容量を与えるため、セラミック材料に依存するある最小の深さのところに配置されるのが好適である。1つの実施例においては、RF電極22はペデスタル32の上面の真下の約40 milに埋設されている。
【0036】
D.RF電源5及びフィルタ・整合ネットワーク
RF電源5は、プラズマ促進プロセスのため反応チャンバに低及び高周波(RF)電力を供給する。図5は、高周波RF電源12及び低周波RF電源17を含む外部RF回路を示す概略回路図である。この回路は、図1に示した反応チャンバ30のガス分配マニホルド40に高周波RF電力を入力し、基板ホルダ32に埋設されたRF電極22に低周波RF電力を入力する。
【0037】
高及び低周波RF波形は、高域及び低域フィルタのネットワークにより減結合されている。低周波振幅は、基板ホルダの表面に配置されたプラズマシースのところで最大にされ、ガス分配システムのところで最小にされる。高周波振幅は、ガス分配システムに近いプラズマシースところで最大にされ、そして高周波電圧は基板ホルダの表面のところで最小にされる。ガス分配システムは低周波RF電力に対しては「仮想接地」され、そして電圧はアーク放電を防止するため最小にされる。低及び高周波RF電力の減結合は、低周波電力の増加につれて自己DCバイアスが減少する結果になる。従って、イオンエネルギは、低部のプラズマシースを介して低周波RF信号電圧振幅により直接に制御される。
【0038】
高及び低周波RF電力間の干渉は、高周波RFでのインピーダンスと減結合された低周波のインピーダンスを整合させる外部RF整合ユニットにより頂部及び底部プラズマシース間で最小にされる。さもないと、干渉により電極のところに高電圧が発生して、ガス分配システムのところにアーク放電が生じて、シャワーヘッドが損傷する。低周波の底部プラズマシース及び主として高周波数の頂部プラズマシースの使用は、外部RF回路により所望に応じて増減されうる高調波振動周波数を引き起こす。
【0039】
図5に示すように、高周波RF電源12は、高周波インピーダンス整合ユニット13と、該高周波RF電源12を低周波RF電力から保護する高域フィルタ14とに接続されている。また、高周波RF電源12は、低域フィルタ16によって低周波接地回路15から保護されている。低周波RF電源17は、該低周波RF電源を高周波数RF電力から保護する低域フィルタ18に接続されている。低周波入力は、高域フィルタ20を含む高周波接地回路19から保護されている。
【0040】
図5に示した構成により提供されるRF電力の分配は、電子衝撃の効率的解離のために13.56MHz電圧振幅を最大にすると共に、シャワーヘッド電極のところでの低周波振動を最小にするように設計されている。実際に、下記に詳述するように、本発明者は、プラズマ不安定性及び微小アーク放電もしくはアーキング(micriarcing)を防止するために、シャワーヘッド40にある円錐形の孔のところで低及び高周波間の位相及びポテンシャル干渉を最小にすべきであることを知見した。また、RFネットワークは、13.56MHz信号に対する「仮想接地」を表わす下側電極のところで低周波ポテンシャルを最大にするように設計されている。これは、下側電極での13.56MHzの振動を制限すると共に、自己DCバイアス(<20V)の形成を防止するので、イオンエネルギをLF電圧振幅により直接に制御することができる。その結果、ウェーハ表面でのチャージング効果の低減及びプラズマが招来する損傷の低減になりうる。
【0041】
一般に、高調波の生成を妨げることが望ましいが、ある実施例においては、プラズマシース内に発生した高調波を増幅するために上述した外部整合回路を使用することが可能である。例えば、高調波の周波数及び振幅は、底部RF整合でコンデンサ20の外部キャパシタンスを変更することにより、調整することができる。集積回路評価用シュミレーションプログラムSPICE(simulated programwhile integrated circuit emphasis)を用いた数学モデル化により、本発明者は、非常に強い共鳴もしくは共振を調整しうることを確認した。これらの計算から、コンデンサ20が1500pFのキャパシタンスを有するように選択されれば、高調波の共鳴周波数は約3MHzであり、そして振動の振幅は13.56MHzでの振動振幅よりも大きいことが証明された。この現象は、イオン共鳴に起因するとすることができ、このイオン共鳴が適切に調整されれば、堆積プロセスに所望のスパッタリング成分を導入するか、或いはプラズマにおける反応性の化学種の性質及び濃度を調整するのに使用することができる。
【0042】
E.その他の構成要素
図1に戻って、液体熱交換システム6は、反応チャンバから熱を除去すると共に、該反応チャンバのある部分を安定なプロセス温度のための適当な温度に維持するために、水とか、水及びグリコールの混合物のような液体熱交換媒体を採用している。該液体熱交換システム6は、反応チャンバ30の種々の構成要素に液体を分配して、高温処理の間、該構成要素を適当な温度に維持する。該液体熱交換システム6は、高温処理に由来する該構成要素上への不所望の堆積を最小にするために、該構成要素のうちのあるものの温度を減少させるように作用する。図1に見られるように、ガス分配カバープレート45内の熱交換通路79は、熱交換液体がガス分配カバープレート45を循環するのを許容し、かくしてこのガス分配カバープレート45並びに近くの構成要素の温度を維持する。液体熱交換システム6は、液体(例えば水)をシャワーヘッド40のあるガス分配システムに分配するため(後述する)、この液体を熱交換液体マニホルド(図示せず)を介して供給する複数の接続部(図示せず)を含んでいる。水流量検出器は、熱交換器(図示せず)から囲い体アセンブリへの水流量を検出する。
【0043】
スロットル弁83やペデスタル32のような可動の機械的アセンブリを移動させその位置を測定するために、モータや光センサ(図示せず)が使用されている。ペデスタル32の底部及び反応チャンバ本体部11に取り付けられたベローズ(図示せず)は、ペデスタルの周りに可動のガス密シールを形成する。ペデスタル昇降システム,モータ,ゲート弁並びにプラズマシステムは、オプションの遠隔プラズマシステム4(例えばマイクロ波源を使用して形成された遠隔プラズマを用いて、反応チャンバのクリーン性能を得るのに用いることができる)や、他のシステム構成要素も含め、後から詳細に記載するように、制御ライン3及び3A−Dを通してプロセッサ85によって制御される。なお、制御ラインは一部分のみが示されている。
【0044】
II.CVDシステム10のシステム制御
プロセッサ85は、該プロセッサ85に結合されたメモリ86に保管されたコンピュータプログラムであるシステム制御ソフトウエアを実行する。好ましくは、メモリ86はハードディスクドライブとすることができるが、他の種類のメモリとしてもよい。プロセッサ85は、メモリ86に加え、フロッピーディスクドライブやカードラック(card rack)を含んでいる。プロセッサ85はシステム制御ソフトウエアの制御下に動作するが、このシステム制御ソフトウエアには、タイミング,ガスの混合,ガス流量,反応チャンバ圧力,反応チャンバ温度,RF電力レベル,ヒータペデスタル位置,ヒータ温度及び特定プロセスのその他のパラメータを指令する命令集合が含まれている。しかし、プロセッサ85を動作させるのに、例えば、ディスクドライブ又は他の適切なドライブに挿入されるフロッピーディスクその他のコンピュータプログラム製品を含め、他のメモリに保管されたプログラムのようなコンピュータプログラムを使用してもよい。システム制御ソフトウエアについては詳細に後述することにする。カードラックは、シングルボードコンピュータ,アナログ/ディジタル入出力ボード,インターフェースボード及びステッパモータ制御ボードを含んでいる。CVDシステムもしくは装置10の様々な部分は、ボード,カードケージ及びコネクタの寸法及び形式について規定するVME(Versa Modular European)規格に一致する。このVME規格は、16ビットデータバス及び24ビットアドレスバスも規定している。
【0045】
ユーザー及びプロセッサ85の間のインターフェースは、図6に示したCRTモニタ93a及びライトペン93bを介している。図6は、システムモニタ及びCVDシステム10の簡略図であり、多チャンバシステムにおける複数のチャンバもしくはチャンバのうちの1つとして例示している。CVDシステム10は、主フレームユニット95に取り付けるのが好適であり、該ユニット95は、CVDシステム10のための電気,給排水及びその他支持機能を収容し提供する。CVDシステム10の図示実施例と両立しうる主フレームユニットの例は、カリフォルニア州サンタクララ所在のアプライドマテリアルズ社(Applied Materials, Inc.)から登録商標・プレシジョン(Precision)5000システム及び登録商標・センチュラ(Centura)5200システムとして、現在商業的に入手可能である。多チャンバシステムは、真空引きを中断することなく且つウェーハを多チャンバシステム外の湿分その他の汚染物質に露出することなく、ウェーハをチャンバとチャンバとの間に搬送する能力を有している。多チャンバシステムの利点は、該多チャンバシステムにおける異なるチャンバもしくはチャンバを全プロセスにおいて種々の異なる目的のために使用しうることである。例えば、1つのチャンバを金属被膜の堆積のために使用し、別の1つのチャンバを急速熱処理のために使用し、更に別の1つのチャンバを反射防止層の堆積のために使用しうる。プロセスが多チャンバシステム内で中断することなく進行しうることにより、ウェーハを異なるプロセス部分のため種々の別個の独立チャンバ(多チャンバシステムにおけるチャンバではない)間に搬送させるときに起きていたウェーハの汚染が防止される。
【0046】
好適な実施例においては、2つのモニタ93aが用いられており、一方はオペレータのためにクリーンルームの壁体に設けられ、他方はサービス技術者のため壁体の後方に設けられている。両方のモニタ93aが同じ情報を同時に表示してよいが、ライトペン93bは1つのみが可能化される。ライトペン93bは、CRTディスプレイから照射された光をライトペンの先端にある光センサで検出する。特定のスクリーン或いは機能を選択するために、オペレータはディスプレイのスクリーンの指定された領域に触って、ライトペン93bにあるボタンを押す。触れられた領域はその背景色が変化し、或いは新しいメニューもしくはスクリーンが表示され、ライトペン及びディスプレイスクリーン間の通信を確認する。勿論、ユーザーがプロセッサ85と通信するために、キーボード,マウス,或いは他のポインティングもしくは通信デバイスのような他のデバイスをライトペン93bの代わりに或いは該ライトペンに加えて使用しうる。
【0047】
被膜を堆積させるプロセス及びチャンバをドライクリーニングするプロセスは、プロセッサ85により実行できるコンピュータプログラム製品を用いて行うことができる。コンピュータプログラムのコードは、例えば68000アセンブリ言語,C1C++,パスカル,フォートラン或いはその他の言語のような通常のコンピュータ読出し可能プログラミング言語で書くことができる。適当なプログラムコードは、通常のテキストエディターを用いて単一のファイルに或いは複数のファイルに入力され、そしてコンピュータのメモリのようなコンピュータで使用可能な媒体に保管され、或いは取り込まれる。入力したコードテキストが高水準言語で書かれていれば、コードはコンパイルされ、その結果得られたコンパイラコードが次いで予めコンパイルされたウィンドウズ(Windows)ライブラリルーチンの目的コードとリンクされる。システムユーザーは、リンクされ、コンパイルされた目的コードを実行するために、この目的コードを呼び出して、コンピュータシステムがコードをメモリにロードするようにする。CPUは、このメモリからコードを読み取って実行し、プログラムにおいて識別されたタスクを遂行する。
【0048】
図7は、特定の実施例によるシステム制御ソフトウエアであるコンピュータプログラム160の階層的制御構造を例示するブロック図である。ユーザーは、CRTモニタに表示されたメニューに応答し、ライトペンインターフェースを用いて、プロセスセット番号とプロセスチャンバ番号とをプロセス選択サブルーチン161に入力する。特定のプロセスを行うために必要な所定セットのプロセスパラメータであるプロセスセットは、事前定義されたセット番号により識別される。プロセス選択サブルーチン161は、(i)所望のプロセスチャンバと、(ii)所望のプロセスを実行するためプロセスチャンバを作動するのに必要な所望セットのプロセスパラメータとを識別する。特定プロセスを実行するためのプロセスパラメータは、例えばプロセスガスの組成及び流量のようなプロセス状態,温度,圧力,高周波及び低周波RF電力レベル並びに高周波及び低周波RF周波数のようなプラズマ条件(加えるに、遠隔マイクロ波プラズマシステムを備えた実施例についてのマイクロ波発生器出力レベル),冷却ガス圧力及びチャンバ壁体の温度等に関係している。プロセス選択サブルーチン161は、反応チャンバ30においてある時間にどのタイプのプロセス(堆積,ウェーハ洗浄,チャンバ洗浄,チャンバ残留ガスの除去,リフローイング等)を行うかを制御する。ある実施例においては、1つ以上のプロセス選択サブルーチンがあってよい。プロセスパラメータは、レシピの形式でユーザーに提供され、ライトペン/CRTモニタインターフェースを利用して入力しうる。
【0049】
プロセスを監視するための信号は、システムコントローラのアナログ入力ボード及びデジタル入力ボードによって提供され、プロセスを制御するための信号は、CVDシステム10のアナログ出力ボード及びデジタル出力ボードに出力される。
【0050】
プロセス順序決定サブルーチン162は、プロセス選択サブルーチン161からの識別されたプロセスチャンバ及びプロセスパラメータのセットを受け付けると共に、種々のプロセスチャンバの動作を制御するためのプログラムコードを備える。複数のユーザーがプロセスセット番号及びプロセスチャンバ番号を入力することができ、或いは1人のユーザーが複数のプロセスセット番号及びプロセスチャンバ番号を入力することができるので、順序決定サブルーチン162は、選択されたプロセスを所望の順序にスケジュールするよう動作する。好適には、順序決定サブルーチン162は、(i)チャンバが使用中であるかどうか決めるためプロセスチャンバの動作を監視するステップと、(ii)使用中のチャンバにおいてどのプロセスが行われているのかを決めるステップと、(iii)行うべきプロセスの種類とプロセスチャンバの利用可能性に基づいて所望のプロセスを実行するステップとを遂行するためのプログラムコードを含んでいる。プロセスチャンバを監視するためにポーリングのような通常の方法を用いることができる。どのプロセスを実行すべきかスケジュールするときに、順序決定サブルーチン162は、選択したプロセスについての所望のプロセス条件,或いは各特定ユーザー入力要求の「時期」,或いはスケジュール優先順位を決めるためにシステムプログラマーが含めることを望んでいるその他の関連ファクターと比較して、使用されているプロセスチャンバの現在の状態を考慮に入れるように設計されている。
【0051】
一旦順序決定サブルーチン162がどのプロセスチャンバ及びプロセスセットの組合せを次に実行に移すべきかを決定したら、順序決定サブルーチン162は、特定のプロセスセットパラメータをチャンバ管理サブルーチン163a−cに通すことにより、プロセスセットの実行を開始する。チャンバ管理サブルーチンは、順序決定サブルーチン162によって決定されたプロセスセットに従ってプロセスチャンバ30における複数の処理タスクを制御する。例えば、チャンバ管理サブルーチン163bは、プロセスチャンバ30におけるCVD動作を制御するためのプログラムコードを備えている。また、チャンバ管理サブルーチン163bは、選択したプロセスセットを行うのに必要なチャンバ構成要素の動作を制御するための様々なチャンバ構成要素サブルーチンの実行を制御する。チャンバ構成要素サブルーチンの例は、基板位置決めサブルーチン164,プロセスガス制御サブルーチン165,圧力制御サブルーチン166,ヒータ制御サブルーチン167,プラズマ制御サブルーチン168である。CVDチャンバの特定構造に依存するが、ある実施例は上述のサブルーチンの全てを含み、他の実施例は上述したサブルーチンのうちのあるものだけを含む場合がある。当業者にとっては容易に認められるように、プロセスチャンバ30においてどんなプロセスを行うべきか次第で他のチャンバ制御サブルーチンを含めることができる。作動中、チャンバ管理サブルーチン163bは、実行中の特定のプロセスセットに従ってプロセス構成要素サブルーチンを選択的にスケジュールする、もしくは呼び出す。チャンバ管理サブルーチン163bは、順序決定サブルーチン162が次にどのプロセスチャンバ30及びプロセスセットを実行すべきかスケジュールするのと同様に、プロセス構成要素サブルーチンをスケジュールする。代表的には、チャンバ管理サブルーチン163bは、種々のチャンバ構成要素を監視するステップ,実行すべきプロセスセットについてプロセスパラメータに基づいてどの構成要素を作動させる必要があるか決定するステップ,並びに上述の監視ステップ及び決定ステップに応答してチャンバ構成要素サブルーチンの実行を開始するステップを含んでいる。
【0052】
特定のチャンバ構成要素サブルーチンの作動について図7を参照して説明することにする。基板位置決めサブルーチン164は、基板をペデスタル32上に装荷し、そして、選択的ではあるが、該基板とシャワーヘッド40の間の間隔を制御するために基板をチャンバ30において所望高さまで持ち上げるのに使用されるチャンバ構成要素を制御するプログラムコードを備えている。基板をプロセスチャンバ30内に装荷するとき、ヒータアセンブリ33は、降下してウェーハポケット34に基板を受け取り、次いで上記所望高さまで上昇する。作動中、基板位置決めサブルーチン164は、チャンバ管理サブルーチン163bから伝達される支持高さに関連したプロセスセットパラメータに応答して、ペデスタル32の運動を制御する。
【0053】
プロセスガス制御サブルーチン165は、プロセスガスの組成及び流量を制御するためのプログラムコードを有する。プロセスガス制御サブルーチン165は、安全遮断弁の開/閉位置を制御すると共に、所望のガス流量を得るため質量流量コントローラの調節を増減してゆく。プロセスガス制御サブルーチン165は、全てのチャンバ構成要素サブルーチンのように、チャンバ管理サブルーチン163bによって呼び出され、そしてチャンバ管理ファイルから所望のガス流量に関連したサブルーチンプロセスパラメータを受け取る。代表的には、プロセスガス制御サブルーチン165は、ガス供給ラインを開くことにより、また、繰り返して(i)必要な質量流量コントローラを読み取り、(ii)読取り値をチャンバ管理サブルーチン163bから受け取った所望の流量と比較し、(iii)ガス供給ラインの流量を必要に応じて調節することにより制御する。更に、プロセスガス制御サブルーチン163は、危険な流量についてガス流量を監視するステップと、危険状態が検出されたときに安全遮断弁を作動するステップとを含んでいる。また、プロセスガス制御サブルーチン165は、選択される所望のプロセス(洗浄又は堆積又はその他)に応じて、洗浄ガスについて並びに堆積ガスについてガス組成及び流量を制御する。代替実施例では、1つ以上のプロセスガス制御サブルーチンを有することができ、各サブルーチンが特定タイプのプロセス或いは特定セットのガス供給ラインを制御する。
【0054】
あるプロセスにおいては、窒素又はアルゴンのような不活性ガスをチャンバ30に流入させてチャンバ内の圧力を安定化させ、その後、反応性のプロセスガスを導入する。これらのプロセスのため、プロセスガス制御サブルーチン165は、チャンバ30内の圧力を安定化するのに必要な時間の間、不活性ガスをチャンバ30内に流入させるステップを含むようにプログラムされており、その後上述した諸ステップが遂行される。また、プロセスガスが液体である先駆物質、例えばTEOS(テトラエチルオルソシリケート)から気化されるべきときに、プロセスガス制御サブルーチン165は、バブラーもしくは気泡アセンブリにおいて液体である先駆物質を介してヘリウムのような分配ガスを気泡にするステップ、或いはヘリウムのようなキャリヤガスを液体噴射システムに導入するステップを含むように書き込まれる。バブラーがこのタイプのプロセスに用いられる場合、プロセスガス制御サブルーチン165は、所望のガス流量を得るために、分配ガスの流れと、バブラーにおける圧力と、バブラー温度とを調節する。上述したように、所望のプロセスガス流量は、プロセスパラメータとしてプロセスガス制御サブルーチン165に送られる。更に、プロセスガス制御サブルーチン165は、所定プロセスガス流量についての必要値を含む保管テーブルを評価することによって、所望プロセスガス流量に対して必要な分配ガス流量,バブラー圧力,バブラー温度を取得するステップを含んでいる。一旦必要な値が得られたら、分配ガス流量,バブラー圧力,バブラー温度を監視して、必要な値と比較し、それに応じて調整される。
【0055】
圧力制御サブルーチン166は、チャンバ30の排気システムにあるスロットル弁の開口の大きさを調節することによりチャンバ30における圧力を制御するためのプログラムコードを備えている。スロットル弁の開口度は、総プロセスガス流量,プロセスチャンバの大きさ,及び排気システムについてのポンピング設定値圧力に関する所望のレベルにチャンバ圧力を制御するように設定されている。圧力制御サブルーチン166が呼び出されると、チャンバ管理サブルーチン163bからパラメータとして所望の、即ち目標圧力レベルを受け取る。圧力制御サブルーチン166は、チャンバ30に接続された1つ以上の通常の圧力マノメータを読み取ることによりチャンバ30における圧力を測定し、測定値(単数又は複数)を目標圧力と比較し、保管した圧力テーブルから目標圧力に対応するPID(比例,積分,微分)値を取得して、上記圧力テーブルから得たPID値に従ってスロットル弁を調整する。或いは、圧力制御サブルーチン166は、チャンバ30におけるポンピング能力を所望レベルに調節してスロットル弁を特定開口度に開閉するように書き込むことができる。
【0056】
ヒータ制御サブルーチン167は、ペデスタル32(及びその上にある任意の基板)を抵抗加熱するのに使用されるヒータ要素107の温度を制御するためのプログラムコードを備えている。また、ヒータ制御サブルーチン167もチャンバ管理サブルーチンによって呼び出され、目標となる設定値温度パラメータを受け取る。このヒータ制御サブルーチンは、ペデスタル32内に配置された熱電対の電圧出力を測定することにより温度を測定し、そして測定温度を設定値温度と比較し、この設定値温度を得るように加熱ユニットに印加される電流を増減する。この温度は、保管した変換テーブルの対応温度を見ることにより、或いは四次多項式を用いて温度を計算することにより、測定した電圧から得られる。ペデスタル32の加熱に埋込みループ線が使用される場合、ヒータ制御サブルーチン167はこのループ線に印加される電流の増減を徐々に制御する。また、内蔵フェイルセーフモードは、プロセスの安全コンプライアンスを検出するために含めることができ、この内蔵フェイルセーフモードにより、プロセスチャンバ30が適切にセットアップされなければ加熱ユニットの作動を停止することができる。使用しうる代替的なヒータ制御の方法は、ジョナサンフランケル(Jonathan Frankel)を発明者として掲げて1996年11月13日に出願された「蒸着装置の温度を制御するためのシステム及び方法(Systems and Methods for Controlling the Temperatureof a Vapor Deposition Apparatus)と題する共有に係る出願中の米国特許願第08/746657号(出願人書類番号AM1680-8/T17000)に記載された増減制御アルゴリズムを使用する。なお、この米国特許願の開示内容は参照によってこの明細書に組み込まれる。
【0057】
プラズマ制御サブルーチン168は、チャンバ30にあるプロセス電極及びヒータアセンブリ32に印加される低及び高周波RF出力レベルを設定すると共に、用いられる低及び高RF周波数を設定するためのプログラムコードを備えている。前述したチャンバ構成要素サブルーチンと同様に、プラズマ制御サブルーチン168はチャンバ管理サブルーチン163bにより呼び出される。遠隔プラズマ発生器4を含む実施例については、プラズマ制御サブルーチン168はこの遠隔プラズマ発生器を制御するためのプログラムコードも含むことができる。
CVDシステム10によるCVD膜の堆積
本発明の装置の開発前には、孔42のような円錐形の孔を用いるシャワーヘッドは、混合周波数PECVD(プラズマ促進化学蒸着)プロセスにおいて効果的に使用することができないと一般に考えられていた。上述した発明の背景の欄において論じられているように、円錐形の孔があるシャワーヘッドと共に混合周波数RF電力を用いようとする既知の試みは、全て、高周波(HF)及び低周波(LF)電源の双方がプロセスチャンバにおいてシャワーヘッド(上側電極)に接続される状態を含んでいた。このような構成であると、LF及びHF波形の間に不安定な位相干渉が起きていた。これが上側電極に所望以上の電圧を与え、不所望のアーク放電になっていた。
【0058】
しかし、本発明の発明者は、低周波RF電源が基板の真下の電極に接続される底部電力供給電極構造を使用すると共に、前述したRFフィルタ・整合ネットワークを使用することにより、HF及びLF波形が十分に減結合され、以前に遭遇していた位相干渉問題を防止できることを発見した。この構成を使用すると、HF及びLF波形が波形間の干渉を最小にするように制御できる。本発明者は、干渉が最小になれば、孔42内でもしくはその近くでのアーク放電を回避しながら、円錐形の孔があるシャワーヘッドを混合周波数PECVDプロセスにおいて使用できることを知見した。
【0059】
混合周波数RF電力を使用すると共に円錐形の孔を使用することにより、多くの事例において、優れた物理的特性を有する被膜の堆積もしくは成長が可能になる。第1に、前述したように、真直ぐな孔というよりもむしろ円錐形の孔の使用によって、プロセスガスの解離の向上,プラズマの高密度化、イオン化効率の上昇等が可能になる。解離の向上は、用いられた1つ以上の供給ガス(例えばN2)が比較的に壊れ難い窒化ケイ素被膜及びその他の被膜堆積の場合に特に重要である。従って、一例として、窒化ケイ素被膜の堆積においては、円錐形の孔の使用により、NH3の量の減少になり、また窒素源として用いたN2の量の対応する増加になる。次にNH3の減少が、被膜に入り込む水素を減少させ、低いWERになる。窒化ケイ素被膜の堆積に本発明の装置を使用することについて以下に詳細に説明する。
【0060】
一方、低周波RF電源を含むことにより、基板及び堆積被膜を衝撃するのに使用されるイオンエネルギの制御が可能になる。このようなイオン衝撃の高い制御は、被膜密度を改善したり、一層よい応力制御を可能にしたり、低いWER(当業者にとっては言うまでもなく、堆積された被膜が6:1(体積で)BOE溶液においてエッチングされる割合)を提供したり、被膜全体の健全性を改善したりするのに使用できる。窒化ケイ素被膜の堆積において、かかる衝撃は、シラン及びアンモニアの反応で残る水素を置換でき、従って、被膜のWERを改善すると確信される。
【0061】
同様に、高及び低周波波形の減結合自体は、それ自体により改善をもたらす。例えば、上述したように、シャワーヘッド40の孔内のアーク放電はCVDプロセスにおいては問題である。一般に、アーク放電はチャンバの圧力が低くなればなるほど、高くなるよりも問題である。例えば、混合周波数RF電力と円錐形というよりも真直ぐの孔とを用いた先行技術の窒化ケイ素混合周波数PECVDプロセスの一例においては、チャンバ圧力が3トル以下のときにアーク放電が問題を起こしていた。従って、このプロセスは、低いWERを有する被膜の場合低い圧力で堆積できるので低い圧力が一般に望ましかったのではあるが、堆積圧力を3トルかそれ以上に限定していた。しかし、本発明の装置においては、低い堆積圧力がアーク放電なしに使用することができる。試験によると、円錐形の孔を有するシャワーヘッドを採用したときにだけ、2.5トルの圧力をアーク放電なしに使用することができた。
【0062】
減結合された高及び低周波波形は、被膜堆積において高周波電力よりも相対的に大きな量の低周波電力を用いることを可能にしている。例えば、上述した同じ先行技術の窒化ケイ素混合周波数プロセスにおいては、使用した低周波RE電力の量が使用された総RF電力の約35%よりも大きいときには何時でも、アーク放電が重大な問題となっていた。これは、円錐形の孔ではなしに真直ぐの孔がガスシャワーヘッドに用いられていても同様であった。本発明の装置において行われているように高及び低周波波形が減結合されれば、真直ぐな孔ではなく円錐形の孔が使用されても、同じ窒化ケイ素混合周波数プロセスを用いて、低周波RF電力の量をアーク放電なしに総計の約35%以上に増すことができる。本発明の装置は、使用される総RF電力の60%までの低周波RF電力で成功裡に使用することができた。前述のように、大きな低周波電力は、イオン衝撃の増大になり、従って、被膜品質を改善するのに使用することができる。しかし、装置の適用に際しては、下の層に対する衝撃の増大の影響を考慮することが重要である。例えば、所定被膜の堆積の間、衝撃の設定が高すぎれば、この衝撃により、先行して堆積されていた被膜が損傷を受けるかも知れないので、衝撃の増大が堆積したばかりの層の被膜特性を改善するとはいえ、生産量に害を与えることになる。これは特に、PMD被膜堆積中のゲート酸化物健全性(gate oxide integrity)に関係が深い。
【0063】
また、本発明者は、窒化ケイ素被膜の被膜特性に対するリアクタインピーダンスの影響について詳細な解析を行った。図8A−8Dはリアクタインピーダンスに対するプロセス圧力の影響を示している。図における各データポイントについて(圧力=1〜6トル)、2.0の屈折率及び-1.5×109ダイン/cm2の圧縮応力を有するSi34被膜を調整した。供給ガスとしてSiH4/NH3/N2をそれぞれ1:2:10の比で使用した。記憶したパラメータは:図8Aでは電圧振幅(頂部電極でVHF,底部電極でVLF);図8Bでは電流強度(ILF及びIHF);
Figure 0004402291
ンスプローブを使い、一方を高周波特性のために頂部に置き、他方を低周波測定のために底部に置いて行った。その結果、ΦHF〜-80°と比較されるΦLFの位相角〜-65°と同じように低周波でより高いインピーダンス値を示している。これは、低周波信号が加わることによってリアクタの「固有」容量性インピーダンス(Φv/i〜-90°)が変調されることを指示している。他の測定値は、低周波電力が印加されなければΦHF〜-87°であることを指示している。
【0064】
試験で使用した低周波信号(350kHz)はイオンプラズマ周波数(この例では800kHzと推定される)以下である。従って、イオン化した化学種がイオン運動を招来した低周波バイアスに応答した。このイオン運動が誘導分をプラズマに導入したので、低周波電力比が高ければ(例えばWLF/(WLF+WHF)>20%)、プラズマバルクインピーダンスを平行RC回路として記載することができない。後述する図11に示すように、リアクタインピーダンスはRLC回路として概略で記載することができる:(LはRと直列)Cと平行。
【0065】
イオンエネルギ(ウェーハの低周波ポテンシャルに比例する。Eion=qion×VL F)の注意深い制御により、ウェーハ表面での正確なイオン衝撃が可能である。しかし、図8Aは、ヒータ電極ポテンシャルが広範囲の圧力(2〜5トル)にわたり一定(460V)のままであることを示している。図8C及び図8Dは、リアクタインピーダンスが圧力に関係しており、位相角及びインピーダンス値が圧力が2トルであるときに最大であることを示している。この圧力はSiN4被膜において最小WERにも関係していることが分かった(図9参照)。被膜エッチング速度,位相角及びインピーダンス値間の相互関係は、被膜成長中の正確なイオン衝撃のため、そして窒化ケイ素被膜におけるWERの減少のような被膜特性の改良のため、リアクタインピーダンスの制御が重要なことを示している。同じ原理は、酸化ケイ素,酸窒化ケイ素(silicon oxynitride),炭化ケイ素,フッ素化非晶質炭素等を含むその他の被膜の堆積にも適用すべきである。
【0066】
リアクタインピーダンスは、LFバイアス周波数の関数としても特徴付けられる。LF周波数は正弦波形を使用して300kHzから950kHzへと変化させた。図10A〜10Dは低周波及び高周波での電極ポテンシャル,電流及びリアクタインピーダンスを示している。周波数の関数としての位相角及びインピーダンス値の測定は、簡単な素子を用いてリアクタをモデル化する機会をもたらした。位相角及びインピーダンス値の双方についての測定値を整合するために、SPICEシミュレーションを行った。図11は、モデル化した回路を示している。前述した整合ネットワークとは別に、プラズマバルク104と,2つの異なるプラズマシース100,102(これらの全ては図1に関して前述した反応領域58の一部である)とが図11に示されている。プラズマバルクは、イオン運動及び慣性を表わす大きなインダクタ値(L2=20μH)を有するRLC回路としてモデル化できる。頂部シースは帯電した化学種の発生を表わす電流発生器を構成している。ダイオード(D0)は電子流のみがこのシース部分を流れ得ることを正しく表わしている。I0(10A)の値は13.56MHzで測定された値と見事な一致関係にある(図10B)。
【0067】
底部シースは、第2のインダクタ(L0)がシースを通るイオン運動と引き起こされたイオン衝撃とを表わしている点を除いて、頂部シースと同様である。また、電流発生器(I1=0.9A)は測定値(ILF)と一致するように調整した。このモデルは、2つのシースが異なるという仮定(シャワーヘッドにおけるイオン化及び底部電極におけるイオン衝撃)と見事に一致している。セラミック製ペデスタル/ヒータは、プラズマインピーダンスと直列に配置した単なるコンデンサ106としてモデル化されている。ヒータ容量の公称値は、電極の深さが40milのときに、2500pHである。
【0068】
図12A及び12Bは、測定データ及びシミュレートしたデータの比較を示している。測定データ(各図における点)は、C3=2500pF(太線)で計算したモデルと良好な一致関係にある。C3は、ヒータポケットに金属板を置くと共に、当業者にとって言うまでもないようにキャパシタンスもしくは静電容量をネットワーク分析器で測ることによって測定した。他の曲線は、ヒータキャパシタンスを変えたときのリアクタインピーダンスに対する影響を示している。このデータから分かるように、ヒータ電極のキャパシタンスはリアクタインピーダンスに重要な影響をもっている。このキャパシタンスは、電極が埋め込まれている深さ(d)により求められる(C=εS/d,ここでεはAlNの誘電率であり、Sは電極表面積であり、dは電極深さである。)。従って、ヒータ製造過程中にこの電極深さを精確に制御することが重要である。
【0069】
本発明者は、上述した努力と特徴付けに基づいて、本発明のCVDシステム10に対する2つの付加的な改良を開発した。第1の改良は、CVDシステム10にインピーダンスチューナ108を追加することを含んでいる。インピーダンスチューナ108は、図11においてコンデンサ106(ペデスタル32)と直列に接続されるものとして示されており、所望の被膜特性を得る追加の制御「ノブ」としてリアクタ30のインピーダンスを調整するために、プロセス状態に依存して、インピーダンスチューナの値が調整できる。好適な実施例において、インピーダンスチューナ108は可変コンデンサである。1つの例では、このコンデンサは、全リアクタインピーダンスが600〜2000Ωの間に制御できるように選択されている。別の実施例においては、インピーダンスチューナ108は、コンデンサと平行に接続された可変インダクタを有するLC回路である。更に別の実施例においては、インピーダンスチューナ108はバイパススイッチ(図示せず)によりCVDシステム10から接続を断つことができる。
【0070】
第2の改良は、CVDシステム10にインピーダンスプローブ110(図1及び図5に示す)を追加することを含んでいる。インピーダンスプローブ110は2本のライン111A及び111Bによりチャンバ30に電気的に接続されている。ライン111Aはペデスタル32内に埋め込まれた下側電極22に電気的に接触する入力端子112Aに接続され、一方、ライン111Bは、上側電極であるカバープレート40に電気的に接触する入力端子112Bに接続されている。インピーダンスプローブ110は制御ライン3の1つを介してプロセッサ85と連絡している。
【0071】
インピーダンスプローブは、このように接続したときに、基板処理の間、リアクタのインピーダンスを監視するのに使用可能であり、そしてプロセッサ85は、適切であれば、処理条件又はインピーダンスチューナ108の設定を調整して(例えば、インピーダンスチューナが可変コンデンサであれば、この可変コンデンサのキャパシタンスを調整する)、リアクタインピーダンスの変化に対して補償することができる。このことは、ある事例において、リアクタ30のインピーダンスがWER応力,堆積速度,屈折率及び膜厚均一性のような被膜特性に対して明らかな効果を有するので、特に有用である。従って、例えば、2000ウェーハのプロセス操業中に、プロセッサ85が、この操業について予め規定した範囲外にリアクタインピーダンスがドリフトしたことを検出すれば、ウェーハ操業中に適切な処置を講じて、リアクタインピーダンスを調整することができ、また、全プロセス操業間の所定プロセスについて、被膜特性を製造者の仕様範囲内に確実にしておくことができる。かかるインピーダンスのドリフトを調整するのに講じられる処置には、限定する意味ではないが、反応チャンバ内の圧力を調整すること、高周波又は低周波RF電力を増減すること、そして上述したようにインピーダンスチューナ108の設定を調整することが含まれうる。この特徴は、インピーダンス現場監視と呼ぶこともできる。
【0072】
上述したように本発明者によりなされた発見と共に上に説明したCVDシステム10の特徴及び構成により、CVDシステム10は、以前には可能でなかった堆積パラメータの使用を含めて、広範囲のプロセス状態もしくは条件にわたりCVD被膜を堆積させるのに採用可能となる。装置は、金属間誘電(IMD)に適用するための低温プロセス被膜や、前金属(premetal)誘電(PMD)に適用するための高温プロセス被膜を含む、種々のCVD被膜の堆積の際に有用である。ある特定の適用例は、りん化ホウ素ケイ酸塩ガラス(BPSG),りんケイ酸塩ガラス(PSG)及びフッ素ドープ処理ケイ酸塩ガラス(FSG)のようなドープ処理酸化ケイ素及び未ドープ処理酸化ケイ素(USG)の堆積を含め、TEOS(テトラエチルオルソシリケート)又はシランベースのPECVD及びSACVD(準大気圧CVD)化学物質を含んでいる。同様に、窒化ケイ素,炭化ケイ素,非晶質ケイ素及びその他の層が本発明の装置を使用して堆積できる。
【0073】
本発明の装置により達成可能な範囲を広げたプロセス方式の例として、本発明者は、Si34被膜で広範な作業を行い、低温処理条件において改良された(低い)ウエットエッチング速度,優れたステップカバレージ,改良された被膜健全性及び減少したピンホールを有するかかるSi34の堆積を可能にする技術を開発した。また、本発明者は、多くの熱成長Si34被膜よりも小さい15Å/分以下のWERを有する高温PECVDSi34被膜を開発した。
【0074】
これらの改良したSi34被膜を開発する中で、本発明者は、応力についてのヒータキャパシタンスの効果,イオン衝撃についての総RF電力に対するLF電力の比の効果,応力に対するWERの関係等に関し、深さの研究を行った。これらの研究のため、2.0の屈折率及び1.5×109ダイン/cm2の圧縮応力を有するようにSi34堆積プロセスを調整した。次いでヒータの公称キャパシタンス2500pFを、ヒータと直列に配置されたインピーダンスチューナ108としての可変コンデンサの追加により減少させた。プロセスはSiH4/NH3/N2をそれぞれ220/1200/600sccmの流量でチャンバに導入し、そしてチャンバは400℃に加熱し4.0トルの圧力に維持した。高周波RF電力を250Wのレベルでガス分配マニホルドに供給し、そして250Wの低周波RF電力を底部電極に供給した。最後に、基板ホルダ及びガス分配マニホルド間の間隔を425milに設定した。これらの研究の結果は図14〜16に示されており、以下に説明する。
【0075】
図14は、被膜応力がペデスタル/ヒータのキャパシタンスにより強く影響を受けることを示している。ヒータのキャパシタンスが低下すると、屈折率及び堆積被膜の一様性が増し、堆積速度が低下することが分かった。これは、被膜特性とリアクタインピーダンスの関係を確認している。単一周波数プロセスは混合周波数プロセスよりもモデルにより実際に予測される現象(図12A及び12B参照。そこでは曲線間の距離が周波数が高くなると狭まっている。)の影響を受け難いことが分かった。これらの結果は、Si34被膜の堆積についてヒータキャパシタンスの公差を規定するのに使用することができる。例えば、中心プロセス(center process)周辺で±2×108ダイン/cm2の最大応力の移動を許容すると、ヒータキャパシタンスを2500pF±13%内に制御すべきであることが分かった。これは、40mil,+5.1,−3.5の電極深さに相当している。
【0076】
被膜健全性及びその他の被膜特性はイオン衝撃に密接に関係している。上述したように、イオンエネルギはプラズマシースのポテンシャルに比例する。本発明者は、ヒータ電極及びシャワーヘッドのポテンシャルに対する低周波電力の影響について研究した。また、本発明者は、13.56MHzバイアスによって一般に招来される自己DCバイアスを記録した。図15は、低周波電力を増大する効果を示している。図15において、総RF電力は500Wで一定に保った。LF電力を増加するとヒータ電極のところで電圧振幅VLF(及びイオンエネルギ)が増大することが分かった。同時に、シャワーヘッドでの電圧振幅VHFが減少する。しかし、本発明者は、低周波電力が増加するときに双方の電極に関するDCバイアスが減少することを発見した。この負の自己DCバイアスは電極のところにイオン減損シースが形成することを表していると思われる。DCバイアスは単一(高)周波数プロセスが使用されるときに200Vよりも大きくすることができる。低周波電力を追加すると、イオンはもはや固定電荷とは考えられなくなる。LFバイアスによりイオンはプラズマシース内を貫通させられるので、電子チャージング効果が相殺され、DC分が減少する。その結果、イオンエネルギが直接に低周波電圧振幅の制御下におかれる。トラフ(VLF<0)の間、正にイオン化した化学種(イオンの大部分と考えられる)は、成長しつつある被膜を衝撃する。
【0077】
低周波電力対総電力の比[WLF/(WLF+WHF)]は被膜応力を調整するために重要な「ノブ」である。前述したように、本発明の装置構成は、あるセットの処理条件下でアーク放電を引き起こすことなく、LF電力を総RF電力の少なくとも60%まで増大させることができる。図16は、低周波電力の追加(VLFが増大し、DCバイアスが減少する)が、上述したように堆積する屈折率2.0のSi34被膜におけるより活発なイオン衝撃のために、被膜密度の増大を可能にすることを示している。また、図16は、被膜WER及び応力が密接に関係した特性であることを示している。装置適用のために、適度の圧縮応力(例えば50〜150MPa)を有する被膜が一般に要求される。本発明のリアクタ構造及びプラズマインピーダンスは、高度の健全性及び調整可能な応力の被膜を提供するために、WER及び被膜応力を減結合するように最適化できる。
【0078】
イオンエネルギがヒータ電極のポテンシャルに比例することを知って、本発明者は、イオン衝撃及び被膜特性に対する効果と役割を決定するために種々のバイアス波形及び周波数の研究を行った。3つの異なる波形を試験した。正弦波と非対称波と方形波である(図17A〜17D参照)。各波形について、周波数を変更し、被膜健全性の特徴を調べた(当業者にとって既知であり良く分かるような250Åのエッチングか1分間の6:1BOEのどちらかの前後のステップカバレージ、及びピンホール性能)。
【0079】
正弦波形(図17A)は350kHzの周波数で試験した。正弦波形は、本発明者にとって既知の総混合周波数PECVDプロセスにおけるイオン衝撃を制御するのに使用される標準波形である。しかし、本発明者は、Si34被膜の堆積のために正弦波形が最適ではないことを知見した。実際に、この波形は基板ホルダの衝撃とガス分配マニホルドの衝撃との間を交互するので、周期の半分の間は基板についてのイオン衝撃がない。これは、Vwaferが正であるとき、電子流がウェーハに向かって引っ張られ、そしてイオンが下側のプラズマシースから拒絶されるからである。従って、イオン衝撃は図17A〜17Dの影線領域130においてのみ起こる。
【0080】
本発明者は、非対称の波形が図17Bに示すように使用されるときに、改良された被膜品質が得られることを発見した。また、本発明者は、低周波がより小さな位相角とするのを促進することが観察されたため(図10D参照)、一般に、より良い被膜健全性は低周波(例えば、<400kHz)で得られることを発見した。更に、小さな位相角は上述したように良好な被膜特性をもたらすことが分かった。実際に、上述したSi34被膜の堆積については、50kHzが最も好ましい周波数であるが、50〜220kHzの周波数の非対称波形で最良の結果が得られた。この特別の波形は、新たに開発したENI RPG発生器で形成された。採用可能な別のタイプの非対称鋸歯状波形が図17Cに示されている。これらのタイプの波形は、過去において、反応スパッタリング堆積(例えば、Al23)や真空アークプラズマ堆積(例えば、DLC,Al23及び他の金属被膜)のために使用されてきたが、本発明者の知る限りでは、PECVDプロセスにおけるバイアス技術としては決して使用されてはいなかった。図17B及び17Cに示したどの波形も、波形のデューティーサイクルは、所望のように被膜特性を仕上げるように調整することができる。デューティーサイクルは、ウェーハポテンシャルが正である時間の割合である:Z+/(Z++Z-)。一般に、10〜50%の間にあるデューティーサイクルを有することが好ましい。
【0081】
パルス状DCバイアスとも呼ばれる方形バイアス(図17D)についても150kHzから700kHzまで変化する周波数で試験した。プロセス状況は周波数の影響を受けた。従って、屈折率が2.0及び圧縮応力が1.5×109ダイン/cm2の被膜を堆積させるために、種々の周波数でプロセスを調整する必要があった。いずれにしても、方形波の使用は被膜健全性を劣悪にすることが発見された。しかし、パルス状DC波形は基板ホルダのイオン衝撃には有利であり、また、かかる方形波は実質的な同調に有利である。劣悪な被膜健全性は、システムに高調波を導入することによってプラズマシースの不安定性を起こさせる急激な負の前部134の作用に起因すると考えることができる。
【0082】
従って、上述の試験から明らかなように、図17Bの非対称波形により制御されるイオン衝撃は、図17A及び17Dの波形と比較して衝撃の向上になり、また、改善された特性を有する被膜の堆積になった。図17Bに示した非対称波形は、鋸歯状波形、或いは三角の両極性波形と記載することもでき、わずかな同調で各サイクルの大部分の間、基板ホルダのイオン衝撃を行う。他の非対称波形(例えば、図17Cに示した波形)も高調波の形成を妨げると共にイオン衝撃を増すために使用しうる。実際に、信号期間の間、イオンエネルギの平均的な線形分布を有する波形なら純粋な正弦波形よりも好ましいはずである。高調波の作用を妨げるために、波形の前(負)縁部の傾斜の大きさを後縁部の傾斜よりも小さくすることが好ましい。
【0083】
結論として、本発明の使用により得られる詳細に説明したプロセス状況もしくは方式は、上述の特徴を有する被膜の堆積を可能にすると共に、低圧力,高い比率の低周波RF電力,且つ高い窒素対アンモニア比率での窒化ケイ素被膜の堆積を可能にする。堆積した窒化ケイ素被膜のWERは、高周波RF電力を円錐形の孔があるシャワーヘッドに供給すると共に、低周波RF電力を上述したようにセラミック製の基板ホルダに供給することによって、50%ほど減少された。また、WERはプロセス圧力と非常に関連している。従って、広範囲の状況の間、プロセス圧力を変化させることは、堆積した被膜の特性を制御する際の重要なパラメータである。
【0084】
この明細書で教示するような低及び高周波RF電力の減結合は、ステップカバレージ及び応力レベルを犠牲にすることなく改良されたWER及びその他の特徴をもたらす。実験において、1:1のアスペクト比トレンチのサイド及びボトムカバレージを窒化ケイ素堆積の間制御して、フィールドカバレージに関して約65%の側壁部ステップカバレージをもたらすと共に、フィールドカバレージに関して約65%の底部ステップカバレージをもたらす。トレンチ内のこのような一様な成長は、良好なコンフォーマル(正角)被膜を提供すると共に、その後の被膜のエッチングにもっと耐えられる強い底角部を提供し、相対的に弱い底角部に全面的にエッチングすることができる。この明細書で用いた側壁部ステップカバレージ,底部ステップカバレージ及びコンフォーマリティー(正角度)は図13の記載に関して定義する。図13において、窒化ケイ素被膜120は、隣接する金属線122及び124の間の間隙126を部分的に満たすように、該金属線を覆って堆積表示されている。側壁部ステップカバレージはa/b×100%である。底部ステップカバレージはd/b×100%であり、コンフォーマリティー(正角度)はa/c×100%として定義される。
【0085】
IV.実験及び試験結果
本発明について、現在利用可能な混合周波数窒化ケイ素プロセスを本発明の範囲内の減結合した混合周波数窒化ケイ素プロセスと比較する以下の例によって更に説明する。これらの例は、パターン形成したウェーハ上にコンフォーマルな窒化ケイ素層を堆積させるための最上のプロセス状態もしくは条件を示すように選択された。各例において、堆積窒化ケイ素層は屈折率2.0,被膜応力-1.6×109ダイン/cm2であり、これらの値は、最近の様々な装置適用例についての製造者仕様の範囲内にある。これらの値を正確に反映するように変更できないプロセスを調整して、最も近い特性を有する被膜を堆積させた。
【0086】
比較例A及びBは、本発明に従って行われたのではない堆積プロセスについて記載し、本発明の例1〜4は、本発明に従って堆積されたプロセスについて記載している。各例において、2つの密接離間した金属線間に間隙を含む段付き微細構成を覆うように窒化ケイ素被膜が堆積された。金属線間の距離は約0.5μmであり、間隙のアスペクト比は約1:1であった。
【0087】
比較例A
この例は、アルミニウム製基板ホルダと真直ぐの孔をもつガス分配マニホルドとを有するCVDチャンバを用いて実験が始められた。高周波RF電力はガス分配マニホルドに供給し、低周波RF電力はアルミニウム製基板ホルダに供給した。アルミニウム製基板ホルダは処理中、ウェーハをガス分配マニホルドから350milのところに配置して保持した。
【0088】
リアクタをポンプで400℃の温度で0.1トルの圧力まで減圧し、次いでシラン180sccm,アンモニア720sccm, 窒素1600sccmのプロセスガス流量で3.7トルに安定化させた。その後、100Wの高周波RF電力(13.56MHz)及び20Wの低周波RF電力(350kHz)をガス分配マニホルドに印加した。窒化ケイ素被膜は1600Å/分で堆積した。堆積した被膜は、屈折率2.0,被膜応力-1.4×109ダイン/cm2を有していた。
【0089】
堆積被膜は、305Å/分のWERを有し、そして被膜の頂部250Åを除くためにエッチング溶液に暴露したときに、実質的に全ての窒化ケイ素が間隙の底角部から除去されていた。
【0090】
比較例B
この例は、化学蒸着チャンバ、特にカリフォルニア州サンタクララ所在のアプライドマテリアルズ社(Applied Materials, Inc.)により製造販売されている「DxZ」プラズマリアクタを用いて実験が始められた。しかし、このリアクタはセラミック製の基板ホルダを含むように変更されており、そして高及び低周波RF電力の双方がガス分配マニホルドに供給された。基板ホルダは処理中、ウェーハをガス分配マニホルドから560milのところに配置して保持し、ガス分配マニホルドは円錐形の孔を含んでいた。
【0091】
リアクタをポンプで400℃の温度で0.1トルの圧力まで減圧し、次いでシラン215sccm,アンモニア1200sccm, 窒素600sccmのプロセスガス流量で4.0トルで安定化させた。その後、200Wの高周波RF電力(13.56MHz)及び200Wの低周波RF電力(350kHz)をガス分配マニホルドに印加した。窒化ケイ素被膜は5560Å/分で堆積した。堆積した被膜は屈折率2.0,被膜応力-2×109ダイン/cm2を有していた。
【0092】
また、堆積被膜は305Å/分のWERを有していた。窒化ケイ素の約250Åを除くための堆積被膜のエッチングにより、実質的に全ての窒化ケイ素が間隙の底角部(或いは微細構造)から除去されていた。
【0093】
発明例1
この例は、化学蒸着チャンバ、特にカリフォルニア州サンタクララ所在のアプライドマテリアルズ社(Applied Materials, Inc.)により製造販売されている「DxZ」プラズマリアクタを用いて実験が始められた。このリアクタは、本発明に従って、また図1に示すように、セラミック製基板ホルダ及びセラミック製ガス分配マニホルドの双方を含むように変更した。高周波RF電力はガス分配マニホルドに供給され、低周波RF電力はセラミック製基板ホルダに埋め込まれたRF電極22に供給された。基板ホルダは処理中、ウェーハをガス分配マニホルドから600milのところに配置して保持した。
【0094】
リアクタをポンプで400℃の温度で0.1トルの圧力まで減圧し、次いでシラン65sccm,アンモニア130sccm, 窒素1450sccmのプロセスガス流量で2.5トルで安定化させた。その後、160Wの高周波RF電力(13.56MHz)をガス分配マニホルドに、そして135Wの低周波RF電力(350kHz)をセラミック製基板ホルダに印加した。窒化ケイ素被膜は1745Å/分で堆積した。堆積した被膜は屈折率2.0,被膜応力-1.5×109ダイン/cm2を有していた。また、堆積被膜は180Å/分のWERを有していた。
【0095】
発明例2
この例は、変更した例1の化学蒸着チャンバを用いて実験が始められた。基板ホルダは処理中、ウェーハをガス分配マニホルドから485milのところに配置して保持した。
【0096】
リアクタをポンプで400℃の温度で0.1トルの圧力まで減圧し、次いでシラン210sccm,アンモニア1200sccm, 窒素600sccmのプロセスガス流量で4.0トルで安定化させた。その後、250Wの高周波RF電力(13.56MHz)をガス分配マニホルドに、そして250Wの低周波RF電力(350kHz)をセラミック製基板ホルダに印加した。窒化ケイ素被膜は5525Å/分で堆積した。堆積した被膜は屈折率2.0,被膜応力-1.6×109ダイン/cm2を有していた。
【0097】
また、堆積被膜は335Å/分のWERを有していた。フィールドから窒化ケイ素の約250Åを除去するための堆積被膜のエッチングの後に、比較例Aと比較してバイアスの底角部に相当な量の窒化ケイ素が残った。エッチング前、バイアスの側壁部及び底部にある窒化ケイ素層は、フィールドに堆積した窒化ケイ素層の厚さの約63%であった。エッチング後、側壁部の窒化ケイ素層はフィールドの厚さの35%であり、底角部ではフィールドの厚さの12%であった。
【0098】
発明例3
この例は、変更した例1の化学蒸着チャンバを用いて実験が始められた。基板ホルダは処理中、ウェーハをガス分配マニホルドから495 milのところに配置して保持した。
【0099】
リアクタをポンプで400℃の温度で0.1トルの圧力まで減圧し、次いでシラン200sccm,アンモニア1200sccm, 窒素600sccmのプロセスガス流量で4.0トルで安定化させた。その後、170Wの高周波RF電力(13.56MHz)をガス分配マニホルドに、そして250Wの低周波RF電力(正弦波形、350kHz)をセラミック製基板ホルダに印加した。窒化ケイ素被膜は4625Å/分で堆積した。堆積した被膜は屈折率2.0,被膜応力-2×109ダイン/cm2を有していた。
【0100】
また、堆積被膜は293Å/分のWERを有していた。これらの結果を例2と比較すると、高周波入力を変えたときに、低周波RF電力対総RF電力の比とWERとの間に逆の関係があることが明らかになる。低いWER及び小さい被膜応力は例1により示したようにプロセス圧力を変更することにより達成できる。
【0101】
発明例4
この例は、変更した例1の化学蒸着チャンバを用いて実験が始められた。基板ホルダは処理中、ウェーハをガス分配マニホルドから540 milのところに配置して保持した。
【0102】
リアクタをポンプで400℃の温度で0.1トルの圧力まで減圧し、次いでシラン200sccm,アンモニア400sccm, 窒素4500sccmのプロセスガス流量で3.0トルで安定化させた。その後、170Wの高周波RF電力(13.56MHz)をガス分配マニホルドに、そして、イオン衝撃を促進するため三角波形を有する250Wの双極性(鋸歯)低周波RF電力(50kHz,4040ns)をセラミック製基板ホルダに印加した。窒化ケイ素被膜は3750Å/分で堆積した。堆積した被膜は屈折率2.0,被膜応力-2×109ダイン/cm2を有していた。
【0103】
また、堆積被膜は232Å/分のWERを有していた。フィールドから窒化ケイ素の約250Åを除去するための堆積被膜のエッチングの後に、比較例Aと比較してバイアスの底角部に相当な量の窒化ケイ素が残った。エッチング前、バイアスの側壁部及び底部にある窒化ケイ素層は、フィールドに堆積した窒化ケイ素層の厚さの約57%であった。エッチング後、側壁部の窒化ケイ素層はフィールドの厚さの41%であり、底角部ではフィールドの厚さの18%であった。
【0104】
上に述べたことは、本発明のある好適な実施例に向けられているが、本発明の基本的な範囲から逸脱することなく、本発明のその他の更なる実施例を案出しうる。例えば、かかる代替実施例の1つでは、被膜特性を更に改善するために製造者がプラズマ密度に対してプラズマ化学を適合させることができるように、パルス状プラズマを使用してもよい。このうなパルス状プラズマプロセスにおいて使用される高周波波形の一例が図18に示されている。図18において、13.56MHzの波形がONサイクルとOFFサイクルの間を交互するものとして示されている。この波形は、各ONサイクルの間にプラズマ生成反応種を形成すると共に、各OFFサイクルの間にプラズマ化学が堆積を制御することを可能にさせる。ON時間対OFF時間の比はデューティーサイクルと呼ばれている。パルス状プラズマ堆積技術は、多くのCVDプロセスに適用可能であり、特に、本発明の装置において非昌質フルオロカーボン及びその他の低K誘電被膜を堆積するときに有用である。別の代替実施例においては、インピーダンスチューナ108を使用してCVDチャンバのインピーダンスを調節する。このCVDチャンバは、プラズマを形成して該プラズマにバイアスをかけて成長する被膜を衝撃するために混合周波数RF電力に加えて他の方法を採用する。インピーダンスチューナ108が特定のチャンバ及び堆積プロセスのために制御ノブを提供するのに必要な唯1つの要件は、プラズマのインピーダンスが1つ以上のプロセスパラメータと関連して変化することである。これらの代替例は他の代替例及び均等例と共に、本発明の範囲内に含まれることを意図されている。
【図面の簡単な説明】
【図1】 堆積チャンバの簡略化した断面図を含む、本発明による堆積システムの一実施例のブロック図である。
【図2】 本発明の一実施例による支持ステムに取り付けられたセラミック製ペデスタルの簡略化した断面図である。
【図3】 埋設されたRF電極を有する好適なセラミック製基板ホルダのための金属/セラミック接続部の概略図である。
【図4A】 ガス分配マニホルド内に含まれた円錐形の孔の実施例の断面図である。
【図4B】 ガス分配マニホルド内に含まれた円錐形の孔の実施例の断面図である。
【図5】 本発明の装置において低及び高周波RF波形を減結合するためにサイクル用されたRFフィルタ・整合ネットワークの実施例を示す簡略図である。
【図6】 本発明の堆積システムを制御することができるプロセッサとユーザーとのインターフェースを示す図である。
【図7】 図1の例示的なCVDプラズマリアクタと関連して使用されるプロセス制御用コンピュータプログラム製品のフローチャートである。
【図8A】 リアクタインピーダンスに対する窒化ケイ素被膜堆積中のプロセス圧力の効果を示す図である。
【図8B】 リアクタインピーダンスに対する窒化ケイ素被膜堆積中のプロセス圧力の効果を示す図である。
【図8C】 リアクタインピーダンスに対する窒化ケイ素被膜堆積中のプロセス圧力の効果を示す図である。
【図8D】 リアクタインピーダンスに対する窒化ケイ素被膜堆積中のプロセス圧力の効果を示す図である。
【図9】 堆積窒化ケイ素被膜のウエットエッチング速度及び堆積速度に関するプロセス圧力の重要性を表わす実験結果の図である。
【図10A】 窒化ケイ素被膜の堆積に対する低周波電源で用いられる周波数の効果を示す図である。
【図10B】 窒化ケイ素被膜の堆積に対する低周波電源で用いられる周波数の効果を示す図である。
【図10C】 窒化ケイ素被膜の堆積に対する低周波電源で用いられる周波数の効果を示す図である。
【図10D】 窒化ケイ素被膜の堆積に対する低周波電源で用いられる周波数の効果を示す図である。
【図11】 チャンバ30のSPICEシミュレーションにおいてチャンバを表わすのに使用されるモデル化した回路の図である。
【図12A】 位相角についてのヒータキャパシタンスの効果に関する測定データ及びシミュレートしたデータの比較を表わす図である。
【図12B】 リアクタインピーダンスについてのヒータキャパシタンスの効果に関する測定データ及びシミュレートしたデータの比較を表わす図である。
【図13】 この出願において使用される側壁部ステップカバレージ,底部ステップカバレージ及びコンフォーマリティーの定義を説明する図である。
【図14】 被膜応力に対するヒータキャパシタンスの効果を示す図である。
【図15】 総RF電力に関する低周波電力の関数として電極ポテンシャルを示す図である。
【図16】 被膜応力と、窒化ケイ素被膜の堆積における低周波RF電力対総RF電力の比との関数としてウエットエッチング速度を示す図である。
【図17A】 窒化ケイ素被膜の堆積中におけるイオン衝撃を制御するのに使用される低周波RF波形を示す図である。
【図17B】 窒化ケイ素被膜の堆積中におけるイオン衝撃を制御するのに使用される低周波RF波形を示す図である。
【図17C】 窒化ケイ素被膜の堆積中におけるイオン衝撃を制御するのに使用される低周波RF波形を示す図である。
【図17D】 窒化ケイ素被膜の堆積中におけるイオン衝撃を制御するのに使用される低周波RF波形を示す図である。
【図18】 本発明によるパルス状プラズマ堆積プロセスにおいて使用できる高周波RF波形を例示する図である。
【符号の説明】
4:オプションの遠隔プラズマシステム、5:RF電源、6:熱交換システム、12:高周波RF電源、13:13.56MHzインピーダンス整合、14:高域フィルタ、15:低周波接地、16:低域フィルタ、17:低周波RF電源 、18:低域フィルタ、19:高周波接地、86:メモリ、89:供給源、90:ガスパネル、110:インピーダンスプローブ、161:プロセス選択、162:プロセス順序決定、163a:チャンバ管理、163b:CVDチャンバのチャンバ管理、163c:チャンバ管理、164:基板位置決め、165:プロセス制御、166:圧力制御、167:ヒータ制御、168:プラズマ制御。

Claims (13)

  1. 基板処理システムであって、
    反応領域を有する堆積チャンバと、
    第1電極及び第2電極と、
    1つかそれ以上のプロセスガスから前記反応領域においてプラズマを形成するため、非対称波形を生成することができる低周波RF電源及び高周波RF電源を備える混合周波数RF電源と、
    を備え、
    前記低周波RF電源は、前記第1電極に結合され、前記高周波RF電源は、前記第2電極に結合されている、基板処理システム。
  2. 前記高周波RF電源により発生された波形を前記低周波RF電源により発生された波形から減結合するフィルタ・整合ネットワークを更に備える、請求項1に記載の基板処理システム。
  3. 前記非対称波形は鋸歯状波形である、請求項2に記載の基板処理システム。
  4. 基板処理システムであって、
    反応領域を有する堆積チャンバと、
    基板を前記反応領域内に位置付ける、第1RF電極を含む基板ホルダと、
    1つかそれ以上のプロセスガスを前記反応領域に供給するため、第2RF電極を備えるガス入口マニホルドを含むガス分配システムと、
    前記1つかそれ以上のプロセスガスから前記反応領域においてプラズマを形成するため、非対称波形を生成することができる低周波RF電源及び高周波RF電源を備える混合周波数RF電源と、
    を備え、
    前記低周波RF電源は、前記第1RF電極又は前記第2RF電極のどちらかに非対称波形を供給して、前記プラズマに前記基板に向けてバイアスをかけるように構成されている、基板処理システム。
  5. 前記高周波RF電源により発生された波形を前記低周波RF電源により発生された波形から減結合するフィルタ・整合ネットワークを更に備える、請求項4に記載の基板処理システム。
  6. 前記非対称波形は鋸歯状波形である、請求項5に記載の基板処理システム。
  7. 基板処理チャンバにおいて基板上に被膜を堆積させるための方法であって、
    1つかそれ以上のプロセスガスをガス入口マニホルドを介して前記基板処理チャンバの反応領域内に導入するステップと、
    前記ガス入口マニホルドに高周波RF電力を印加することにより前記1つかそれ以上のプロセスガスからプラズマを形成するステップと、
    非対称の低周波RF波形により前記基板に向けて前記プラズマにバイアスをかけるステップと、
    を備える方法。
  8. 前記非対称の低周波RF波形は鋸歯状波形である、請求項7に記載の方法。
  9. 前記非対称の低周波RF波形は、10〜50%の間のデューティーサイクルを有する、請求項7に記載の方法。
  10. 前記プロセスガスは、シラン,アンモニア及び窒素を含む、請求項7に記載の方法。
  11. 前記非対称の低周波RF波形は、400kHzより低い周波数を有する、請求項10に記載の方法。
  12. 前記非対称の低周波RF波形は、50〜220kHzの間の周波数を有する、請求項10に記載の方法。
  13. 前記プラズマは、前記基板のイオン衝撃を促進するため、前記基板に向けてバイアスがかけられる、請求項7に記載の方法。
JP2000523394A 1997-12-01 1998-11-25 基板処理システムおよび基板上に被膜を堆積させるための方法 Expired - Fee Related JP4402291B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/982,252 US6041734A (en) 1997-12-01 1997-12-01 Use of an asymmetric waveform to control ion bombardment during substrate processing
US08/982,252 1997-12-01
PCT/US1998/025212 WO1999028524A1 (en) 1997-12-01 1998-11-25 Use of an asymmetric waveform to control ion bombardment during substrate processing

Publications (2)

Publication Number Publication Date
JP2001525601A JP2001525601A (ja) 2001-12-11
JP4402291B2 true JP4402291B2 (ja) 2010-01-20

Family

ID=25528985

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000523394A Expired - Fee Related JP4402291B2 (ja) 1997-12-01 1998-11-25 基板処理システムおよび基板上に被膜を堆積させるための方法

Country Status (3)

Country Link
US (2) US6041734A (ja)
JP (1) JP4402291B2 (ja)
WO (1) WO1999028524A1 (ja)

Families Citing this family (134)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7004107B1 (en) * 1997-12-01 2006-02-28 Applied Materials Inc. Method and apparatus for monitoring and adjusting chamber impedance
US6151532A (en) * 1998-03-03 2000-11-21 Lam Research Corporation Method and apparatus for predicting plasma-process surface profiles
TW434636B (en) * 1998-07-13 2001-05-16 Applied Komatsu Technology Inc RF matching network with distributed outputs
JP2000049216A (ja) * 1998-07-28 2000-02-18 Mitsubishi Electric Corp プラズマ処理装置および当該装置で用いられる静電チャック吸着方法
US6621077B1 (en) 1998-08-05 2003-09-16 National Research Council Canada Apparatus and method for atmospheric pressure-3-dimensional ion trapping
US6504149B2 (en) 1998-08-05 2003-01-07 National Research Council Canada Apparatus and method for desolvating and focussing ions for introduction into a mass spectrometer
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6207590B1 (en) * 1999-11-19 2001-03-27 Wafertech, Inc. Method for deposition of high stress silicon dioxide using silane based dual frequency PECVD process
JP5054874B2 (ja) * 1999-12-02 2012-10-24 ティーガル コーポレイション リアクタ内でプラチナエッチングを行う方法
AU780704B2 (en) * 2000-03-14 2005-04-14 National Research Council Of Canada Faims apparatus and method with ion diverting device
CA2401772C (en) * 2000-03-14 2009-11-24 National Research Council Of Canada Tandem high field asymmetric waveform ion mobility spectrometry (faims)/ion mobility spectrometry
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
JP2001267310A (ja) * 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
JP4592867B2 (ja) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
JP2001279455A (ja) * 2000-03-29 2001-10-10 Canon Inc 堆積膜形成方法及び堆積膜形成装置
US6857387B1 (en) 2000-05-03 2005-02-22 Applied Materials, Inc. Multiple frequency plasma chamber with grounding capacitor at cathode
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
JP4557400B2 (ja) * 2000-09-14 2010-10-06 キヤノン株式会社 堆積膜形成方法
JP2002134484A (ja) * 2000-10-19 2002-05-10 Asm Japan Kk 半導体基板保持装置
JP4877884B2 (ja) * 2001-01-25 2012-02-15 東京エレクトロン株式会社 プラズマ処理装置
US6514870B2 (en) * 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6677711B2 (en) * 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US7469558B2 (en) 2001-07-10 2008-12-30 Springworks, Llc As-deposited planar optical waveguides with low scattering loss and methods for their manufacture
US6893983B2 (en) * 2001-09-13 2005-05-17 Tech Semiconductor Singapore Pte Ltd. Method for depositing a very high phosphorus doped silicon oxide film
US6541399B1 (en) 2001-10-01 2003-04-01 Taiwan Semiconductor Manufacturing Company SABPSG process real temperature monitor
US6656837B2 (en) * 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US7378356B2 (en) 2002-03-16 2008-05-27 Springworks, Llc Biased pulse DC reactive sputtering of oxide films
US6884327B2 (en) 2002-03-16 2005-04-26 Tao Pan Mode size converter for a planar waveguide
US6664202B2 (en) * 2002-04-18 2003-12-16 Applied Materials Inc. Mixed frequency high temperature nitride CVD process
EP1497846B1 (fr) * 2002-04-24 2008-09-10 Apit Corp. S.A. Dispositif pour le traitement de surface de recipients par plasma
US7008484B2 (en) * 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US7086347B2 (en) * 2002-05-06 2006-08-08 Lam Research Corporation Apparatus and methods for minimizing arcing in a plasma processing chamber
KR100466818B1 (ko) * 2002-05-17 2005-01-24 주식회사 하이닉스반도체 반도체 소자의 절연막 형성 방법
US7067439B2 (en) 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US8394522B2 (en) 2002-08-09 2013-03-12 Infinite Power Solutions, Inc. Robust metal film encapsulation
US8404376B2 (en) 2002-08-09 2013-03-26 Infinite Power Solutions, Inc. Metal film encapsulation
US8236443B2 (en) 2002-08-09 2012-08-07 Infinite Power Solutions, Inc. Metal film encapsulation
US8445130B2 (en) 2002-08-09 2013-05-21 Infinite Power Solutions, Inc. Hybrid thin-film battery
US8021778B2 (en) 2002-08-09 2011-09-20 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US7993773B2 (en) 2002-08-09 2011-08-09 Infinite Power Solutions, Inc. Electrochemical apparatus with barrier layer protected substrate
US8431264B2 (en) 2002-08-09 2013-04-30 Infinite Power Solutions, Inc. Hybrid thin-film battery
US20070264564A1 (en) 2006-03-16 2007-11-15 Infinite Power Solutions, Inc. Thin film battery on an integrated circuit or circuit board and method thereof
US20040027781A1 (en) * 2002-08-12 2004-02-12 Hiroji Hanawa Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
US7826702B2 (en) 2002-08-27 2010-11-02 Springworks, Llc Optically coupling into highly uniform waveguides
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US8728285B2 (en) 2003-05-23 2014-05-20 Demaray, Llc Transparent conductive oxides
US7238628B2 (en) 2003-05-23 2007-07-03 Symmorphix, Inc. Energy conversion and storage films and devices by physical vapor deposition of titanium and titanium oxides and sub-oxides
US7179754B2 (en) * 2003-05-28 2007-02-20 Applied Materials, Inc. Method and apparatus for plasma nitridation of gate dielectrics using amplitude modulated radio-frequency energy
CA2472492A1 (en) * 2003-06-27 2004-12-27 Ionalytics Corporation Method of separating ions
US20050120958A1 (en) * 2003-12-07 2005-06-09 Frank Lin Reactor
US20050170104A1 (en) * 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7473566B1 (en) * 2004-02-03 2009-01-06 Advanced Micro Devices, Inc. Method and apparatus for controlling a film formation process with multiple objectives
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
JP4628696B2 (ja) * 2004-06-03 2011-02-09 東京エレクトロン株式会社 プラズマcvd装置
US7446335B2 (en) * 2004-06-18 2008-11-04 Regents Of The University Of Minnesota Process and apparatus for forming nanoparticles using radiofrequency plasmas
JP2006024670A (ja) * 2004-07-07 2006-01-26 Sony Corp 半導体装置の製造方法
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
JP2006041250A (ja) * 2004-07-28 2006-02-09 Canon Inc プラズマ処理装置および方法
US20060075967A1 (en) * 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR101021536B1 (ko) 2004-12-08 2011-03-16 섬모픽스, 인코포레이티드 LiCoO2의 증착
US7959769B2 (en) 2004-12-08 2011-06-14 Infinite Power Solutions, Inc. Deposition of LiCoO2
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7247582B2 (en) * 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US7838133B2 (en) 2005-09-02 2010-11-23 Springworks, Llc Deposition of perovskite and other compound ceramic films for dielectric applications
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
WO2008039845A2 (en) * 2006-09-26 2008-04-03 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
US8062708B2 (en) 2006-09-29 2011-11-22 Infinite Power Solutions, Inc. Masking of and material constraint for depositing battery layers on flexible substrates
US8197781B2 (en) 2006-11-07 2012-06-12 Infinite Power Solutions, Inc. Sputtering target of Li3PO4 and method for producing same
US20080190446A1 (en) * 2007-02-13 2008-08-14 Ranade Rajiv M Control of dry clean process in wafer processing
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US8101444B2 (en) 2007-08-17 2012-01-24 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
JP4983575B2 (ja) * 2007-11-30 2012-07-25 パナソニック株式会社 プラズマ処理装置およびプラズマ処理方法
TWI441937B (zh) 2007-12-21 2014-06-21 Infinite Power Solutions Inc 形成用於電解質薄膜之濺鍍靶材的方法
US8268488B2 (en) 2007-12-21 2012-09-18 Infinite Power Solutions, Inc. Thin film electrolyte for thin film batteries
KR101606183B1 (ko) 2008-01-11 2016-03-25 사푸라스트 리써치 엘엘씨 박막 배터리 및 기타 소자를 위한 박막 캡슐화
EP2266183B1 (en) 2008-04-02 2018-12-12 Sapurast Research LLC Passive over/under voltage control and protection for energy storage devices associated with energy harvesting
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
EP2319101B1 (en) 2008-08-11 2015-11-04 Sapurast Research LLC Energy device with integral collector surface for electromagnetic energy harvesting and method thereof
WO2010019778A2 (en) * 2008-08-13 2010-02-18 Proteus Biomedical, Inc. Ingestible circuitry
KR101613671B1 (ko) 2008-09-12 2016-04-19 사푸라스트 리써치 엘엘씨 전자기 에너지에 의해 데이터 통신을 하는 통합 도전성 표면을 가진 에너지 장치 및 그 통신 방법
US8508193B2 (en) 2008-10-08 2013-08-13 Infinite Power Solutions, Inc. Environmentally-powered wireless sensor module
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
EP2474056B1 (en) 2009-09-01 2016-05-04 Sapurast Research LLC Printed circuit board with integrated thin film battery
US20110209995A1 (en) * 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
WO2011156392A1 (en) 2010-06-07 2011-12-15 Infinite Power Solutions, Inc. Rechargeable, high-density electrochemical device
JP5722008B2 (ja) * 2010-11-24 2015-05-20 株式会社日立国際電気 半導体デバイスの製造方法、半導体デバイス及び基板処理装置
US8861167B2 (en) 2011-05-12 2014-10-14 Global Plasma Solutions, Llc Bipolar ionization device
US9598771B2 (en) * 2011-08-30 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric film defect reduction
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
TWI546847B (zh) * 2013-12-27 2016-08-21 日立國際電氣股份有限公司 基板處理裝置及半導體裝置的製造方法
FR3020718B1 (fr) * 2014-05-02 2016-06-03 Ecole Polytech Procede et systeme pour controler des flux d'ions dans un plasma rf.
BR112018004023B1 (pt) * 2015-08-28 2022-09-20 Dayco Ip Holdings, Llc Restritores utilizando o efeito venturi
WO2017165032A1 (en) * 2016-03-25 2017-09-28 Applied Materials, Inc. Ceramic heater with enhanced rf power delivery
PL3711080T3 (pl) 2017-11-17 2023-12-11 Aes Global Holdings, Pte. Ltd. Zsynchronizowane pulsowanie źródła przetwarzania plazmy oraz polaryzacji podłoża
CN111788654B (zh) 2017-11-17 2023-04-14 先进工程解决方案全球控股私人有限公司 等离子体处理系统中的调制电源的改进应用
EP3711081B1 (en) 2017-11-17 2024-06-19 AES Global Holdings, Pte. Ltd. Spatial and temporal control of ion bias voltage for plasma processing
US11437221B2 (en) 2017-11-17 2022-09-06 Advanced Energy Industries, Inc. Spatial monitoring and control of plasma processing environments
US11286565B2 (en) * 2018-12-13 2022-03-29 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4207137A (en) * 1979-04-13 1980-06-10 Bell Telephone Laboratories, Incorporated Method of controlling a plasma etching process by monitoring the impedance changes of the RF power
US4500408A (en) * 1983-07-19 1985-02-19 Varian Associates, Inc. Apparatus for and method of controlling sputter coating
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
AT388814B (de) * 1985-11-15 1989-09-11 Paar Anton Kg Verfahren und vorrichtung zum erzeugen eines hf-induzierten edelgasplasmas
DE3810197A1 (de) * 1987-03-27 1988-10-13 Mitsubishi Electric Corp Plasma-bearbeitungseinrichtung
JPH0630351B2 (ja) * 1987-03-31 1994-04-20 株式会社東芝 半導体製造装置のクリ−ニング終点判定方法
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5267020A (en) * 1987-10-06 1993-11-30 Stanford University Gallium arsenide monolithically integrated sampling head using equivalent time sampling having a bandwidth greater than 100 ghz
US5378939A (en) * 1987-10-06 1995-01-03 The Board Of Trustees Of The Leland Stanford Junior University Gallium arsenide monolithically integrated sampling head using equivalent time sampling having a bandwidth greater than 100 Ghz
US5121067A (en) * 1987-10-06 1992-06-09 Board Of Regents Of Leland Stanford University Directional sampling bridge
US5256996A (en) * 1987-10-06 1993-10-26 The Board Of Trustees Of The Leland Stanford, Junior University Integrated coplanar strip nonlinear transmission line
US5352994A (en) * 1987-10-06 1994-10-04 The Board Of Trustees Of The Leland Stanford Junior University Gallium arsenide monolithically integrated nonlinear transmission line impedance transformer
US4963239A (en) * 1988-01-29 1990-10-16 Hitachi, Ltd. Sputtering process and an apparatus for carrying out the same
US4837185A (en) * 1988-10-26 1989-06-06 Intel Corporation Pulsed dual radio frequency CVD process
US5155547A (en) * 1990-02-26 1992-10-13 Leco Corporation Power control circuit for inductively coupled plasma atomic emission spectroscopy
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5057185A (en) * 1990-09-27 1991-10-15 Consortium For Surface Processing, Inc. Triode plasma reactor with phase modulated plasma control
US5888414A (en) * 1991-06-27 1999-03-30 Applied Materials, Inc. Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US5189343A (en) * 1991-08-27 1993-02-23 Everbrite, Inc. High frequency luminous tube power supply having neon-bubble and mercury-migration suppression
EP0605980A3 (en) * 1993-01-07 1995-08-02 Ramtron Int Corp Layering process for depositing silicon nitride and silicon oxynitride.
US5366585A (en) * 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5364522A (en) * 1993-03-22 1994-11-15 Liang Wang Boride, carbide, nitride, oxynitride, and silicide infiltrated electrochemical ceramic films and coatings and the method of forming such
EP0653501B1 (en) * 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
US5556549A (en) * 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) * 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5576629A (en) * 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100193862B1 (ko) * 1996-03-19 1999-06-15 윤종용 안정된 주파수를 얻기 위한 주파수변환기

Also Published As

Publication number Publication date
US6041734A (en) 2000-03-28
JP2001525601A (ja) 2001-12-11
US6162709A (en) 2000-12-19
WO1999028524A1 (en) 1999-06-10

Similar Documents

Publication Publication Date Title
JP4402291B2 (ja) 基板処理システムおよび基板上に被膜を堆積させるための方法
US6098568A (en) Mixed frequency CVD apparatus
US7004107B1 (en) Method and apparatus for monitoring and adjusting chamber impedance
US6136388A (en) Substrate processing chamber with tunable impedance
US6125859A (en) Method for improved cleaning of substrate processing systems
US6294466B1 (en) HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
KR100798552B1 (ko) 화학 기상 증착된 필름의 인-시츄 후증착 표면 부동태화 방법
KR100611610B1 (ko) Hdp-cvd시스템에서의입자성능을개선하기위한시즈닝프로세스에서의산소대실란비율조절
US7109114B2 (en) HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US6514870B2 (en) In situ wafer heat for reduced backside contamination
JPH1171680A (ja) 基板処理装置と共に用いるための改良型遠隔マイクロ波プラズマソース用装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090512

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090630

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090911

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091013

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091029

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121106

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121106

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121106

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131106

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees