KR20110079859A - 수소-함유 라디칼을 이용한 자연 산화물 세정 - Google Patents

수소-함유 라디칼을 이용한 자연 산화물 세정 Download PDF

Info

Publication number
KR20110079859A
KR20110079859A KR1020117013618A KR20117013618A KR20110079859A KR 20110079859 A KR20110079859 A KR 20110079859A KR 1020117013618 A KR1020117013618 A KR 1020117013618A KR 20117013618 A KR20117013618 A KR 20117013618A KR 20110079859 A KR20110079859 A KR 20110079859A
Authority
KR
South Korea
Prior art keywords
gas
substrate
hydrogen
chamber
cleaning
Prior art date
Application number
KR1020117013618A
Other languages
English (en)
Other versions
KR101352995B1 (ko
Inventor
빙시 선 우드
마크 엔. 가와구치
제임스 에스. 파파뉴
로더릭 씨. 모셀리
치우쿤 스티븐 라이
치엔-테흐 카오
후아 알
웨이 더블유. 왕
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110079859A publication Critical patent/KR20110079859A/ko
Application granted granted Critical
Publication of KR101352995B1 publication Critical patent/KR101352995B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/02Pretreatment of the material to be coated
    • C23C14/021Cleaning or etching treatments
    • C23C14/022Cleaning or etching treatments by means of bombardment with energetic particles or radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Abstract

기판 세정 장치는 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 1 비율을 가지는 에너지화된 가스를 형성하기 위해 수소-함유 가스를 원격적으로 에너지화시키는 원격 소스를 포함한다. 상기 장치는 기판 지지체를 갖는 프로세스 챔버, 제 1 비율과 상이한 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 2 비율을 가지는 필터링되고 에너지화된 가스를 형성하기 위해 원격적으로 에너지화된 가스를 필터링하는 이온 필터, 및 상기 챔버 속으로 필터링되고 에너지화된 가스를 주입하는 가스 주입기를 포함한다.

Description

수소-함유 라디칼을 이용한 자연 산화물 세정{CLEANING OF NATIVE OXIDE WITH HYDROGEN-CONTAINING RADICALS}
본 출원은 Applied Materials, Inc. 에 공동 양도되어 Wood 등에 의해 2003년 2월 14일자로 출원된 미국 가특허 출원 번호 60/447,372호의 우선권을 청구하며, 상기 문헌은 본 명세서에서 참조 된다.
반도체 또는 디스플레이와 같은 기판의 처리과정에서는, 전기적으로 전도성인 상호접속부(interconnect), 콘택, 및 비아를 형성하기 위해 기판상에 물질을 증착하고 에칭한다. 예를 들어, 전기적 상호접속 라인의 패턴은 기판상에 금속-함유 도체를 증착하고, 상기 도체 상에 레지스트 패턴을 형성하고, 상호접속 라인을 형성하기 위해 도체를 에칭하고, 에칭된 상호접속 라인 위에 유전체층을 증착함으로써 형성될 수 있다. 또한, 유전체층은 각각 하부에 놓인 금속-함유 도체 물질 또는 다른 기판 영역을 노출시키는 콘택 홀 또는 비아를 형성하기 위해 추가로 에칭될 수 있다. 다음 전기적으로 전도성인 물질이 에칭된 홀 속에 증착되어 하부에 놓인 도체와 전기적으로 접촉된다. 예를 들어, 구리-함유 상호접속부 형성시에, 하부에 놓인 구리 도체 물질을 노출시키는 콘택 홀을 형성하기 위해 유전체층이 에칭될 수 있다. 다음 구리의 얇은 시드층이 노출된 구리 도체 물질과 콘택 홀의 표면 위에 증착되어 콘택 홀을 적어도 부분적으로 채우는 순차적인 구리 전기도금 프로세스를 용이하게 한다.
그러나 금속-함유 도체 물질은 순차적인 프로세스 단계들이 수행되기 이전에 세정을 요구하는 물질 증착물을 포함할 수 있다. 예를 들어, 증착물은 중간 프로세스 단계 동안 도체가 산소 종에 노출되는 경우 형성되는 자연 산화물막을 포함할 수 있다. 종종 자연 산화물은 산소-함유 가스 플라즈마가 잔류 레지스트를 스트립핑하는 레지스트 스트립핑 프로세스에서 형성된다. 또한, 자연 산화물은 상이한 프로세스 챔버들 사이에서, 이를 테면 에칭, 스트립핑 및 세정 프로세스 단계들 사이에서 기판을 이송하는 경우 형성될 수도 있다. 자연 산화물막은 노출된 도체 표면과 순차적으로 증착되는 전기적으로 전도성인 물질 사이의 콘택 인터페이스에서 전기적 저항을 증가시키기 때문에 바람직하지 않다. 또한, 증착물은 이전의 프로세스 단계들로부터 남아있는, 예를 들어, 탄소-함유, 실리콘-함유, 불소-함유 및 질소-함유 잔류물과 같은 또 다른 프로세스 증착물을 포함할 수도 있다. 또한, 증착물은 예를 들어, 노출된 물질과 증착된 물질 사이의 인터페이스에 보이드 또는 다른 요철부를 형성함으로써, 노출된 도체 표면상에 전기적으로 전도성인 물질의 증착 이후 악영향을 미칠 수 있기 때문에 바람직하지 않다.
자연 산화물은 노출된 도체 표면상에 전기적으로 전도성인 물질의 증착에 앞서 수행되는 "예비-세정" 프로세스에서 금속-함유 도체로부터 제거될 수 있다. 전형적인 예비-세정 프로세스에서, 금속-함유 도체의 노출된 표면은 막으로부터 스퍼터링되도록 에너지화된(energized) 아르곤 이온으로 기판을 물리적으로 가격하는 아르곤 플라즈마에 의해 세정된다. 그러나 에너지화된 이온에 인가되는 정확한 에너지 레벨을 결정하기는 어렵다. 과도한 이온 에너지는 하부에 놓인 금속을 스퍼터링할 수 있는 반면 너무 낮은 에너지 레벨은 기판상에 막이 남게 할 수 있다. 또한, 막은 예를 들어, Cohen 등에 의한 US 특허 제 6,346,480호에 개시된 것처럼 하이드록실(hydroxyl) 및 수증기를 휘발시키기 위해 막 내의 산화물이 감소되도록 막과 화학적으로 반응하는 수소와 같은 에너지화된 환원(reducing) 가스를 이용하여 세정될 수 있으며, 상기 문헌은 본 명세서에서 참조 된다. 그러나 환원제는 주변 물질에 따라 화학적으로 역효과를 가질 수 있으며, 예를 들어, 수소 종은 노출된 금속과 화학적으로 반응하여, 노출된 부분의 전기적 전도성에 바람직하지 못한 영향을 미칠 수 있는 금속 수산화물을 형성한다.
예를 들어, 캘리포니아, 산타클라라의 Applied Materials, Inc. 에 의해 제조된 로우-k 실리콘 옥시카바이드, Black DiamondTM과 같이, 종래의 세정 프로세스는 로우-k(낮은 유전 상수) 물질로 둘러싸인 금속-함유 표면을 세정하는 데는 특히 부적절하다. 이러한 세정 프로세스에서, 세정 가스는 로우-k 물질과 반응하여 이들의 유전값은 변하게 된다. 예를 들어, O2, O2/N2, O2/H2O, O2/N2/H2O, O2/CF4 및 O2/CF4/H2O와 같이, 세정 가스의 조합을 이용하는 종래의 세정 프로세스는 로우-k 유전체의 k 값을 약 2.7에서 약 4.0의 높은 값으로 증가시킬 수 있고, 이는 실리콘 산화물에 대한 k 값과 유사하다. 로우-k 물질은 이들 프로세스에서 발생되는 이온들 때문에 적어도 일부가 세정 프로세스에 손상을 줄 것으로 여겨지며, 특히 수소 및 헬륨 이온과 같은 "가벼운(light)" 이온은 로우-k 물질에 깊이 침투되어 막의 구조에 손상을 줄 수 있을 것으로 여겨진다. 로우-k 유전체가 반도체 디바이스에서 이들의 성능 및 속도를 개선하기 위해 보다 빈번히 사용됨에 따라, 유전 상수 값에 악영향을 주지 않고 기판을 효과적으로 세정할 수 있는 프로세스가 요구된다.
따라서, 표면상에 자연 산화물 또는 다른 오염 증착물을 형성하지 않고 전기적으로 전도성인 표면 세정에 따른 금속-함유 물질을 증착하는 것이 요구된다. 또한, 주변 물질에 악영향을 주지 않고 도체를 세정하는 것이 요구된다. 예를 들어, 기판상에 있는 주변의 로우-k 유전 물질의 k 값을 변화시키지 않고 금속-함유 도체로부터 자연 산화물막을 세정하는 것이 요구된다.
기판 세정 장치는 이온의 수소-함유 종(species) 대 라디칼의 수소-함유 종의 제 1 비율을 포함하는 원격적으로 에너지화된 가스를 형성하기 위해 수소-함유 가스를 에너지화시키는 원격 소스(remote source)를 포함한다. 또한, 세정 장치는 기판 지지체가 구비된 프로세스 챔버, 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 2 비율을 포함하는 필터링되고 에너지화된 가스를 형성하기 위해 원격적으로 에너지화된 가스를 필터링하는 이온 필터, 및 챔버속에 필터링되고 에너지화된 가스를 주입하기 위한 가스 분배기를 포함하며, 상기 제 2 비율은 제 1 비율과 상이하다.
일 형태로, 기판 세정 장치는 필터링되고 에너지화된 가스를 형성하기 위해 원격적으로 에너지화된 가스를 필터링하는 석영 가스 분배판 및 석영 챔버 라이너를 포함한다.
장치내에서 기판을 세정하기 위해, 기판은 장치의 프로세스 영역에 위치된다. 원격 영역에서, 에너지는 수소-함유 가스에 결합되어 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 1 비율을 가지는 원격적으로 에너지화된 가스를 포함한다. 원격적으로 에너지화된 가스는 필터링되어 상기 제 1 비율과 상이한, 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 2 비율을 가지는 필터링되고 에너지화된 가스를 형성한다.
또 다른 형태의 장치는 기판으로부터의 증착물 세정 및 기판상의 금속-함유 도체 증착을 모두 가능케 한다. 이러한 장치에서, 세정 챔버는 세정 챔버내에서 약 10mTorr 미만의 압력을 유지하는 배기 시스템을 포함한다. 또한, 장치는 기판 지지체, 상기 기판 지지체를 면하는 스퍼터링 타겟, 스퍼터링 챔버속으로 가스를 주입하는 가스 전달 시스템, 타겟으로부터의 물질을 기판상에 스퍼터링시키기 위해 가스를 활성화시키는 가스 에너자이저, 및 증착 챔버로부터 가스를 배기시키는 배기 시스템이 구비된 증착 챔버를 포함한다. 이송 챔버는 세정 챔버로부터 스퍼터링 챔버로 기판을 이송시키는 로봇을 포함한다. 이송 챔버는 약 10mTorr 미만의 낮은 압력 환경에서 기판을 유지할 수 있는 엔클로져 및 낮은 압력의 환경을 유지하기 위해 이송 챔버로부터 배기가스로의 출구(outlet)를 포함한다. 제어기는 세정 챔버, 스퍼터링 챔버, 및 로봇이 기판상의 제 1 금속-함유 도체로부터의 증착물을 세정한 다음 상기 기판을 스퍼터링 챔버로 이송시켜 세정된 제 1 금속-함유 도체 상에 제 2 금속-함유 도체가 증착되도록 동작한다.
일 형태에서는, 하부 금속-함유 도체를 노출시키는 피쳐가 그 내부에 형성된 유전 물질을 가지는 기판으로부터 증착물을 세정하는 방법이 제공된다. 상기 방법은 열처리 단계 및 세정 단계를 포함한다. 열처리 단계에서, 기판은 수소-함유 가스에 노출되는 반면 적어도 약 100℃의 기판 온도를 유지한다. 세정 단계에서, 기판은 원격적으로 에너지화된 가스에 노출되며, 원격적으로 에너지화된 가스는 기판을 세정하기 위해, 수소-함유 라디칼 종을 포함한다.
기판으로부터 증착물을 세정하고 열처리 프로세스를 수행하기 위한 일 형태의 장치에서, 상기 장치는 열처리 챔버와 세정 챔버를 포함한다. 열처리 챔버는 기판 지지체, 기판을 가열하는 히터, 챔버 속에 수소-함유 가스를 주입하는 가스 전달 시스템, 및 열처리 챔버로부터 가스를 배기시키는 배기 시스템을 포함한다. 세정 챔버는 기판 지지체, 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 1 비율을 가지는 에너지화된 가스를 형성하기 위해 수소-함유 가스를 원격적으로 에너지화시키는 원격 소스, 챔버속에 원격적으로 에너지화된 가스를 주입하는 가스 분배판, 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 2 비율을 가지는 필터링되고 에너지화된 가스를 형성하기 위해 원격적으로 에너지화된 가스를 필터링하는 이온 필터, 및 세정 챔버로부터 가스를 배기시키는 배기 시스템을 포함하며, 상기 제 2 비율은 상기 제 1 비율과 상이하다. 또한, 상기 장치는 열처리 챔버로부터 세정 챔버로 기판을 이송하는 로봇 및 진공 환경을 유지하기 위해 이송 챔버로부터 가스를 배기시키는 출구가 구비된 이송 챔버를 포함하며, 상기 이송 챔버는 진공 환경에서 기판을 유지할 수 있는 엔클로져를 포함한다. 또한, 상기 장치는 열처리 챔버, 세정 챔버, 및 로봇이 상기 기판을 열처리 챔버에서 처리하고 기판을 세정 챔버로 이송시켜 기판 상의 금속-함유 도체로부터 증착물을 세정하도록 동작시키는 제어기를 포함한다.
본 발명의 특징, 형태, 및 장점들은 본 발명의 실시예를 설명하는 하기의 상세한 설명, 첨부된 청구항 및 첨부 도면을 참조로 보다 명확해 질 것이다. 그러나 각각의 특징들은 전형적인 본 발명에 사용되는 것으로, 본 발명은 이들 특징들의 다양한 조합을 포함할 수 있다.
도 1a 내지 1d는 다양한 프로세싱 단계에서 금속-함유 도체 및 로우-k 유전체층을 가지는 기판의 부분 단면도;
도 2a는 이온 필터를 포함하는 세정 장치의 실시예의 측단면도;
도 2b는 가스 분배판상에 장착된 와이어 그리드 실시예의 부분 상부도;
도 3은 열처리 챔버 실시예의 개략적 상부도;
도 4a는 열처리 챔버의 실시예의 개략적 측면도;
도 4b는 스퍼터링 챔버의 실시예의 측단면도;
도 5는 컴퓨터 판독가능 프로그램을 포함하는 제어기의 블록도;
도 6은 기판 바이어스 전력 레벨, 가스 에너지화(energizing) 레벨 및 압력을 증가시키기 위해 로우-k 유전체층의 k 값 변화 및 두께 변화를 나타내는 그래프;
도 7은 기판 세정 프로세스 이후 기판 온도를 증가시키기 위한 반사율 퍼센트의 기준 편차 및 반사율 퍼1센트의 그래프;
도 8은 콘택 저항값을 증가시키기 위해 측정된 콘택 저항을 포함하는 기판 상의 피쳐 퍼센트를 나타내는 그래프;
도 9는 세정 프로세스에 대한 포토레지스트 제거 비율을 나타내는 비교도;
도 10은 기판 세정 프로세스 전 후에 기판에 대해 측정된 반사율 퍼센트 그래프;
도 11은 저항값을 증가시키기 위해 측정된 저항을 포함하는 기판 상의 상호접속부의 퍼센트의 그래프; 및
도 12는 캐패시턴스값을 증가시키기 위해 측정된 캐패시턴스를 포함하는 기판 상의 캐패시터의 퍼센트 그래프.
세정 프로세스는 기판(10)상에서 금속-함유 도체(16)의 표면(14)을 세정하도록 수행된다. 예를 들어, 세정 프로세스는 산소-함유 환경에 표면 노출로 인해 형성된 자연 산화물막(12)과 같이 표면(14)상에 형성된 증착물(12)을 제거하는데 유용하다. 또한, 증착물(12)은 예를 들어 탄소, 질소, 불소 및 실리콘을 함유하는 잔류물, 및 심지어 중합체 잔류물과 같이, 이전 프로세스 단계에서 형성된 프로세스 잔류물을 포함할 수 있다. 세정 프로세스는 예를 들어, 구리, 알루미늄, 티타늄, 텅스텐, 또는 이들의 합금 또는 화합물을 포함하는 금속-함유 도체(16)의 표면(14)을 세정할 수 있다. 금속-함유 도체(16)를 포함하는 기판(10)의 실시예는 도 1a-d에 도시된다. 기판(10)은 그의 상부에 로우-k 유전체층(18)이 형성된 하부 금속-함유 도체(16)를 포함한다. 로우-k유전체층(18)은 약 2.0 내지 약 3.0, 및 심지어 약 2.7 미만의 k 값과 같이, 약 3.0 미만의 k 값을 가지는 유전 물질을 포함한다. 예를 들어, 적절한 로우-k 유전체층은 캘리포니아, 산타 클라라, Applied Materials, Inc. 에 의해 제조된 로우-k 실리콘 옥시카바이드, Black DiamondTM을 포함할 수 있다. 또 다른 적절한 로우-k 유전체층은 산소, 탄소, 수소 및 다른 원소 중 적어도 하나와 실리콘의 조합을 포함할 수 있다. 유전체층(18) 내에는 피쳐(20)가 포함되며, 피쳐(20)는 도 1a 및 도 1b에 도시된 것처럼, 증착물(12)을 가지는 금속-함유 도체(16)의 표면(14)이 노출된다. 세정 프로세스는 금속-함유 도체(16)의 표면(14)으로부터 증착물(12)을 제거하여 도 1c 및 도 1d에 도시된 것처럼, 세정된 표면(14)과 순차적으로 기판(10)상에 증착된 물질 사이에 양호한 전기적 콘택을 허용한다.
개선된 세정 프로세스는 수소-함유 라디칼을 포함하는 에너지화된 세정 가스에 기판(10)을 노출시키는 단계를 포함한다. 수소-함유 라디칼은 하나의 쌍을 이루지 않은 전자를 가지는 수소-함유 종으로, 화학적 반응성이 높지만, 예를 들어, 수소 원자(H·), 수산화기 라디칼(OH·), 질소 함유 라디칼(NH2·, NH3·)과 같은 포지티브 또는 네거티브 전하 및 메틸 라디칼(CH3·)과 같은 탄소 함유 라디칼은 포함하지 않는다. 수소 함유 라디칼은 배기될 수 있도록 휘발성 수산화기 및 수증기를 형성하기 위해, 자연 산화물과 같은 증착물(12)의 산소 성분과 화학적으로 반응함으로써 비교적 원만한(gentler) 세정 프로세스를 제공하여, 금속-함유 도체(16)의 표면(14)으로부터 증착물(12)을 제거한다. 따라서, 에너지화된 수소-함유 라디칼은 증착물(12)과 보다 특정하게 상호작용하며 주변의 낮은 k 유전체층(18)의 구조물에 바람직하지 않은 손상을 주지 않는다. 예를 들어, 수소-함유 라디칼 세정 프로세스에 대한 층(18)의 k 값은 약 0.1 미만, 심지어 약 0.05 미만일 수 있다.
반대로, 포지티브 또는 네거티브 전하를 갖는 수소-함유 종인 수소-함유 이온이 기판(10)에 활성적으로(energetically) 충돌하여, 로우-k 유전체층(18)의 구조를 과도하게 손상시키고 층(18)의 k 값을 증가시킬 수 있다. 따라서, 개선된 세정 프로세스는 수소-함유 라디칼 및 순차적으로 수소-비함유 이온을 포함하는 에너지화된 가스에 기판(10)을 노출시키는 것이 바람직하다. 예를 들어, 에너지화된 세정 가스에서 수소-함유 라디칼 대 수소-함유 이온의 적절한 비율은 적어도 약 10,000:1이다.
에너지화된 세정 가스는 하나 이상의 H2, H2O, NH3 및 SiH4와 같은 적절한 수소-함유 가스와 에너지를 결합시킴으로써 형성된다. 다수의 수소-함유 라디칼을 형성하기 위해, 세정 가스는 수소-함유 가스를 주성분(majority component)으로 포함하는 것이 바람직하다. 예를 들어, 세정 가스는 수소-함유 가스의 체적당 적어도 약 80%, 심지어 수소-함유 가스의 체적당 적어도 약 90%, 예를 들어 체적당 90%의 H2를 포함한다. 적절한 수소-함유 가스의 용적 유속은 약 100sccm 내지 약 5 Liter/minute이다. 또한, 제공되는 H2O는 에너지화된 가스에서 수소-함유 라디칼의 형성을 조장하며 챔버(106a)내 부품의 부식을 감소시킬 수 있다. 예를 들어, 세정 가스는 체적당 약 1% 내지 약 20%의 H2O 이를테면 체적당 약 1% 내지 약 5%의 H2O를 포함한다. 따라서, 일 형태에서, 세정 가스는 약 50sccm 내지 약 1000sccm의 H2 이를테면 약 300 내지 약 600sccm의 H2, 및 약 0 내지 약 10sccm의 H2O 이를테면 약 1 내지 약 5sccm의 H2O를 포함한다.
일 형태에서, 세정 가스는 질소-함유 가스를 포함한다. 예를 들어, 세정 가스는 수소 및 질소 라디칼 모두를 제공하도록 분해되는 가스 조성을 포함할 수 있다. 질소를 포함하는 적절한 세정 가스 조성의 예로는 (i) NH3, (ii) NH3 및 H2O, (iii) N2 및 H2, (iv) N2, H2 및 H2O 및 (v) NH3, H2O 및 H2가 포함된다. 일 형태에서, 세정 가스는 체적당 약 80% 내지 약 100%의 H2, 체적당 약 1% 내지 약 20%의 H2O, 및 체적당 약 1% 내지 약 20%의 NH3를 포함한다. 또한, 세정 가스는 상기 층(18)으로부터 에칭되는 탄소가 보충되도록 탄소 소스를 제공함으로써, 로우-k 유전체층(18)을 보호하기 위해 탄소-함유 가스를 포함할 수 있다. 적절한 탄소-함유 가스의 예로는 적어도 하나의 CO, CH4, CO2 및 C2H6를 포함한다. 또한, 세정 가스는 적어도 하나의 N2, Ar, He 및 Ne와 같은 첨가 가스를 포함할 수 있다.
세정 가스는 도 2a에 도시된 것처럼, 원격 영역(30)내 가스와 에너지를 결합시켜, 에너지화된 이온 및 라디칼 종을 포함하는 원격적으로 에너지화된 가스를 형성함으로써 활성화될 수 있다. 원격 영역(30)은 세정 챔버(106a)의 프로세스 영역(108)으로부터 적절한 간격에 위치되며, 프로세스 영역(108)에 도달하기 이전에 원격 영역(30)에 형성된 다수의 에너지화된 이온 종은 재결합된다. 라디칼 종이 재결합하지 않고 빈번하게 그리고 종종 동일한 간격에 대해 이온 종 보다 평균 수명이 길어, 원격 영역(30)에서 에너지화된 가스 형성은 다수의 라디칼 종을 허용하여 소수의 이온 종이 프로세스 영역(108)에 전달된다. 원격 영역(30)은 프로세스 영역(108)으로부터 간격(d)에 위치되며, 예를 들어, 원격 영역(30)으로부터 가스 분배판(72)으로의 간격(d)에 대해 원격적으로 에너지화된 가스의 이동은, 감소된 수의 이온 종 및 라디칼 종의 개수 대 이온 종의 개수의 높은 비율을 가지는 에너지화된 가스를 야기시키도록 가스를 필터링시킨다. 세정 챔버(106a)의 프로세스 영역(108)으로부터 원격 영역(30)의 적절한 간격은, 예를 들어 적어도 약 1.5 인치, 이를테면 약 1 인치(2.5cm) 내지 약 4 인치(10cm)이다.
다음 에너지화된 세정 가스는 원격적으로 에너지화된 가스를 필터링하는 이온 필터(50)를 통과하여 이온의 수소-함유 종 라디칼의 수소-함유 종의 비율을 가지는 필터링되고 에너지화된 가스를 형성하며 상기 비율은 원격 영역(30)에 형성된 종에 대한 비율과 상이하다. 바람직하게, 이온 필터(50)는 원격 영역(30)에 형성된 필터링되지 않고 에너지화된 가스의 비율 보다 낮은, 필터링된 가스에서의 이온 대 라디칼 종의 비율을 제공하기 위해 에너지화된 세정 가스에서 이온 종의 수를 감소시킨다. 필터링되고 에너지화된 가스에서 이온 대 라디칼 종의 비율(Rf)은 적어도 약 1:100만큼, 이를 테면 적어도 약 1:500, 심지어 적어도 약 1:10,000 만큼 필터링되지 않은 비율(Ri)보다 낮다. 필터링되지 않은 비율(Ri) 값은 필터링된 비율(Rf)의 적어도 약 100 배, 이를 테면 필터링된 비율의 적어도 약 500 배, 심지어 필터링된 비율의 적어도 약 10,000배이다. 예를 들어, 필터링된 비율 Rf=(Rf/Ri)·Ri이며, 필터링된 비율 Rf=If/Nf이고 필터링되지 않은 비율 Ri=Ii/Ni이고, If 및 Ii는 각각 필터링된 가스 및 필터링되지 않은 가스에서의 라디칼 이온의 수이며, Nf 및 Ni는 각각 필터링된 가스 및 필터링되지 않은 가스에서의 라디칼 종의 수이다. 이온 및 라디칼 종의 수와 관련하면, 필터링된 비율 Rf=(If/Nf)(Ni/Ii)·Ri이다. 필터링된 가스 및 필터링되지 않은 가스에서 라디칼 종의 수가 실질적으로 동일하게 유지되는 것으로 가정하면, 즉, 라디칼의 수가 약 20% 미만으로 변한다면, Nf는 Ni와 같고 이들 팩터는 상기 식에서 소거되어 필터링된 비율 Rf=(If/Ii)Ri가 된다. 필터링된 비율은 필터링된 이온의 개수 대 필터링되지 않은 이온의 개수의 비율과 실질적으로 동일한 팩터에 의해 감소되어 필터링되지 않은 비율과 같아질 수 있고, 약 1:1000 미만의 비율, 이를테면 약 1:500 미만, 심지어 약 1:10,000 미만의 비율이 바람직하다. 따라서, 이온 필터(50)는 로우-k 유전체층(18)에 손상을 줄 수 있는 에너지화된 가스로부터 이온 종을 제거하는 반면, 기판(10)을 세정하기 위해 에너지화된 세정 가스에는 라디칼 이온이 유지되게 할 수 있다.
바람직한 비율이 달성되었는지 여부를 결정하기 위해 에너지화된 가스에서 이온 종 대 라디칼 종의 상대 비율을 검출하는 몇 가지 방법이 있다. 플라즈마 방출을 이용함으로써, 수소 라디칼의 상대량은 예를 들어 656nm에서 수소 방출 스펙트럼의 세기를 검출함으로써 모니터 될 수 있다. 에너지화된 가스에서 수소 라디칼의 상대량은 수소 방출 라인의 세기에 일반적으로 비례하며, 따라서, 높은 방출 라인 세기는 수소 라디칼의 상대 농도가 높다는 것을 나타낸다. 에너지화된 가스에서 수소 이온의 상대량은 예를 들어 기판 지지체(110)의 DC 바이어스 변화를 측정함으로써 측정된다. 지지체(110) 상에 충돌하여 지지체(110)를 하전시키는 플라즈마에서 수소 이온의 개수는 지지체(110)에서 측정된 DC 바이어스에 비례한다. 따라서, 지지체(110)에서 측정된 DC 바이어스 대 수소 방출 라인 세기의 비율은 에너지화된 가스에서 이온 대 라디칼의 수소-함유 종의 비율의 추정치를 제공할 수 있다. 또한, 수소 이온 농도는 랭뮤어 프로브(langmuir probe)로 측정될 수 있으며, 상기 랭뮤어 프로브는 팁 상에 충돌하는 이온에 의해 부여된 전하로부터의 이온 전류 플럭스와 같은 파라미터를 측정하기 위해 에너지화된 가스 속에 삽입되는 전도성 프로브를 포함한다. 랭뮤어 프로브의 예는 본 명세서에서 참조 되며 Carlile 등에 의한 US 특허 제 5,339,039호에 개시되어 있다.
검출기(202)가 제공되어 수소 방출 세기, DC 바이어스, 랭뮤어 프로브 또는 다른 방법 중 하나 이상을 사용하여 라디칼 및 이온 종중 적어도 하나의 상대량 및 농도를 측정할 수 있다. 검출기(202)는 챔버(106)와 장치(102)의 상이한 영역에 위치되어 상기 영역에서 이온 및 라디칼중 적어도 하나의 농도를 측정할 수 있다. 검출기는 필터링되지 않은 에너지화된 가스에서의 라디칼 및 이온중 하나 이상의 양을 검출하기 위해 원격 챔버(40)에 또는 그 부근에 위치될 수 있다. 예를 들어, 필터링되지 않은 에너지화된 가스에서 검출된 이온의 농도는 약 1011 내지 약 1012 ions/cm3 정도이다. 또한, 검출기(202)는 챔버(106a) 부근에, 예를 들면 프로세스 영역(108) 부근에 위치되어, 필터링된 가스에서의 이온 및 라디칼중 하나 이상의 양을 검출할 수 있다. 예를 들어, 필터링되고 에너지화된 가스에서 검출된 이온의 농도는 약 108 내지 약 109 ions/cm3 정도이다. 따라서, 장치(102)의 상이한 영역에서 이온 및 라디칼 종중 적어도 하나의 개수가 검출기(202)에 의해 측정되어 필터링된 그리고 필터링되지 않은 가스에서 이온 및 라디칼 종의 상대량이 검출될 수 있다.
일 형태에서, 이온 필터(50)는 도 2a 및 2b에 도시된 것처럼, 에너지화된 세정 가스가 통과할 수 있도록 그 내부에 개구부(54)가 형성된 전도성 와이어 그리드(52)를 포함한다. 와이어 그리드(52)는 전기적으로 접지되어(도시됨) 그리드(52) 상에 충돌하는 이온 종으로부터의 전하가 그리드(52)를 통해 접지 전위가 되어, 에너지화된 세정 가스로부터 하전된 이온 종이 제거된다. 또한, 와이어 그리드(52)는 하전된 이온 종이 방출되는 전기적 전위 영역을 형성하도록 선택적으로 바이어스될 수 있다. 와이어 그리드(52)는 개구부(54)의 영역 대 와이어 그리드(52)의 영역의 비율을 포함하며 상기 비율은 가스 흐름이 양호하도록 이를 테면 약 10:1 내지 약 1:10, 심지어 적어도 1:1의 비율로 충분히 높다. 와이어 그리드(52)는, 예를 들어, 몰리브덴, 티타늄, 니켈-크롬 합금 및 알루미늄 합금과 같이, 적절한 전기적으로 전도성인 물질을 포함한다.
와이어 그리드(52)는 원격 영역(30)과 기판(10) 사이에, 예를 들면 프로세스 영역(108) 위에 활성화된(activated) 세정 가스의 흐름 경로에 위치된다. 일 형태에서, 도 2a 및 2b에 도시된 것처럼, 와이어 그리드(52)는 원격 영역(30)으로부터 수신된 에너지화된 세정 가스를 프로세스 영역(108)에 분포시키는 가스 분배판(72)상에 장착된다. 와이어 그리드내의 개구부(54)와 가스 분배판(72)내의 개구(71)과 일치되도록 와이어 그리드(52)가 가스 분배판(72)상에 장착되어 와이어 그리드(52)와 가스 분배판(72)을 통해 에너지화된 세정 가스가 흐를 수 있다. 와이어 그리드(52)는 가스 분배판(72)의 상부 표면에 장착되어 도 2a에 도시된 것처럼, 가스 분배판(72)에 적어도 부분적으로 내장되어 와이어 그리드(52)의 부식을 방지할 수 있다. 바람직하게 가스 분배판(72)은 알루미늄, 사파이어, 실리카 및 석영중 적어도 하나와 같이, 유전 물질을 포함하며 또한 실리콘, 알루미늄 및 실리콘 카바이드 중 적어도 하나와 같이 전도성 물질을 포함할 수도 있다. 또한, 와이어 그리드는 가스 분배판(72)의 하부 표면(75)상에 또는 선택적으로 장착되거나, 또는 가스 분배판(72)으로부터 이격될 수 있다. 또한, 가스 분배판(72)은, 상기 판(72)이 에너지화된 가스 흐름을 회전시키는 배플(baffle)로서 작용함에 따라, 이온 필터(50)로서 자체 작용할 수도 있어, 에너지화된 라디칼 종의 재조합을 촉진시킬 수 있다.
또한, 에너지화된 세정 가스는 석영을 포함하는 표면(60) 위에 에너지화된 가스를 통과시킴으로써 이온 종을 제거하도록 필터링될 수 있다. 석영 표면(60)은 표면(60)상에서 수소-함유 종이 수소와 결합되어 흡수될 수 있는 표면을 제공함으로써 라디칼의 재결합을 감소시키는 이온 필터(50)로서 작용하는 것으로 여겨진다. 석영 표면(60)상에 충돌하는 수소-함유 종은 에너지화된 가스 속으로 흡수된 수소-함유 라디칼을 방출하여 수소 라디칼을 재발생시킨다. 수소 이온은 석영 표면(60)에 의해 재발생 되지 않아 이들 이온은 전기적으로 중성의, 비-이온 종을 형성하도록 재결합된다. 따라서, 석영 표면 위에 활성화된 세정 가스를 통과시킴으로써, 이온 종은 에너지화된 세정 가스로부터 효과적으로 필터링되는 반면 라디칼 종은 보존된다.
석영 표면(60)은 에너지화된 세정 가스로부터 수소 이온 종의 최적의 필터링을 제공하도록 배열된다. 일 형태에서, 석영 표면(60)은 도 2a에 도시된 것처럼, 원격 영역(30)과 프로세스 챔버(106a) 사이에 접속 도관(62) 일부의 내부 표면을 포함한다. 예를 들어, 접속 도관(62)은 석영 튜브를 포함할 수 있다. 또 다른 형태에서, 석영 표면(60)은 가스 분배기(70)의 하나 이상의 표면을 포함할 수 있으며, 이는 도 2a에 도시된다. 예를 들어, 가스 분배기(70)는 석영을 포함하는 가스 분배판(72)을 포함한다. 또한, 석영 표면(60)은 가스 분배판(72)과 가스 분배 입구(83) 사이에 있는 가스 분배 플래넘(76)을 둘러싸는 벽(78, 80)의 표면상에 있을 수 있다. 예를 들어, 상부 및 측면 플래넘 벽(78, 80)은 석영으로 구성될 수 있다. 또한, 플래넘 벽(78, 80)은 벽(78, 80)을 라이닝하는 라이너(82)를 포함하며 석영 라이너와 같은 석영 표면(60)을 포함할 수 있다. 석영 표면(60)을 포함하는 라이너(82)는 챔버 측벽(114), 하부벽(116), 천정(118)중 적어도 하나의 부분의 표면과 같은 챔버(106a)내 다른 표면 및 가스 분배판(72)과 같은 다른 부품을 라이닝할 수 있다.
기판(10)의 온도는 증착물(12)내의 산화물 감소를 위한 최적을 조건을 제공하도록 설정되며, 수소-함유 라디칼과 증착물(12) 사이의 화학 반응이 가속되도록 설정될 수 있다. 예를 들어, 기판 온도는 약 0 내지 약 500℃, 이를테면 약 150℃ 내지 약 450℃, 심지어 약 25℃ 내지 약 350℃, 이를테면 약 150℃ 내지 약 350℃로 유지될 수 있다. 일 형태에서, 세정 프로세스 동안 기판(10)에 인가되는 바이어스 전력 레벨은 바람직하게 낮으며, 높은 바이어스 전력 레벨은 에너지화된 세정 가스내 이온에 의해 기판(10)의 충돌을 증가시킬 수 있다. 적절한 바이어스 전력 레벨은 약 100 Watt 미만이며, 이를 테면 약 0 내지 약 10Watt, 심지어 약 1 Watt 내지 약 10 Watt이며, 실질적으로 제로일 수도 있다. 또 다른 형태에서, 세정 속도를 증가시키기 위해 100 Watt 이상의 높은 바이어스 전력 레벨, 심지어 약 100 Watt 내지 약 200 Watt의 보다 높은 바이어스 전력 레벨이 인가될 수 있다.
기판(10) 세정은 기판(10)으로부터 증착물(12)을 제거하기 위해 열처리 또는 어닐링 단계를 수행함으로써 강화될 수 있다는 것이 밝혀졌다. 열처리 단계에서, 기판(10)은 예를 들어 증착물(12)을 기화시킴으로써, 기판(10)으로부터 물질의 가스 제거를 위해 충분히 높은 온도로 가열된다. 열처리 단계 동안 기판(10)상에 산화물 형성을 방지하기 위해 환원 가스 흐름이 제공될 수도 있다. 적절한 환원 가스는 예를 들어 H2와 같은 수소-함유 가스를 포함할 수 있다. 열처리 단계는 실질적으로, 원격적으로 에너지화된 수소 라디칼 세정 단계 이전에 기판(10)의 상대적으로 원만한(gentle) 초기 세정을 제공하기 위해, 예를 들어 RF 또는 마이크로파 에너지와 환원 가스의 결합없이, 환원 가스를 에너지화시키지 않고 수행될 수 있다.
열처리 단계의 일 형태에서, 기판(10)은 적어도 약 100℃, 이를 테면 약 150℃ 내지 약 350℃의 온도로 가열된다. H2 흐름이 약 100sccm 내지 약 5 Liters/minute의 용적 유속으로 제공된다. 열처리 단계 동안 압력은 약 100mTorr 내지 약 100Torr, 심지어 약 30Torr 미만으로 유지되며, 적절한 가열 기간은 약 1 내지 약 10분이다.
일 형태에서, 열처리 단계는 수소 라디칼 세정 단계와 동일한 챔버(106a)에서 수행된다. 예를 들어, 챔버(106a)는 챔버(106a) 내 열처리 온도로 기판(10)을 가열하도록 구성된 히터를 갖는 온도 제어 시스템(140)을 포함할 수 있다. 도 2a에 도시된 실시예에서, 히터는 기판 지지체(110) 내의 기판(10) 아래에 저항성 가열 부재(111)를 포함한다. 또한, 히터는 예를 들어 오버헤드 가열 램프와 같은 다른 가열 디바이스를 포함할 수 있다. 또 다른 형태에서, 개별 열처리 챔버(106c)에서 수행되며, 실시예는 도 2에 도시된다. 열처리 챔버(106c)는 예를 들어, 진공 이송 챔버(117)를 통해 세정 챔버(106a)와 진공 접촉하여, 기판(10)이 열처리 챔버(106c)로부터 세정 챔버(106a)로 진공 파손 없이 이송되는 것이 바람직하다.
일 실시예에서, 세정 프로세스, 및 선택적으로 열처리 프로세스는 레지스트층(22)이 하부 로우-k 유전체층(18)의 표면(19)을 노출시키기 위해 실질적으로 완전히 제거된 이후 수행된다. 스트립핑 프로세스는 도 1a에 도시된 것처럼, 레지스트층(22)을 포함하는 기판(10)을 스트립핑 챔버내의 수소-함유 가스와 같이 에너지화된 스트립핑 가스에 노출시키는 단계를 포함할 수 있다. 일단 레지스트층(22)의 스트립핑이 완료되고 레지스트층(22)이 실질적으로 도 1b에 도시된 것처럼 완전히 제거되면, 기판(10)은 개별 세정 챔버(106a)로 이송된다. 스트립핑 프로세스는 기판(10)으로부터의 물질의 상당량의 벌크식(bulk) 제거를 수반하여, 세정 챔버(106a) 또는 다른 챔버와 스트립핑 챔버의 진공 통합은 스트립핑 챔버로부터 오염물을 형성할 수 있기 때문에, 세정 챔버(106a)는 스트립핑 챔버와 진공 접촉되지 않는 개별 멀티챔버 장치의 일부인 것이 바람직하다. 개별 열처리 챔버(106c)가 사용되는 경우, 열처리 챔버(106c)는 스트립핑 챔버와 진공 접촉하지 않는 것이 바람직하며, 세정 챔버(106a)를 포함하는 멀티챔버 진공 장치의 일부일 수 있다. 증착물(12)의 자연 산화물 성분은 금속-함유 도체(16)를 산소-함유 스트립핑 환경에 노출시킴에 따라, 또는 도 1b에 도시된 것처럼, 스트립핑 챔버로부터 세정 챔버(106a)로 기판(10)의 이송시 대기 환경에 노출됨에 따라, 금속-함유 도체(16)의 표면(14) 상에 형성될 수 있다.
적절한 세정 프로세스의 일 형태에서, 약 50 내지 약 1000sccm의 H2, 이를테면 300sccm의 H2 및 약 0 내지 약 10sccm의 H2O, 이를테면 3sccm의 H2O를 포함하는 세정 가스는 약 300Watt 내지 약 3000Watt, 이를테면 1050Watt의 전력 레벨을 인가함으로써 원격 소스(35)에서 활성화된다. 챔버 압력은 약 10Torr 미만, 이를테면 약 1Torr로 유지된다. 약 0 내지 약 100Watt, 이를 테면 50Watt의 바이어스 전력 레벨이 기판(10)에 바이어스되어 인가되며, 기판(10)의 온도는 약 150 내지 약 450℃, 이를테면 250℃로 유지된다. 세정 프로세스는 실질적으로 증착물(12)을 제거하여 도 1c에 도시된 것처럼, 세정된 표면(14)을 제공한다.
세정 프로세스가 완료된 이후, 챔버(106a) 내 압력은 약 10mTorr 미만의 압력으로 감소되어, 소모된 세정 가스 및 세정 부산물이 배기되고 세정 챔버(106a)에 의해 멀티챔버 장치의 오염물들이 제거된다. 다음 기판(10)이 이송 로봇(119)을 가지는 기판 이송 챔버(117)를 통해 진공상태인 증착 챔버(106b)로 이송되어 구리, 알루미늄, 탄탈, 텅스텐, 탄탈 질화물 및 텅스텐 질화물 중 적어도 하나와 같은 제 2 금속-함유 도체(21)가 도 1d에 도시된 것처럼, 새롭게 세정된 금속-함유 도체 표면(14)상에 증착된다.
수소-함유 라디칼 세정 프로세스에 적합한 세정 챔버(106a)를 포함하는 장치(102)의 예가 도 2a에 도시된다. 본 명세서에서 도시된 장치(102)의 특정 실시예는 반도체 웨이퍼와 같은 기판(10) 세정에 적합하며, 당업자에 의해 평판 디스플레이, 폴리머 패널과 같은 다른 기판(10) 또는 전기회로를 수용하는 다른 구조물 세정에 적용될 수 있다. 통상적으로, 세정 챔버(106a)는 프로세스 영역(108)을 둘러싸는 천정(118), 측벽(114), 및 하부벽(116)을 포함할 수 있는 엔클로져 벽과 같은 하나 이상의 벽(107)을 포함한다. 에너지화된 세정 가스는 원격 소스(35) 및 가스 분배기(70)를 포함하는 가스 공급원(130)에 의해 프로세스 영역(108)에 제공된다. 세정 가스는 원격 소스(35)에 의해 에너지화되고 입구(83)를 가지는 접속 도관(62)을 통해 가스 분배기(70)에 의해 수용된다. 가스 분배기(70)는 프로세스 영역(108) 내의 가스를 분산시키기 위해 내부에 개구(71)이 형성된 가스 분배판(72)을 포함할 수 있다. 또한, 가스 분배기(70)는 기판(10) 주변부 부근에 하나 이상의 도관을 선택적으로 포함할 수 있다. 소모된 가스 및 부산물은 프로세스 영역(108)으로부터 가스를 수용하는 배기 포트(177)를 포함할 수 있는 배기 시스템(144)을 통해 챔버(106a)로부터 배기되며, 챔버(106a)내 가스 압력을 제어하는 트로틀 밸브(135), 터보-분자형 배기 펌프와 같은 하나 이상의 배기 펌프(152)를 포함할 수 있다. 배기 시스템(144)은 챔버(106a)내에서 대기압 이하의 압력을 유지할 수 있다.
세정 가스를 원격적으로 에너지화시키는데 적합한 원격 소스(35)는 원격 영역(30)을 가지는 원격 챔버(40), 세정 가스 소스(39) 및 원격 가스 에너자이저(37)를 포함한다. 동작시, 세정 가스는 원격 챔버(40)에서 세정 가스 소스(39)로부터 수신된다. 흐름 밸브(41)는 원격 챔버(40) 속으로 세정 가스의 유속을 제어하기 위해 제공될 수 있다. 원격 가스 에너자이저(37)는 원격 영역(30)의 세정 가스와 에너지를 결합시켜 세정 가스를 에너지화시켜 에너지화된 이온 및 라디칼 종을 포함하는 에너지화된 세정 가스를 형성한다. 예를 들어, 원격 가스 에너자이저(37)는 RF 및 마이크로파 에너지 중 적어도 하나와 세정 가스를 결합시킬 수 있다. 일 형태에서, 원격 가스 에너자이저(37)는 원격 영역(30)에서 세정 가스와 RF 에너지를 유도적으로 결합시키는 인덕터 안테나를 포함한다. 세정 가스에 결합되기에 적절한 RF 전력 레벨은 약 100Watt 내지 약 10kWatt이다. 또 다른 형태에서, 원격 가스 에너자이저(37)는 본 명세서에서 참조되는 Smith 등에 의한 US 특허 제 6,150,628호에 개시된 것처럼 원격 영역(30)에서 세정 가스와 에너지를 결합시키기 위해 토로이달 가스 에너자이저를 포함한다. 토로이달 가스 에너자이저에 의해 인가된 적절한 RF 전력 레벨은 약 1000Watt 내지 약 10,000Watt일 수 있다. 마이크로파 가스 액티베이터(activator)를 포함하는 원격 가스 에너자이저(37)가 제공될 수도 있다. 적절한 마이크로파 전력 레벨은 약 300Watt 내지 약 5kWatt일 수 있다. 또한, 챔버(106a)는 챔버(106a)의 프로세스 영역(108)에서 가스와 에너지를 결합시키기 위한 챔버 가스 에너자이저를 선택적으로 포함할 수 있다. 예를 들어, 챔버 가스 에너자이저는 RF 에너지를 결합시키기 위해 하나 이상의 전극 및 인덕터 안테나를 포함할 수 있다.
기판(10)은 기판 수용 표면(180)을 가지는 지지체(110) 상의 프로세스 영역(108)에 보유된다. 지지체(110)는 전압 공급원(91)으로부터 전력 레벨을 인가함으로써 전기적으로 바이어스될 수 있는 전극(90)을 선택적으로 포함할 수 있다. 전극(90)은 지지체(110) 상의 기판(10)을 정전기적으로 보유하도록 바이어스될 수 있다. 전극(90)과 기판(10)은 기판(10)의 이온 충격 정도와 같은, 프로세스의 특성에 영향을 미치도록 바이어스될 수 있다. 그러나 지지체(110)는 임의의 하전가능한 전극이 없을 수도 있다. 온도 제어 시스템(140)이 기판(10)의 온도를 유지하기 위해 제공되며, 예를 들어 기판(10) 아래의 지지체(110)에 저항성 가열 부재(111)를 포함할 수 있다. 온도 제어 시스템(140)은 열 교환 유체가 제공되는 열 교환 도관, 및 가열 램프와 같은 하나 이상의 다른 열-교환 디바이스를 포함할 수도 있다. 또한, 온도 제어 시스템(140)은 기판(10)의 온도를 모니터하는 열전쌍과 같은 온도 모니터를 포함할 수도 있으며, 챔버 제어기(300)에 온도와 관련된 신호를 제공한다.
또한, 프로세싱 챔버(195)를 포함하는 장치(102)는 다수의 프로세싱 챔버(106a-d)를 포함하는 대형의 멀티-챔버 장치(102)의 일부일 수도 있다. 기판(10) 처리에 적합한 장치(102)의 실시예는 도 3에 도시된 것처럼 하나 이상의 프로세싱 챔버를 포함한다. 챔버(106a-d)는 전기적 배관 및 다른 지지 기능을 제공하는, 캘리포니아 산타클라라의 Applied Materials, Inc. 로부터의 Precision 5000TM 플랫폼과 같은 플랫폼상에 장착된다. 전형적으로 플랫폼(109)은 처리될 기판(10) 카세트(115)를 수용하도록 로드락(113) 및 카세트(115)로부터 처리를 위해 상이한 챔버(106a-d)로 기판을 이송시키고 처리 후에 이들을 다시 복귀시키는 로봇(119)을 포함하는 기판 이송 챔버(117)를 지지한다. 상이한 챔버(106a-d)는 예를 들어 증착물 세정 챔버(106a), 웨이퍼 상에 물질을 증착하는 증착 챔버(106b), 선택적으로 열 처리 챔버(106c), 및 다른 프로세싱 챔버를 포함한다. 예를 들어, 일 형태에서, 챔버(106a-d) 중 하나는 기판(10)상의 금속-함유 도체(16)상에 형성된 증착물을 제거하는 세정 챔버(106a)를 포함한다. 세정 프로세스가 완료된 후, 기판(10)은 세정된 기판(10) 상의 금속-함유 도체와 같은 물질을 증착하기 위해 증착 챔버(106b)로 로봇(119)에 의해 이송될 수 있다. 또한, 기판(10)은 로봇(119)에 의해 제 1 챔버내에서 증착된 제 1 물질 위에, 또 다른 금속-함유 도체와 같은 또 다른 물질을 증착할 수 있는 제 2 증착 챔버(106)로 이송될 수도 있다. 또한, 기판(10)은 열처리 프로세스 이후에 열처리 챔버(106c)로부터 세정 챔버(106a)로 로봇(119)에 의해 이송될 수 있다. 챔버(106a-d)는 프로세스가 중단없이 처리될 수 있는 장치(102) 내에 연속적인 진공 환경을 형성하도록 상호접속되어, 상이한 프로세스 스테이지를 위한 개별 챔버들 사이에서 웨이퍼가 이송되는 경우 발생할 수 있는 기판(10) 오염을 감소시킨다. 이송 챔버(117)는 출구(129)를 가지는 배기 시스템을 포함하여 가스를 배기시키고 챔버(106a-d)의 오염을 감소시키기 위해, 약 10mTorr 미만의 압력과 같이, 낮은 압력 환경을 유지할 수 있다.
기판(10)상에 물질을 증착할 수 있는 챔버(106b)의 예시적 형태는 도 4b에 개략적으로 도시된다. 챔버(106b)는 캘리포니아, 산타클라라의 Applied Materials, Inc.에 의해 개발된 SIP++형 챔버와 같이, 자체-이온화 플라즈마 챔버를 나타낸다. 그러나 본 발명의 면들은 SIP++형 챔버를 포함하는 증착 챔버로 제한되지 않으며 다른 증착 챔버가 적합할 수도 있다. 전형적인 챔버(106a)는 측벽(120), 하부벽(122) 및 천정(124)을 포함하는 엔클로져 벽(218)을 포함한다. 기판 지지체(230)는 챔버(106b)내 기판(10)을 지지하도록 제공된다. 기판 지지체(230)는 예를 들어 RF 전력 공급원(203)일 수 있는 페데스탈 전력 공급원(210)에 의해 전기적으로 플로팅되거나 바이어스될 수 있다. 기판(10)은 챔버(106b)의 측벽(120)에 있는 기판 로딩 입구(미도시)를 통해 챔버(106b) 속으로 도입되어 지지체(230) 상에 위치된다. 지지체(230)는 지지 리프트 벨로우즈(미도시)에 의해 상승 및 하강될 수 있고 리프트 핑거 어셈블리(미도시)는 챔버(106b) 안팎으로 기판(10)을 이송하는 동안 지지체(230) 상에서 기판(10)을 상승 및 하강시키는데 사용될 수 있다.
스퍼터링 가스와 같은 처리 가스가 가스 소스(154a-c)를 포함하는 처리 가스 공급원(252)을 통해 챔버(106b)속에 주입되며, 각각의 공급 도관(156a-c)은 가스 유속 세트가 통과하도록 질량 흐름 제어기와 같은, 가스 흐름 제어 밸브(158a-c)를 포함한다. 도관(156a-c)은 원하는 처리 가스 조성을 형성하도록 가스들이 혼합되는 혼합 매니폴드(160)에 가스를 공급한다. 혼합 매니폴드(160)는 챔버(106b) 내에 하나 이상의 가스 출구(164)를 가지는 가스 분배기(162)를 공급한다. 가스 출구(104)는 기판 지지체(230)의 주변부 부근에서 종결되도록 챔버 측벽(120)을 통과한다. 처리 가스는 타겟(211)상에 에너지적으로 충돌하여 타겟(211)으로부터 물질을 스퍼터링하는 아르곤 또는 크세논과 같은 비-반응성 가스를 포함할 수 있다. 처리 가스는 기판(10)상에 층을 형성하기 위해 스퍼터된 물질과 반응할 수 있는 하나 이상의 산소-함유 가스 및 질소-함유 가스와 같은, 반응성 가스를 포함할 수도 있다. 소모된 처리 가스 및 부산물은 소모된 처리 가스를 수신하는 하나 이상의 배기 포트(170)를 포함하고, 챔버(106b)내 가스의 압력을 제어하는 트로틀 밸브(174)가 있는 배기 도관(172)으로 소모된 가스를 통과시키는 배기 시스템(168)을 통해 챔버(106b)로 부터 배기된다. 배기 도관(172)은 하나 이상의 배기 펌프(176)를 공급한다. 전형적으로, 챔버(106b)내 스퍼터링 가스의 압력은 대기압 이하 레벨로 설정된다.
또한, 스퍼터링 챔버(106b)는 기판(10)을 면하는 스퍼터링 타겟(211)을 포함한다. 스퍼터링 타겟(211)은 도 4b에 도시된 형상과 같이, 원하는 형상을 포함할 수 있다. 또한, 스퍼터링 타겟(211)은 실질적으로 평면형 스퍼터링 표면을 갖는 실질적으로 평면형 형상을 포함할 수도 있다. 스퍼터링 챔버(106b)는 스퍼터링된 물질로부터 챔버의 벽(218)을 보호하고, 전형적으로 캐소드 타겟(211)에 대한 애노드로서 작용하는 차폐물(128)을 포함할 수도 있다. 차폐물(128)은 전기적으로 플로팅되거나 접지될 수 있다. 타겟(211)은 챔버(106b)로부터 전기적으로 절연되고 펄스형 DC 전원, 그러나 다른 형태의 전압원일 수도 있는 타겟 전력 공급원(201)에 접속된다. 일 형태에서, 타겟 전력 공급원(201), 타겟(211), 및 차폐물(128)은 타겟(211)으로부터 물질이 스퍼터링되도록 스퍼터링 가스를 에너지화시킬 수 있는 가스 에너자이저(180)로서 동작한다. 타겟 전력 공급원(201)은 차폐물(128)에 대해 타겟(211)에 바이어스 전압을 인가한다. 스퍼터링 타겟(211)에 인가된 전압으로부터 챔버(106b)에 발생된 전계는 스퍼터링 가스를 에너지화시켜 타겟으로부터 기판(10)상에 물질을 스퍼터링하기 위해 타겟(211)상에 활성적으로(energetically) 충돌되고 가격되는 플라즈마를 형성한다. 처리 가스를 에너지화시키기 위한 펄스형 DC 전압의 적절한 펄싱 전압은 예를 들어 적어도 약 50kHz, 보다 바람직하게는 약 300kHz, 보다 더 바람직하게는 약 100kHz이다. 처리 가스를 에너지화시키기 위해 적절한 DC 전압 레벨은 예를 들어 약 200 내지 약 800Volt이다.
챔버(106b)는 타겟 물질의 스퍼터링을 강화시키기 위해 타겟(211) 부근의 고밀도 플라즈마 영역(226) 내의 이온 밀도가 증가되도록 챔버(106b)의 타겟(211) 부근에 자계를 발생시키는 자계 발생기(401)를 포함하는 마그네트론(403)을 더 포함한다. 개선된 마그네트론(403)은 구리의 지속된 자체-스퍼터링(self-sputtering) 또는 알루미늄, 티타늄, 또는 다른 금속의 스퍼터링에 사용되는 반면 예를 들어, 모두 본 명세서에서 참조되는 Fu의 US 특허 제6,183,614호("Rotating Sputter Magnetron Assembly"); 및 Gopalraja 등의 US 특허 제6,274,008호("Integrated Process for Copper Via Filling")에 개시된 타겟 가격을 목적으로 비-반응성 가스의 요구조건을 최소화시킨다.
선택적인 열처리 챔버(106c)의 실시예는 도 4a에 도시된다. 열처리 챔버는 프로세스 영역(408)을 둘러싸는 엔클로져 벽(407)을 포함한다. 열처리 가스는 예를 들어, 접속 도관(436)을 통해 소스로부터 열처리 가스를 수신하는 가스 분배기(434) 및 열처리 가스 소스(432)를 포함하는 가스 전달 시스템(430)에 의해 프로세스 영역(408)에 제공된다. 가스 전달 시스템(430)은 프로세스 영역(408) 속으로 가스의 흐름을 제어하기 위해 유량계(438)를 더 포함할 수 있다. 기판(10)은 지지체(410)상의 프로세스 영역(408)에 고정된다. 선택적으로 지지체(410)는 지지체(410) 상에서 기판(10)을 고정하도록 전기적으로 바이어스될 수 있는 전극(미도시)을 포함할 수 있다. 소모된 가스 및 부산물은 프로세스 영역(408)으로부터 가스를 수신하는 배기 포트(477)를 포함할 수 있는 배기 시스템(444)을 통해 챔버(106c)로부터 배기되며, 챔버(106a)내 가스의 압력을 제어하는 트로틀 밸브(435), 및 터보-분자형 배기 펌프와 같은 하나 이상의 배기 펌프(452)를 포함할 수도 있다.
열처리 챔버(106c)는 원하는 온도로 기판(10)을 가열할 수 있는 히터(142)를 가지며 원하는 온도로 기판(10)을 유지하는 온도 제어 시스템(140)을 포함한다. 도 4a에 도시된 실시예에서, 히터(142)는 기판(10)의 표면상에 가열 방사가 향하도록 구성된 가열 램프(143) 어레이(445)를 포함한다. 또한, 온도 제어 시스템(140)은 지지체(410) 내의 저항성 가열 부재 또는 열 교환 유체가 제공되는 열 교환 도관과 같은 하나 이상의 가열 디바이스를 포함할 수 있다. 또한, 온도 제어 시스템(140)은 기판(10)의 온도를 모니터하는 열전쌍과 같은, 온도 모니터를 포함하며, 챔버 제어기(300)에 온도에 대한 신호를 제공한다.
멀티-챔버 장치(102)는 도 5에 도시된 것처럼, 하드웨어 인터페이스(304)를 통해 제어기(300)에 의해 작동할 수 있다. 제어기(300)는 캘리포니아 Synergy Microsystems로부터 상업적으로 입수가능한 68040 마이크로프로세서 또는 메모리(308) 및 주변 컴퓨터 부품에 결합되는, 캘리포니아, 산타클라라의 Intel Corporation으로부터 상업적으로 입수가능한 펜티엄 프로세서와 같은, CPU(306)(central processor unit)를 구비한 컴퓨터(302)를 포함한다. 바람직하게, 메모리(308)는 예를 들어 CD 또는 플로피 드라이브와 같이 제거가능한 저장 매체(310), 예를 들어 하드 드라이브와 같은 제거불가능한 저장 매체(312), 및 랜덤 액서스 메모리(314)를 포함할 수 있다. 제어기(300)는 예를 들어, 아날로그 및 디지털 입력 및 출력 보드, 인터페이스 보드, 및 모터 제어기 보드를 포함하는 다수의 인터페이스 카드를 더 포함할 수 있다. 오퍼레이터와 제어기(300) 사이의 인터페이스는 예를 들어, 디스플레이(316) 및 광 펜(318)을 경유한다. 광 펜(318)은 광 펜(318)의 팁에 광 센서를 구비한 모니터 디스플레이(316)에 의해 방출된 광을 검출한다. 특정 스크린 또는 기능을 선택하기 위해, 오퍼레이터는 모니터(316) 상에 있는 스크린의 지정 영역을 터치하고 광 펜(318)상의 버튼을 누른다. 전형적으로, 터치된 영역은 색상이 변화되거나, 또는 새로은 메뉴가 디스플레이되어, 사용자와 제어기(300) 사이에 통신을 확인한다.
일 형태에서 제어기(300)는 예를 들어, 제거불가능 저장 매체(312) 또는 제거가능한 저장 매체(310)상에서 메모리(308)에 저장될 수 있는 컴퓨터-판독가능 프로그램을 포함한다. 일반적으로 컴퓨터 판독가능 프로그램(320)은 챔버(106a-d) 및 이들의 부품들, 이송 챔버(117) 및 로봇(119), 챔버(106a-d)내에서 수행되는 프로세스들을 모니터링하기 위한 프로세스 모니터링 소프트웨어, 안전 시스템 소프트웨어, 및 예로서 다른 제어 소프트웨어을 동작시키키는 프로그램 코드를 포함하는 프로세스 제어 소프트웨어를 포함한다. 컴퓨터-판독가능 프로그램(320)은 예를 들어, 어셈블리 언어, C++, 또는 포트란과 같은 임의의 통상적인 컴퓨터-판독가능 프로그래밍 언어로 기록될 수 있다. 적절한 프로그램 코드는 통상적인 텍스트 에디터를 사용하여 단일 파일, 또는 멀티 파일로 기입되며 메모리(308)의 컴퓨터-이용가능 매체내에 저장 또는 내장된다. 기입된 코드 텍스트가 하이 레벨 언어인 경우, 코드는 컴파일되고, 형성 컴파일러 코드는 예비컴파일된 라이브러리 루틴의 목적 코드와 링크된다. 링크되고, 컴파일된 목적 코드를 실행시키기 위해, 사용자는 CPU(306)가 프로그램에서 식별되는 태스크를 수행하기 위해 코드를 판독하고 실행하도록 목적 코드를 호출한다.
컴퓨터 판독가능 프로그램(320)의 특정 실시예의 계층적 제어 구조를 나타내는 블록도가 도 10에 도시된다. 광 펜 인터페이스를 사용하여, 사용자는 CRT 단자상에 디스플레이되는 메뉴 또는 스크린에 응답하여 프로세스 세트 및 챔버 개수를 컴퓨터 판독가능 프로그램(320)에 기입한다. 컴퓨터 판독가능 프로그램은 기판 위치, 가스 흐름, 가스 압력, 온도, RF 전력 레벨, 및 특정 프로세스의 다른 파라미터를 제어하는 프로그램 코드, 및 챔버 프로세스를 모니터하는 코드를 포함한다. 프로세스 세트는 특정화된 프로세스를 수행하기 위해 요구되는 프로세스 파라미터의 예정된 그룹이다. 프로세스 파라미터는 제한되지 않고 가스 조성, 가스 유속, 온도, 압력, RF 전력 레벨과 같은 가스 에너자이저 설정치를 포함하는 프로세스 조건들이다.
프로세서 스퀀서 프로그램 코드(322)는 챔버 타입 및 컴퓨터 판독가능 프로그램(320)으로부터의 프로세스 파라미터 세트를 허용하고 그의 동작을 제어하는 프로그램 코드를 포함한다. 시퀀서 프로그램 코드(322)는 프로세스 챔버(106a-d)에서 멀티 프로세싱 태스크를 제어하는 챔버 매니저 프로그램 코드(324)에 특정 프로세스 파라미터를 통과시킴으로써 프로세스 세트의 수행을 초기화시킨다. 전형적으로, 프로세스 챔버 프로그램 코드(324)는 기판 위치설정 프로그램 코드(326), 가스 흐름 제어 프로그램 코드(328), 가스 압력 제어 프로그램 코드(330), 온도 제어 프로그램 코드(332), 가스 에너자이저 제어 프로그램 코드(334), 및 프로세스 모니터링 프로그램 코드(336)를 포함한다.
전형적으로, 기판 위치설정 프로그램 코드(326)는 챔버(106a-d) 내의 지지체(110, 230) 상에 기판(10)을 장착하고, 선택적으로 챔버(106a-d)내에서 원하는 높이로 기판(10)을 상승시키는데 사용되는 챔버 부품들을 제어하는 명령들을 포함한다. 또한, 기판 위치설정 프로그램 코드(334)는 멀티-챔버 장치(102)내의 챔버들 사이에서 기판(10)을 이송할 수 있도록 이송 챔버(117)내의 로봇(119)을 제어한다. 가스 흐름 제어 프로그램 코드(328)는 세정 가스, 열처리 가스 또는 스퍼터링 가스와 같은, 처리 가스의 상이한 성분의 유속을 제어하기 위한 명령을 포함한다. 가스 흐름 제어 프로그램 코드(328)는 챔버(106a-c)속에서 원하는 가스 유속을 얻기 위해 하나 이상의 가스 흐름 밸브(41, 158a,c 및 436)의 개구 크기를 조절한다.
온도 제어 프로그램 코드(332)는 기판(10) 온도와 같이, 챔버(106a-d)내 온도를 제어하는 프로그램 코드를 포함한다. 예를 들어, 온도 제어 프로그램 코드는 지지체내의 저항성 가열 부재(111)와 같은 히터(142)에 인가되는 전류를 제어하고, 원하는 온도를 모니터하기 위해 온도 센서로부터의 신호를 모니터함으로써 세정 챔버(106a)에서 기판(10)의 온도를 제어할 수 있다. 온도 제어 프로그램 코드는 히터(142)에 인가된 전류를 제어하고 기판 온도를 모니터함으로써, 개별 열처리 챔버(106c)에서 기판(10)의 온도를 유사하게 제어할 수 있다. 가스 에너자이저 제어 프로그램 코드(334)는 원격 가스 에너자이저(37) 및 스퍼터링 가스 에너자이저(180)와 같은 가스 에너자이저를, 예를 들어, 가스를 에너지화시키기 위해 인가된 전력 레벨로 설정함으로써 제어하는 명령들을 포함한다. 프로세스 모니터링 프로그램 코드(334)는 검출기(202)를 통해 하나 이상의 필터링 및 필터링되지 않은 에너지화된 가스에서 이온 대 라디칼 종의 비율을 모니터링하는 명령들을 포함한다. 압력 제어 프로그램 코드(330)는 예를 들어 트로틀 밸브(174, 135 및 477)를 제어함으로써, 챔버(106a-d) 및 이송 챔버(117)내 압력을 제어하는 명령들을 포함한다.
일 형태에서, 제어기는 세정 챔버(106a), 스퍼터링 챔버(106b), 및 로봇(119)이 세정 챔버(106a) 내의 기판(10) 상에서 제 1 금속-함유 도체(116)로부터 증착물(12)을 세정하고, 기판(10)이 스퍼터링 챔버(106b)로 이송되고 세정된 제 1 금속-함유 도체(16) 상에 제 2 금속-함유 도체(21)를 증착하게 동작시키는 프로그램 코드를 포함한다. 또한, 제어기(300)는 선택적으로 증착물(12)을 세정하기 위해 기판(10)을 세정 챔버(106a)로 이송하기 이전에 초기 열처리 단계를 수행하도록 열처리 챔버(106c)를 작동시키는 프로그램 코드를 포함할 수도 있다.
제어기(300)에 의해 수신 및/또는 평가된 데이터 신호는 팩토리 자동화 호스트 컴퓨터(338)로 전송될 수 있다. 팩토리 자동화 호스트 컴퓨터(318)는 몇개의 시스템, 플랫폼 또는 챔버(106a-d)로부터 데이터를 평가하고, 기판(10) 배치(batch)에 대해 또는 연장된 시간 주기에 걸쳐, (i) 기판(10)상에서 수행되는 프로세스, (ii) 단일 기판(10)에 대한 통계적 관계에서 가변하는 특성, 또는 (iii) 기판(10) 배치(batch)에 대한 통계적 관계에서에서 가변하는 특성의 통계적 프로세스 제어 파라미터를 식별하는 호스트 소프트웨어 프로그램(340)을 포함할 수 있다. 또한, 호스트 소프트웨어 프로그램(340)은 인슈트 프로세스 평가를 진행하거나 또는 또 다른 프로세스 파라미터의 제어를 위해 데이터를 사용할 수도 있다. 적절한 호스트 소프트웨어 프로그램은 앞서 언급된 Applied Materials에서 입수가능한 WORKSTREAMTM 소프트웨어 프로그램을 포함한다. 팩토리 자동화 호스트 컴퓨터(338)는 (i) 예를 들어, 기판 특성이 통계적으로 검출된 값의 범위 내에 있지 않거나 부적절한 경우, 또는 프로세스 파라미터가 허용가능한 범위를 벗어나는 경우 프로세싱 시퀀스로부터 특정 기판(10)을 제거; (ii) 특정 챔버(106a-d)에서 프로세싱 종료, 또는 (iii) 기판(10)의 원치않는 특성 또는 프로세스 파라미터의 검출에 따라 프로세스 조건 조절을 조절하는 명령을 제공하도록 구성될 수도 있다. 또한, 팩토리 자동화 호스트 컴퓨터(338)는 호스트 소프트웨어 프로그램(340)에 의한 데이터 평가에 응답하여 기판(10)의 프로세싱 개시 또는 종료시 명령 신호를 제공할 수도 있다.
실시예들
하기의 실시예는 에너지화된 수소-함유 라디칼에 의해 제공된 개선된 세정 결과를 나타낸다. 실시예에서, 기판(10)은 상부에 로우-k 유전체층을 가지는 구리 도체(16)를 포함한다. 구리 도체(16) 상부는 로우-k 유전체층(18)의 피쳐(20)를 통해 노출된 구리 산화물층(12)을 포함한다. 유전체층의 k 값의 변화는 Hg 프로브를 사용하여 측정된다.
실시예 1
본 실시예는 상이한 두께의 낮은 k-유전체에 대한 k 값에 따른 종래의 세정 프로세스의 작용을 나타낸다. 종래의 세정 프로세스는 프로세스 영역에 95%의 He와 5%의 H2를 함유하는 세정 가스를 제공하는 단계; 프로세스 영역에서 세정 가스에 450Watt의 RF 전력 레벨을 유도적으로 결합시키는 단계; 802mTorr의 챔버 압력을 유지하는 단계; 10Watt의 바이어스 전력 레벨을 인가하는 단계를 포함한다. 기판(10)은 40초 동안 세정 가스에 노출된다. 하기의 표 1은 로우-k 유전체층의 두께에 따른 k 값의 변화를 나타낸다.
두께(Å) △k(%)
1000 14.4
1500 12.7
5000 2.3
표 1은 로우-k 유전체층(18)의 두께 감소에 따라 세정된 기판(10)의 k 값 변화가 증가한다는 것을 나타낸다. 1500Å에서 또는 그 미만과 같이 작은 두께에서 k 값의 급격한 증가는 종래의 세정 프로세스에 의해 대체로 과도하게 손상된 영역인 것으로 여겨진다. 벌크 유전체층 두께가 감소함에 따라, 이러한 상부 표면(19)의 캐패시턴스는 층(18)의 전체 캐패시턴스에 보다 기여한다. 따라서, 종래의 프로세스는 로우-k 유전체층(18), 특히 약 1500Å 이하의 두께를 가지는 로우-k 유전체층(18)을 가지는 기판의 만족스런 세정을 제공하지 못한다.
실시예 2
실시예 2는 세정 프로세스에서 다양한 프로세스 파라미터의 작용을 나타낸다. 이들 실시예에서, 1500Å의 로우-k 유전체층 두께에 시작하는 기판(10)은 에너지화된 세정 가스가 프로세스 영역에 형성되고 세정 가스가 이온의 수를 감소시키기 위해 필터링되지 않는 종래의 세정 프로세스로 처리된다. 다인성(multi-factorial) 테스트는 기판 바이어스 전력 레벨, 가스 에너지화 전력 레벨, 및 가스 압력을 포함하는 프로세스 파라미터를 변화시킴으로써 수행된다. 도 6은 다인성 조건하에서 처리된 기판(10)에 대한 로우-k 유전체층의 두께 변화(△t) 및 k 값 변화(△k)를 나타낸다.
도 6은 기판 바이어스 전력이 로우-k 유전체층(18)으로부터의 스퍼터링으로 인해 감소되는 로우-k 유전체층(18)의 두께 변화 및 k 값 변화에 큰 영향을 미치는 것을 나타낸다. 라인(501, 502)으로 도시된 것처럼, 두께 및 k 값 변화는 기판(10)에 인가된 바이어스 전력 레벨이 증가함에 따라 증가한다. 반대로, 가스 에너지화 전력 레벨 및 압력은 k 값 및 두께에 크게 영향을 미치지 않는 것으로 나타났다. 라인(503, 504)은 가스 활성화 전력 레벨을 증가에 대한, 두께 및 k 값의 변화를 각각 나타낸다. 라인(505, 506)은 압력을 증가에 대한 두께 및 k 값의 변화를 각각 나타낸다. 바이어스 전력 레벨 증가는 이온 종에 의한 기판(10)의 충돌을 증가시킨다. 따라서, 이러한 데이터는 k 값 변화의 주요 요인 중 하나는 이온 종에 의한 기판(10)의 충돌이라는 것을 나타낸다. 따라서, 개선된 세정 프로세스는 기판(10)의 충돌의 충돌을 감소시키기 위해 세정 가스로부터 이온 종을 필터링시킨다.
실시예 3
본 실시예에서, 상이한 세정 가스 조성을 가지는 종래의 세정 프로세스로부터 발생되는 k 값 변화가 평가된다. 기판(10)은 1500Å 두께를 가지는 로우-k 유전체층(18)을 포함하는 프로세스에서 세정된다. 세정 프로세스에서, 표 2에 나열된 세정 가스는 프로세스 영역의 가스와 에너지가 결합됨으로써 에너지화된다. 제 1 세정 프로세스에서, 기판 바이어스 전력 레벨은 300Watt이고 가스 압력은 0.5mTorr이다. 제 2 세정 프로세스에서, 기판 바이어스 전력 레벨은 10Watt이고 가스 압력은 80mTorr이다. 로우-k 유전체층의 k 값의 변화 퍼센트는 각각의 세정 프로세스 후에 측정된다. 세정 프로세스에 대한 결과는 하기의 표 2에 도시된다.
세정 프로세스 가스 조성 △k(%)
1 He 20
Ar 5-15
H2 19
CH4(10%)He(90%) 25
2 He 11
Ar 9
H2 21
H2(5%)He(95%) 11
CH4(10%)He(90%) 25
H2(5%)N2(95%) 13-30
O2(5%)Ar(95%) 15
따라서, 표 2는 상이한 형태의 세정 가스를 이용한 종래의 세정 프로세스는 바람직하지 못한 k 값의 증가를 달성하지 않고 기판(10)의 양호한 세정을 달성할 수 없다는 것을 나타낸다. k 값의 증가는 수소-함유 가스를 이용한 세정 프로세스에 대해 30% 크다. Ar 세정 가스 프로세스에 대한 k 값 변화 퍼센트는 매우 작은 반면, Ar 가스는 k 값의 허용불가능한 큰 증가를 야기시키는 큰 바이어스 전력 레벨을 인가하지 않고 기판(10)으로부터 금속 산화물을 충분히 세정할 수 없다.
실시예 4
본 실시예에서, 기판(10)은 기판(10)으로부터 금속 산화물을 세정하기 위해 다수의 수소 라디칼-함유 종을 제공함으로써 상대적으로 원만한 세정 프로세스에 의해 세정된다. 상대적으로 원만한 세정 프로세스는 원격 영역에서 에너지화된 수소-함유 가스를 형성하는 단계, 및 가스내 이온 종의 수를 감소시키고 보다 많은 수의 수소 라디칼-함유 종을 제공하기 위해 석영 표면(60)위에 에너지화된 가스를 통과시킴으로써 에너지화된 가스를 필터링하는 단계를 포함한다. 기판(10)은 1500Å의 두께를 가지는 로우-k 유전체층(18)을 포함하는 프로세스에서 세정된다. 세정 가스는 3000sccm의 H2를 포함하며, 세정 프로세스는 하기의 표 3에 나열된 가스 에너지화 전력 레벨 및 온도에서 40초 동안 수행된다. 유전체층(18)의 k 값 변화가 측정되며 표 3에 나열된다.
프로세스 조건 △k(%)
1400Watts/175℃ 2
2
3
500Watts/175℃ 2
1
2
500Watts/250℃ 5
5
5
따라서, 표 1, 2, 3의 비교는 에너지화된 세정 가스가 다수의 수소-함유 라디칼을 포함하는 세정 프로세스는 k 값 변화를 상당히 감소시키며, 가스내 이온 종의 수를 감소시키기 위해 필터링되지 않는 프로세스에 비해 개선된 세정을 제공한다는 것을 나타낸다. 이온 종을 필터링하지 않는 종래의 세정 프로세스는 감소된 수의 이온 종을 가지는 세정 가스 프로세스에 대해 k 값 변화 퍼센트보다 20 배 및 심지어 30 배 큰 k 값 변화 퍼센트를 야기시킨다. 따라서, 로우-k 유전체층을 가지는 기판(10)의 개선된 세정이 가스내 이온 종의 수를 감소시키기 위해 에너지화된 수소-함유 가스를 필터링시킴으로써, 처리된 기판(10)의 품질을 개선시켜 보다 작은 두께를 가지는 유전체층(18)을 층의 손상없이 실질적으로 세정함으로써 제공될 수 있다.
실시예 5
본 실시예는 수소-함유 라디칼을 포함하는 에너지화된 세정 가스로 기판을 세정하는 온도의 중요성을 나타낸다. 1500Å 두께를 가지는 로우-k 유전체층을 포함하는 기판(10)은 1400Watt의 마이크로파 전력 레벨을 인가함으로써 원격 소스(35)에서 3000sccm의 H2 및 30sccm의 H2O를 포함하는 세정 가스를 에너지화시킴으로써 세정된다. 기판(10)의 온도는 하기의 표 4에 도시된 것처럼, 각각의 세정되는 기판(10)에 대해 가변적이다. k 값은 세정 전후에 측정되며, k 값 변화 퍼센트가 검출된다. k 값은 세정 이후 약 3으로 측정된다. 웨이퍼-대-웨이퍼 편차 및 상대적으로 적은 수의 기판 세정으로 인한 노이즈(noise) 때문에, 측정된 k 값 변화는 실제 변화보다 크며 상기 표 3에 도시된 것 보다도 크다. 그러나 표 4는 온도 증가에 따른 k 값 증가의 일반적인 성향을 나타낸다.
기판 온도(℃) △k(%)
85 8.9
7.6
7.8
125 13.6
10.7
8.2
150 11.7
13.2
9.8
200 16.0
14.6
13.4
또한, 세정된 기판의 반사율이 측정되며, 반사율 퍼센트는 도 7에서 기판 온도 증가에 대해 도시된다. 반사율이 높을수록 구리 도체(16)의 표면으로부터 보다 많은 자연 산화물이 제거되는 것을 나타내며, 낮은 반사율은 표면(14)상의 구리 산화물 존재를 나타낸다. 라인(507)은 세정 프로세스 이전의 평균 반사율이며, 라인(508)은 세정 프로세스 이후 평균 반사율이며, 라인(509, 510)은 각각 세정 프로세스 전후의 반사율 측정의 표준 편차 퍼센트이다. 따라서, 그래프는 보다 나은 기판(10) 세정이 보다 높은 온도에서 얻어지는 것을 나타내지만, 양호한 세정은 80℃의 낮은 기판 온도에서도 얻어질 수 있다. 따라서, 표 4 및 도 7의 그래프는 양호한 세정 결과는 수소-함유 라디칼을 이용한 세정에 의해 제공될 수 있고, 또한 온도는 로우-k 유전체층의 k 값을 과도하게 증가시키지 않고 최적의 세정을 제공하도록 주의 깊게 선택되어야 한다는 것을 나타낸다.
실시예 6
본 실시예는 열처리 단계를 수행함으로써 제공될 수 있는 개선된 결과를 나타낸다. 본 실시예에서, 구리 도체(16) 및 로우-k 유전체층(18)을 포함하는 기판(10)은 H2 가스의 흐름을 제공하면서 적어도 약 100℃의 온도로 기판을 가열하는 단계를 포함하는 열처리 프로세스에서 처리된다. 열처리된 기판(10)은 구리 도체(16)의 표면(14)으로부터 증착물(12)을 제거하기 위해 필터링된 수소-함유 라디칼 종을 포함하는 원격적으로 에너지화된 가스를 이용하여 세정 프로세스에서 세정된다. 다음 세정된 표면(14)상에 탄탈 질화물층을 포함하는 제 2 금속-함유 도체(21)를 증착하기 위해 증착 프로세스가 수행된다. 구리 및 탄탈 질화물 상호접속 피쳐(20)의 전기적 저항은 도 8에 라인(600)으로 도시된 것처럼, 기판(10)에 대한 피쳐(20)에 대해 측정된다.
열처리 결과들을 비교하기 위해, 기판(10)은 열처리 단계 없이 처리되며, 기판(10)에 대한 피쳐(20)의 전기적 저항이 측정된다. 일 프로세스에서, 기판(10)은 기판(10)상에 탄탈 질화물층을 증착하기 이전에, 개별 열처리 단계 없이 필터링된 수소-함유 라디칼을 포함하는 원격적으로 에너지화된 가스에 의해 세정되며, 결과는 라인(602)으로 도시된다. 또 다른 프로세스에서, 탄탈 질화물층이 이전의 세정 또는 열처리 단계를 수행하지 않고 기판(10)상에 증착되며, 결과는 라인(60)으로 도시된다.
도 8은 낮은 콘택 저항을 가지는 기판(20)에 대한 피쳐(20) 퍼센테이지가 기판상에 물질의 세정 및 증착 이전에 열처리 단계를 이용하여 처리된 기판에 대해 매우 높다는 것을 나타낸다. 도 8은 저항 측정값 이하의 저항을 가지는 피쳐의 퍼센테이지를 나타내는 그래프로, 측정된 저항값은 그래프의 x-축에 도시되며 피쳐의 퍼센테이지는 그래프의 y-축 상에 도시된다. 라인(600)으로 도시된 것처럼, 열처리 단계는 기판에 대해 피쳐(20)의 약 95% 이상에 대해 약 1 옴/피쳐 미만의 저항을 제공한다. 대조적으로, 열처리 없이 처리된 기판(10)은 약 1옴/피쳐 미만의 저항을 가지는 피쳐(20)의 약 5% 미만을 나타낸다.
실시예 7
본 실시예는 필터링된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스에 의해 제공된 개선된 세정 결과를 나타낸다. 탄소-함유 잔류물과 같은 증착물(12)을 세정하기 위한 세정 가스의 능력을 검출하기 위해, 포토레지스트층을 포함하는 기판(10)은 수소-함유 라디칼을 포함하는 에너지화된 세정 가스에 노출된다. 이들 기판에 대한 포토레지스트 제거 비율이 측정되며, 종래의 세정 가스에 노출된 것과 레지스트층을 가지는 기판(10)에 대한 포토레지스트 제거 비율이 비교된다. 도 9는 바(700)로 도시된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스에 대한 포토레지스트 제거 비율, 및 바(702)로 도시된 종래의 세정 가스에 대한 포토레지스트 제거 비율을 나타낸다. 도 9에 도시된 것처럼, 포토레지스트 제거 비율은 필터링된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스보다 약 3배 빠르며, 이들 세정 가스는 종래의 세정 가스를 능가하는 상당히 개선된 세정 결과를 제공한다.
실시예 8
본 실시예는 필터링된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스에 의해 제공되는 개선된 세정 결과를 나타낸다. 본 실시예에서, 상부에 자연 산화물막(12)이 있는 금속-함유 도체(16)를 포함하는 기판(10)의 반사율이 측정되며, 도 10에 라인(704)으로 도시된다. 다음 기판(10)은 수소-함유 라디칼을 포함하는 에너지화된 세정 가스에 기판(10)을 노출시킴으로써 세정되며, 세정된 기판(10)의 반사율이 측정되며 도 10에 라인(706)으로 도시된다. 세정된 기판(10)의 반사율은 세정되지 않은 기판(10)의 약 40%의 상대적으로 낮은 반사율과 비교할 때,기판(10)에 대해 약 120%에 근접해, 실질적으로 자연 산화물(112)의 완벽한 제거를 나타낸다. 따라서, 필터링된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스를 이용한 세정은 기판(10)상의 금속-함유 도체(16)의 표면의 양호한 세정을 제공한다.
실시예 9
본 실시예에서, 필터링된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스를 이용하여 세정된 피쳐(20)의 감소된 저항이 도시된다. 개선된 저항을 도시하기 위해, 금속-함유 도체(16)를 가지는 피쳐(20)를 포함하는 기판이 순차적인 금속-함유 도체를 증착하고 다수의 피쳐(20)와 접속된 상호접속 구조물을 형성하기 이전에 수소-함유 라디칼을 포함하는 가스로 세정된다. 옴/구조물에서 상호접속 구조물의 저항이 테스트되며 측정된 저항은 측정된 저항을 갖는 상호접속 구조물의 퍼센트에 대해 도시되며, 도 11에 라인(708)으로 도시된다. 또한, 세정 단계 없이 형성된 상호접속 구조물의 저항이 측정되며 도 11에 라인(709)으로 도시된다. 따라서, 필터링된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스를 이용한 세정 프로세스는 세정없이 형성된 상호접속 구조물에 대해 낮은 저항을 가지는 상호접속 구조물의 높은 퍼센테이지를 제공한다.
실시예 10
본 실시예에서, 캐패시턴스에 대해 필터링된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스를 이용한 세정 효과가 검출된다. 금속-함유 도체(16)를 가지는 기판(10)은 상호접속 구조물을 형성하기 위해 상부에 순차적으로 금속-함유 도체가 증착되기 이전에 증착물을 제거하도록 세정된다. 도 12에 라인(710)으로 도시된 것처럼, 처리된 기판에 의해 형성된 캐패시터의 캐패시턴스가 측정된다. 세정 결과는 도 12에 라인(712)으로 도시된 것처럼, 세정 단계 없이 처리된 기판상의 캐패시터의 캐패시턴스와 비교된다. 따라서, 도 12에 도시된 것처럼, 필터링된 수소-함유 라디칼을 포함하는 에너지화된 세정 가스를 이용한 세정 프로세스는 기판(10)상의 구조물의 캐패시턴스를 실질적으로 변화시키지 않는다. 따라서, 유전체층의 k-값에 따른 세정 프로세스의 작용은 바람직하게 최소화될 것으로 여겨진다.
본 발명의 예시적 실시예가 도시되고 개시되었지만, 당업자는 본 발명의 또다른 실시예를 고안할 수 있다. 예를 들어, 챔버(106a)가 특정하게 개시된 것 이외의 다른 부품을 포함할 수 있다는 것을 당업자는 알 것이다. 또한, 하기, 상기, 하부, 상부, 위, 아래, 제 1 및 제 2 및 다른 관련 또는 위치적 용어는 도면에서 예시적 실시예로 도시되며 변경가능하다. 따라서, 첨부된 청구항은 바람직한 형태, 자료의 상세한 설명, 또는 본 명세서에서 도시된 공간적 배열로 제한되지 않는다.

Claims (26)

  1. 기판 세정 장치로서,
    (a) 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 1 비율을 포함하는 원격적으로 에너지화된 가스를 형성하기 위해 수소-함유 가스를 원격적으로 에너지화시키는 원격 소스; 및
    (b) 프로세스 챔버
    (c) 챔버로부터 소모된 가스 및 부산물들을 배기시키는 배기 시스템
    을 포함하며, 상기 프로세스 챔버는,
    (i) 기판 지지체; 및
    (ii) 석영 가스 분배판 및 석영 챔버 라이너(chamber liner)를 포함하는 이온 필터
    를 포함하는, 기판 세정 장치.
  2. 제 1 항에 있어서,
    상기 석영 가스 분배판 및 석영 챔버 라이너는 상기 제 1 비율보다 낮은 제 2 비율을 갖는 필터링되고 에너지화된 가스를 형성하기 위해 상기 에너지화된 가스에서 이온의 수소-함유 종의 개수를 감소시키기에 충분한 석영 표면들을 제공하는,
    기판 세정 장치.
  3. 제 1 항에 있어서,
    상기 원격 소스와 챔버를 접속하는 도관은 석영 표면을 포함하는,
    기판 세정 장치.
  4. 제 1 항에 있어서,
    라디칼의 농도 및 이온의 농도 중 적어도 하나를 검출하도록 적응되는 검출기를 포함하는, 기판 세정 장치.
  5. 제 1 항에 있어서,
    상기 제 1 비율의 값은 상기 제 2 비율의 값의 적어도 약 100배인,
    기판 세정 장치.
  6. 제 1 항에 있어서,
    원격 영역과 상기 프로세스 챔버 사이에 전기적으로 접지된 그리드를 유지하는 것을 포함하는,
    기판 세정 장치.
  7. 제 1 항에 있어서,
    H2, H2O, NH3 및 SiH4 중 하나 이상을 포함하는 수소-함유 가스를 제공하는 세정 가스 소스를 포함하는,
    기판 세정 장치.
  8. 제 1 항에 있어서,
    (i) 적어도 80% H2를 포함하는 수소-함유 가스;
    (ii) H2O를 포함하는 수소-함유 가스; 및
    (iii) NH3를 포함하는 수소-함유 가스
    중 적어도 하나를 제공하는 세정 가스 소스를 포함하는,
    기판 세정 장치.
  9. 제 8 항에 있어서,
    상기 수소-함유 가스는 체적당 약 80% 내지 약 100%의 H2 및 체적당 약 1% 내지 약 20%의 H2O를 포함하는,
    기판 세정 장치.
  10. 제 8 항에 있어서,
    상기 세정 가스 소스는 질소-함유 가스를 제공하는,
    기판 세정 장치.
  11. 제 1 항에 있어서,
    상기 기판 아래의 전극에 바이어스 전력을 인가하는 전력 공급원을 포함하는,
    기판 세정 장치.
  12. 제 1 항에 있어서,
    약 150℃ 내지 약 350℃의 온도로 상기 기판을 유지하는 히터를 포함하는,
    기판 세정 장치.
  13. 제 12 항에 있어서,
    상기 히터는 저항성 가열 부재 또는 가열 램프인,
    기판 세정 장치.
  14. 프로세스 챔버로서,
    (a) 프로세스 영역 내의 기판 지지체;
    (b) 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 1 비율을 포함하는 에너지화된 가스를 형성하기 위해 수소-함유 가스에 에너지를 결합시키는 챔버 가스 에너자이저;
    (c) 상기 제 1 비율보다 낮은 제 2 비율을 갖는 에너지화된 가스를 형성하기 위해 상기 에너지화된 가스에서 이온의 수소-함유 종의 개수를 감소시키는 이온 필터 ― 상기 이온 필터는 석영 가스 분배판 및 석영 챔버 라이너를 포함함 ―; 및
    (d) 챔버로부터 소모된 가스를 배기시키는 배기 시스템
    을 포함하는, 프로세스 챔버.
  15. 제 14 항에 있어서,
    상기 챔버 가스 에너자이저는 하나 이상의 전극들 및 인덕터 안테나를 포함하는,
    프로세스 챔버.
  16. 제 14 항에 있어서,
    상기 가스를 에너지화시키는 원격 소스
    를 더 포함하는, 프로세스 챔버.
  17. 제 14 항에 있어서,
    상기 원격 소스와 챔버를 접속하는 도관을 포함하고, 상기 도관은 석영 표면을 포함하는,
    프로세스 챔버.
  18. 제 14 항에 있어서,
    상기 제 1 비율의 값은 상기 제 2 비율의 값의 적어도 약 100배인,
    프로세스 챔버.
  19. 제 14 항에 있어서,
    H2, H2O, NH3 및 SiH4 중 하나 이상을 포함하는 수소-함유 가스를 제공하는 세정 가스 소스를 포함하는,
    프로세스 챔버.
  20. 기판 상에서 로우-k 물질에 의해 둘러싸인 금속-함유 표면들을 세정하기 위한 기판 세정 장치로서,
    상기 로우-k 물질은 3 미만의 k 값을 가지고, 상기 장치는:
    (a) 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 1 비율을 포함하는 원격적으로 에너지화된 가스를 형성하기 위해 수소-함유 가스를 원격적으로 에너지화시키는 원격 소스; 및
    (b) 프로세스 챔버
    를 포함하며, 상기 프로세스 챔버는,
    (i) 기판 지지체;
    (ii) 상기 챔버속으로 상기 원격적으로 에너지화된 가스를 주입하는 가스 분배기 ― 상기 가스 분배기는 석영 판의 개구들을 통해 필터링되는 에너지화된 가스가 상기 제 1 비율보다 낮은 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 2 비율을 가지도록 상기 원격적으로 에너지화된 가스를 통과시키는 개구들을 가지는 상기 석영판을 포함함 ―;
    (iii) 석영 챔버 라이너; 및
    (iv) 배기 시스템
    을 포함하는, 기판 상에서 로우-k 물질에 의해 둘러싸인 금속-함유 표면들을 세정하기 위한 기판 세정 장치.
  21. 제 20 항에 있어서,
    상기 원격 소스는 상기 석영판으로부터 간격 d에 위치되어, 상기 간격 d을 통한 상기 원격적으로 에너지화된 가스의 이동은 상기 제 2 비율보다 낮은 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 비율을 가지는 필터링되고 에너지화된 가스를 형성하는, 기판 상에서 로우-k 물질에 의해 둘러싸인 금속-함유 표면들을 세정하기 위한 기판 세정 장치.
  22. 제 21 항에 있어서,
    상기 제 1 비율의 값은 상기 제 2 비율의 값의 적어도 100 배인, 기판 상에서 로우-k 물질에 의해 둘러싸인 금속-함유 표면들을 세정하기 위한 기판 세정 장치.
  23. 기판을 세정하고 기판상에 금속-함유 도체를 증착하기 위한 장치로서,
    상기 금속-함유 도체는 3 미만인 k 값을 갖는 로우-k 물질에 의해 둘러싸이고, 상기 장치는:
    (a) 세정 챔버;
    (b) 증착 챔버;
    (c) 상기 세정 챔버로부터 상기 증착 챔버로 상기 기판을 이송시키는 로봇을 포함하는 이송 챔버; 및
    (d) 상기 기판상의 제 1 금속-함유 도체로부터 증착물들을 세정하고 상기 기판을 상기 증착 챔버로 이송하여 상기 세정된 제 1 금속-함유 도체 상에 제 2 금속-함유 도체를 증착하도록 상기 세정 챔버, 증착 챔버, 및 로봇을 작동시키는 제어기를 포함하며,
    상기 세정 챔버는,
    (i) 기판 지지체;
    (ii) 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 1 비율을 포함하는 에너지화된 가스를 형성하기 위해 수소-함유 가스를 원격적으로 에너지화시키는 원격 소스;
    (iii) 상기 제 1 비율보다 낮은 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 2 비율을 포함하는 필터링되고 에너지화된 가스를 형성하기 위해 상기 원격적으로 에너지화된 가스를 필터링하는 이온 필터 ― 상기 이온 필터는 상기 챔버 속으로 상기 원격적으로 에너지화된 가스를 주입하는 석영 가스 분배기 및 석영 챔버 라이너를 포함함 ―; 및
    (iv) 상기 세정 챔버로부터 가스를 배기시키고 상기 세정 챔버 내 압력을 10mTorr 미만으로 유지할 수 있는 배기 시스템을 포함하며,
    상기 증착 챔버는,
    (i) 기판 지지체;
    (ii) 상기 증착 챔버속으로 가스를 주입하는 가스 전달 시스템;
    (iii) 상기 기판 상에 물질을 증착하기 위해 상기 가스를 에너지화시키는 가스 에너자이저; 및
    (iv) 상기 증착 챔버로부터 상기 가스를 배기시키는 배기 시스템
    을 포함하며,
    상기 이송 챔버는 10mTorr 미만의 낮은 압력 환경에서 상기 기판을 유지할 수 있는 엔클로져 및 상기 낮은 압력 환경을 유지하기 위해 상기 이송 챔버로부터 가스를 배기시키는 출구를 포함하는, 기판을 세정하고 기판상에 금속-함유 도체를 증착하기 위한 장치.
  24. 하부 금속-함유 도체를 노출시키는 피쳐가 내부에 형성된 로우-k 유전체 물질을 포함하는 기판을 세정하는 방법으로서,
    상기 로우-k 물질은 3 미만의 k 값을 갖고, 상기 방법은:
    (a) 열처리 단계에서, 적어도 100℃의 기판 온도를 유지하면서 상기 기판을 수소-함유 가스에 노출시키는 단계; 및
    (b) 세정 단계에서, 라디칼의 수소-함유 종을 포함하는 필터링되고 원격적으로 에너지화된 가스에 상기 기판을 노출시키는 단계
    를 포함하고, 상기 필터링되고 원격적으로 에너지화된 가스는:
    (i) 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 1 비율을 포함하는 원격적으로 에너지화된 가스를 형성하기 위해, 원격 영역에서 제 2 수소-함유 가스에 에너지를 결합시키는 것;
    (ii) 상기 제 1 비율보다 낮은 이온의 수소-함유 종 대 라디칼의 수소-함유 종의 제 2 비율을 갖는 필터링되고 에너지화된 가스를 형성하기 위해 상기 원격적으로 에너지화된 가스를 필터링하는 것; 및
    (iii) 상기 기판 상에서 상기 로우-k 유전체 물질에 대한 손상을 감소시키면서 상기 기판을 세정하기 위해 상기 기판을 상기 필터링되고 에너지화된 가스에 노출시키는 것
    에 의해 형성되는, 하부 금속-함유 도체를 노출시키는 피쳐가 내부에 형성된 로우-k 유전체 물질을 포함하는 기판을 세정하는 방법.
  25. 제 24 항에 있어서,
    상기 (a) 단계는 150℃ 내지 350℃의 기판 온도를 유지하는 단계를 포함하는, 하부 금속-함유 도체를 노출시키는 피쳐가 내부에 형성된 로우-k 유전체 물질을 포함하는 기판을 세정하는 방법.
  26. 제 24 항에 있어서,
    상기 (a) 단계는 100 sccm 내지 분당 5 Liter의 용적 유속으로 프로세스 영역에 H2 흐름을 제공하는 단계, 및 프로세스 영역에서 30 Torr 미만의 압력을 유지하는 단계를 포함하는, 하부 금속-함유 도체를 노출시키는 피쳐가 내부에 형성된 로우-k 유전체 물질을 포함하는 기판을 세정하는 방법.
KR1020117013618A 2003-02-14 2004-02-12 수소-함유 라디칼을 이용한 자연 산화물 세정 KR101352995B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US44737203P 2003-02-14 2003-02-14
US60/447,372 2003-02-14
PCT/US2004/004278 WO2004074932A2 (en) 2003-02-14 2004-02-12 Method and apparatus for cleaning of native oxides with hydroge-containing radicals

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057014932A Division KR101127294B1 (ko) 2003-02-14 2004-02-12 수소-함유 라디칼을 이용한 자연 산화물 세정

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127012438A Division KR101276694B1 (ko) 2003-02-14 2004-02-12 수소-함유 라디칼을 이용한 자연 산화물 세정

Publications (2)

Publication Number Publication Date
KR20110079859A true KR20110079859A (ko) 2011-07-08
KR101352995B1 KR101352995B1 (ko) 2014-01-21

Family

ID=32908430

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020057014932A KR101127294B1 (ko) 2003-02-14 2004-02-12 수소-함유 라디칼을 이용한 자연 산화물 세정
KR1020117013618A KR101352995B1 (ko) 2003-02-14 2004-02-12 수소-함유 라디칼을 이용한 자연 산화물 세정
KR1020127012438A KR101276694B1 (ko) 2003-02-14 2004-02-12 수소-함유 라디칼을 이용한 자연 산화물 세정

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020057014932A KR101127294B1 (ko) 2003-02-14 2004-02-12 수소-함유 라디칼을 이용한 자연 산화물 세정

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020127012438A KR101276694B1 (ko) 2003-02-14 2004-02-12 수소-함유 라디칼을 이용한 자연 산화물 세정

Country Status (5)

Country Link
US (1) US7604708B2 (ko)
JP (3) JP4673290B2 (ko)
KR (3) KR101127294B1 (ko)
CN (2) CN101457338B (ko)
WO (1) WO2004074932A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013096748A1 (en) * 2011-12-23 2013-06-27 Applied Materials, Inc. Methods and apparatus for cleaning substrate surfaces with atomic hydrogen

Families Citing this family (226)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
TWI233168B (en) * 2003-09-01 2005-05-21 Macronix Int Co Ltd Method of cleaning surface of wafer by hydroxyl radical of deionized water
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7780793B2 (en) 2004-02-26 2010-08-24 Applied Materials, Inc. Passivation layer formation by plasma clean process to reduce native oxide growth
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20070123051A1 (en) 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP4032058B2 (ja) 2004-07-06 2008-01-16 富士通株式会社 半導体装置および半導体装置の製造方法
US20060016783A1 (en) * 2004-07-22 2006-01-26 Dingjun Wu Process for titanium nitride removal
US20060130971A1 (en) * 2004-12-21 2006-06-22 Applied Materials, Inc. Apparatus for generating plasma by RF power
US20060137711A1 (en) * 2004-12-27 2006-06-29 Kun-Yuan Liao Single-wafer cleaning procedure
US20070272270A1 (en) * 2004-12-27 2007-11-29 Kun-Yuan Liao Single-wafer cleaning procedure
US7144808B1 (en) 2005-06-13 2006-12-05 Texas Instruments Incorporated Integration flow to prevent delamination from copper
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7479457B2 (en) * 2005-09-08 2009-01-20 Lam Research Corporation Gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
US8317929B2 (en) * 2005-09-16 2012-11-27 Asml Netherlands B.V. Lithographic apparatus comprising an electrical discharge generator and method for cleaning an element of a lithographic apparatus
US7704887B2 (en) * 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7799138B2 (en) * 2006-06-22 2010-09-21 Hitachi Global Storage Technologies Netherlands In-situ method to reduce particle contamination in a vacuum plasma processing tool
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US9157152B2 (en) * 2007-03-29 2015-10-13 Tokyo Electron Limited Vapor deposition system
JP5554469B2 (ja) * 2007-05-14 2014-07-23 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
CN101765905B (zh) * 2007-05-15 2012-07-18 佳能安内华股份有限公司 半导体器件的制备方法
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP2009016453A (ja) * 2007-07-02 2009-01-22 Tokyo Electron Ltd プラズマ処理装置
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
US8609545B2 (en) 2008-02-14 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method to improve mask critical dimension uniformity (CDU)
US20090258487A1 (en) * 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
JP2009256747A (ja) * 2008-04-18 2009-11-05 Canon Anelva Corp マグネトロンスパッタリング装置及び薄膜の製造法
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8138076B2 (en) * 2008-05-12 2012-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. MOSFETs having stacked metal gate electrodes and method
US20100025370A1 (en) * 2008-08-04 2010-02-04 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US20100130017A1 (en) * 2008-11-21 2010-05-27 Axcelis Technologies, Inc. Front end of line plasma mediated ashing processes and apparatus
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
JP5698043B2 (ja) * 2010-08-04 2015-04-08 株式会社ニューフレアテクノロジー 半導体製造装置
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
KR101937115B1 (ko) * 2011-03-04 2019-01-09 노벨러스 시스템즈, 인코포레이티드 하이브리드 세라믹 샤워헤드
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
KR102107382B1 (ko) * 2012-02-24 2020-05-07 캘리포니아 인스티튜트 오브 테크놀로지 그래핀 형성 방법 및 시스템
JPWO2013129701A1 (ja) * 2012-03-02 2015-07-30 独立行政法人科学技術振興機構 導電性膜の形成方法
CN103377971A (zh) * 2012-04-30 2013-10-30 细美事有限公司 用于清洗基板的装置和方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014049529A (ja) * 2012-08-30 2014-03-17 Tokyo Electron Ltd プラズマ処理装置及び金属の酸化膜を洗浄する方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US20140179110A1 (en) * 2012-12-21 2014-06-26 Applied Materials, Inc. Methods and apparatus for processing germanium containing material, a iii-v compound containing material, or a ii-vi compound containing material disposed on a substrate using a hot wire source
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140262028A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Non-Contact Wet-Process Cell Confining Liquid to a Region of a Solid Surface by Differential Pressure
US20140273525A1 (en) * 2013-03-13 2014-09-18 Intermolecular, Inc. Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US20150011025A1 (en) * 2013-07-03 2015-01-08 Tsmc Solar Ltd. Enhanced selenium supply in copper indium gallium selenide processes
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
CN104425289B (zh) * 2013-09-11 2017-12-15 先进科技新加坡有限公司 利用激发的混合气体的晶粒安装装置和方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
RU2556433C1 (ru) * 2013-12-26 2015-07-10 Общество с ограниченной ответственностью ООО "Инновационно-промышленный комплекс "Беседы" Способ реактивного магнетронного нанесения наноразмерного слоя оксида на подложку
US9269585B2 (en) * 2014-01-10 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning metal gate surface
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9396992B2 (en) * 2014-03-04 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of using a barrier-seed tool for forming fine-pitched metal interconnects
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10854472B2 (en) * 2014-03-19 2020-12-01 Globalfoundries Inc. Method for forming a metal gate including de-oxidation of an oxidized surface of the metal gate utilizing a reducing agent
US9299557B2 (en) 2014-03-19 2016-03-29 Asm Ip Holding B.V. Plasma pre-clean module and process
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US20160013085A1 (en) * 2014-07-10 2016-01-14 Applied Materials, Inc. In-Situ Acoustic Monitoring of Chemical Mechanical Polishing
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10410889B2 (en) * 2014-07-25 2019-09-10 Applied Materials, Inc. Systems and methods for electrical and magnetic uniformity and skew tuning in plasma processing reactors
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US20160042916A1 (en) * 2014-08-06 2016-02-11 Applied Materials, Inc. Post-chamber abatement using upstream plasma sources
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160126134A1 (en) * 2014-10-29 2016-05-05 Applied Materials, Inc. Systems and methods for removing contamination from seed layer surface
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10373850B2 (en) 2015-03-11 2019-08-06 Asm Ip Holding B.V. Pre-clean chamber and process with substrate tray for changing substrate temperature
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9673042B2 (en) 2015-09-01 2017-06-06 Applied Materials, Inc. Methods and apparatus for in-situ cleaning of copper surfaces and deposition and removal of self-assembled monolayers
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
US9741584B1 (en) * 2016-05-05 2017-08-22 Lam Research Corporation Densification of dielectric film using inductively coupled high density plasma
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
EP3285278A1 (en) * 2016-08-16 2018-02-21 FEI Company Magnet used with a plasma cleaner
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
WO2018091888A1 (en) * 2016-11-15 2018-05-24 Oxford University Innovation Limited Method and apparatus for applying atomic hydrogen to an object
JP6869024B2 (ja) * 2016-12-20 2021-05-12 東京エレクトロン株式会社 パーティクル除去方法及び基板処理方法
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102433947B1 (ko) * 2017-09-29 2022-08-18 도쿄엘렉트론가부시키가이샤 유체로 기판을 코팅하기 위한 방법 및 시스템
US10354883B2 (en) 2017-10-03 2019-07-16 Mattson Technology, Inc. Surface treatment of silicon or silicon germanium surfaces using organic radicals
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10656539B2 (en) * 2017-11-21 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source for lithography process
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
CN112219266A (zh) 2018-04-13 2021-01-12 玛特森技术公司 以使用烷基卤化物生成的反应性核素处理工件
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
CN112313777A (zh) 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10950416B2 (en) 2018-11-16 2021-03-16 Mattson Technology, Inc. Chamber seasoning to improve etch uniformity by reducing chemistry
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US10403492B1 (en) 2018-12-11 2019-09-03 Mattson Technology, Inc. Integration of materials removal and surface treatment in semiconductor device fabrication
TW202040692A (zh) * 2018-12-21 2020-11-01 美商得昇科技股份有限公司 工件的表面平滑化
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210131441A (ko) 2019-04-30 2021-11-02 매슨 테크놀로지 인크 메틸화 처리를 사용한 선택적 증착
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置
US20210123139A1 (en) * 2019-10-29 2021-04-29 Applied Materials, Inc. Method and apparatus for low resistance contact interconnection
CN111081524B (zh) * 2019-12-31 2022-02-22 江苏鲁汶仪器有限公司 一种可旋转的法拉第清洗装置及等离子体处理系统

Family Cites Families (103)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
JPS62158859A (ja) 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
JPS62213126A (ja) * 1986-03-13 1987-09-19 Fujitsu Ltd マイクロ波プラズマ処理装置
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0682643B2 (ja) * 1987-03-13 1994-10-19 科学技術庁長官官房会計課長 表面処理方法
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
DE3725358A1 (de) * 1987-07-30 1989-02-09 Telog Systems Gmbh Vorrichtung und verfahren zur oberflaechenbehandlung von materialien
JPH0732137B2 (ja) 1988-02-29 1995-04-10 東京エレクトロン東北株式会社 熱処理炉
JPH01290224A (ja) * 1988-05-18 1989-11-22 Fujitsu Ltd 表面平坦化処理工程をもつ半導体装置の製造方法
KR930004115B1 (ko) 1988-10-31 1993-05-20 후지쓰 가부시끼가이샤 애싱(ashing)처리방법 및 장치
JPH02125876A (ja) 1988-11-01 1990-05-14 Fujitsu Ltd Cvd装置の排気機構
GB8905073D0 (en) * 1989-03-06 1989-04-19 Nordiko Ltd Ion gun
US5232872A (en) * 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
US4988644A (en) * 1989-05-23 1991-01-29 Texas Instruments Incorporated Method for etching semiconductor materials using a remote plasma generator
KR910016054A (ko) * 1990-02-23 1991-09-30 미다 가쓰시게 마이크로 전자 장치용 표면 처리 장치 및 그 방법
US5326794A (en) * 1990-05-08 1994-07-05 Industrial Technology Research Institute Barbituric acid-modified bismaleimide with diamine and polyisocyanate-modified epoxy resin
JP2646811B2 (ja) 1990-07-13 1997-08-27 ソニー株式会社 ドライエッチング方法
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP3038953B2 (ja) 1991-02-28 2000-05-08 ソニー株式会社 配線形成方法
JPH05326452A (ja) 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
DE4132559A1 (de) 1991-09-30 1993-04-08 Siemens Ag Verfahren zur in-situ-reinigung von abscheidekammern durch plasmaaetzen
DE69218720T2 (de) * 1991-10-17 1997-07-17 Applied Materials Inc Plasmareaktor
EP0849766A3 (en) 1992-01-24 1998-10-14 Applied Materials, Inc. Etch process
JPH05215064A (ja) * 1992-02-04 1993-08-24 Nec Corp イオンエンジン
JPH0684835A (ja) 1992-09-02 1994-03-25 Kawasaki Steel Corp 表面処理方法及びその装置
JP3231426B2 (ja) * 1992-10-28 2001-11-19 富士通株式会社 水素プラズマダウンフロー処理方法及び水素プラズマダウンフロー処理装置
JPH06204191A (ja) 1992-11-10 1994-07-22 Sony Corp 金属プラグ形成後の表面処理方法
US5409543A (en) * 1992-12-22 1995-04-25 Sandia Corporation Dry soldering with hot filament produced atomic hydrogen
US5770098A (en) * 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5336366A (en) * 1993-04-05 1994-08-09 Vlsi Technology, Inc. New dry etch technique
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5350480A (en) * 1993-07-23 1994-09-27 Aspect International, Inc. Surface cleaning and conditioning using hot neutral gas beam array
US5384465A (en) 1993-09-17 1995-01-24 Applied Materials, Inc. Spectrum analyzer in an ion implanter
US5403434A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
US5451263A (en) * 1994-02-03 1995-09-19 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages with metallic parts
IT1271636B (it) 1994-05-04 1997-06-04 Alcatel Italia Metodo per la preparazione e la passivazione degli specchi terminali di laser a semiconduttore ad alta potenza di emissione e relativo dispositivo
EP0697467A1 (en) 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3533583B2 (ja) * 1994-07-25 2004-05-31 富士通株式会社 水素プラズマダウンフロー装置の洗浄方法
JP3326974B2 (ja) 1994-07-28 2002-09-24 ソニー株式会社 多層配線の形成方法および半導体装置の製造方法
US5736002A (en) * 1994-08-22 1998-04-07 Sharp Microelectronics Technology, Inc. Methods and equipment for anisotropic, patterned conversion of copper into selectively removable compounds and for removal of same
US5698469A (en) * 1994-09-26 1997-12-16 Endgate Corporation Method of making a hybrid circuit with a chip having active devices with extra-chip interconnections
JP3339200B2 (ja) 1994-09-28 2002-10-28 ソニー株式会社 プラズマ発生装置、プラズマ加工方法および薄膜トランジスタの製造方法
JP2809113B2 (ja) * 1994-09-29 1998-10-08 日本電気株式会社 半導体装置の製造方法
JPH08186085A (ja) * 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5565681A (en) 1995-03-23 1996-10-15 Applied Materials, Inc. Ion energy analyzer with an electrically controlled geometric filter
US5720097A (en) * 1995-04-07 1998-02-24 Axis Usa, Inc. Apparatus for inserting insulators
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0982689A (ja) * 1995-09-19 1997-03-28 Toshiba Corp プラズマ処理装置およびその方法
JP3862305B2 (ja) * 1995-10-23 2006-12-27 松下電器産業株式会社 不純物の導入方法及びその装置、並びに半導体装置の製造方法
BE1009839A3 (fr) 1995-12-20 1997-10-07 Cockerill Rech & Dev Procede et dispositif pour le nettoyage d'un substrat metallique.
US5904571A (en) * 1996-06-28 1999-05-18 Lam Research Corp. Methods and apparatus for reducing charging during plasma processing
JPH1022279A (ja) * 1996-07-02 1998-01-23 Toshiba Mach Co Ltd 誘導結合型プラズマcvd装置
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5801098A (en) * 1996-09-03 1998-09-01 Motorola, Inc. Method of decreasing resistivity in an electrically conductive layer
US5970378A (en) * 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
GB2319533B (en) * 1996-11-22 2001-06-06 Trikon Equip Ltd Methods of forming a barrier layer
GB2319532B (en) * 1996-11-22 2001-01-31 Trikon Equip Ltd Method and apparatus for treating a semiconductor wafer
KR19980064441A (ko) 1996-12-20 1998-10-07 윌리엄비.켐플러 전도물질을 반도체 소자 표면에 선택적으로 결합시키는 방법
US5834371A (en) * 1997-01-31 1998-11-10 Tokyo Electron Limited Method and apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6125859A (en) * 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
JPH1116912A (ja) 1997-06-25 1999-01-22 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置の製造装置
US6083363A (en) * 1997-07-02 2000-07-04 Tokyo Electron Limited Apparatus and method for uniform, low-damage anisotropic plasma processing
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
US6635578B1 (en) 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
US6130436A (en) 1998-06-02 2000-10-10 Varian Semiconductor Equipment Associates, Inc. Acceleration and analysis architecture for ion implanter
US6148832A (en) * 1998-09-02 2000-11-21 Advanced Micro Devices, Inc. Method and apparatus for in-situ cleaning of polysilicon-coated quartz furnaces
JP3619030B2 (ja) * 1998-11-12 2005-02-09 キヤノン株式会社 プラズマ処理装置及び処理方法
US6511575B1 (en) * 1998-11-12 2003-01-28 Canon Kabushiki Kaisha Treatment apparatus and method utilizing negative hydrogen ion
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6326794B1 (en) * 1999-01-14 2001-12-04 International Business Machines Corporation Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6183614B1 (en) * 1999-02-12 2001-02-06 Applied Materials, Inc. Rotating sputter magnetron assembly
GB2347686B (en) * 1999-03-08 2003-06-11 Trikon Holdings Ltd Gas delivery system
US6251775B1 (en) * 1999-04-23 2001-06-26 International Business Machines Corporation Self-aligned copper silicide formation for improved adhesion/electromigration
US6346489B1 (en) * 1999-09-02 2002-02-12 Applied Materials, Inc. Precleaning process for metal plug that minimizes damage to low-κ dielectric
US6504159B1 (en) 1999-09-14 2003-01-07 International Business Machines Corporation SOI plasma source ion implantation
US6555832B1 (en) 1999-10-13 2003-04-29 Applied Materials, Inc. Determining beam alignment in ion implantation using Rutherford Back Scattering
US6277249B1 (en) * 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6710358B1 (en) 2000-02-25 2004-03-23 Advanced Ion Beam Technology, Inc. Apparatus and method for reducing energy contamination of low energy ion beams
US6388383B1 (en) * 2000-03-31 2002-05-14 Lam Research Corporation Method of an apparatus for obtaining neutral dissociated gas atoms
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6639227B1 (en) 2000-10-18 2003-10-28 Applied Materials, Inc. Apparatus and method for charged particle filtering and ion implantation
KR100404956B1 (ko) * 2001-01-08 2003-11-10 (주)에이피엘 반도체 집적소자 제조공정 및 장치
US20020124867A1 (en) * 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US20020144706A1 (en) * 2001-04-10 2002-10-10 Davis Matthew F. Remote plasma cleaning of pumpstack components of a reactor chamber
JP2004022902A (ja) 2002-06-18 2004-01-22 Fujitsu Ltd 半導体装置の製造方法
US6758949B2 (en) 2002-09-10 2004-07-06 Applied Materials, Inc. Magnetically confined metal plasma sputter source with magnetic control of ion and neutral densities
CN101457338B (zh) * 2003-02-14 2011-04-27 应用材料股份有限公司 利用含氢自由基清洁自生氧化物的方法和设备
US7189980B2 (en) 2003-05-09 2007-03-13 Varian Semiconductor Equipment Associates, Inc. Methods and systems for optimizing ion implantation uniformity control
US8349128B2 (en) 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US7235795B2 (en) 2004-08-12 2007-06-26 Applied Materials, Inc. Semiconductor device manufacturing apparatus and a method of controlling a semiconductor device manufacturing process
US20060042752A1 (en) 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods
US7704887B2 (en) 2005-11-22 2010-04-27 Applied Materials, Inc. Remote plasma pre-clean with low hydrogen pressure
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US8021514B2 (en) 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013096748A1 (en) * 2011-12-23 2013-06-27 Applied Materials, Inc. Methods and apparatus for cleaning substrate surfaces with atomic hydrogen

Also Published As

Publication number Publication date
CN101457338B (zh) 2011-04-27
US20040219789A1 (en) 2004-11-04
KR101276694B1 (ko) 2013-06-19
JP2011082536A (ja) 2011-04-21
JP5061231B2 (ja) 2012-10-31
CN1762039A (zh) 2006-04-19
CN101457338A (zh) 2009-06-17
JP2012199576A (ja) 2012-10-18
JP4673290B2 (ja) 2011-04-20
JP5542172B2 (ja) 2014-07-09
KR20120068982A (ko) 2012-06-27
JP2006523015A (ja) 2006-10-05
WO2004074932A2 (en) 2004-09-02
KR101352995B1 (ko) 2014-01-21
CN100468611C (zh) 2009-03-11
KR101127294B1 (ko) 2012-03-30
WO2004074932A3 (en) 2004-10-21
US7604708B2 (en) 2009-10-20
KR20050101339A (ko) 2005-10-21

Similar Documents

Publication Publication Date Title
KR101352995B1 (ko) 수소-함유 라디칼을 이용한 자연 산화물 세정
US7464717B2 (en) Method for cleaning a CVD chamber
US6432479B2 (en) Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
KR101226297B1 (ko) 포토레지스트 및 에칭 찌꺼기를 저압 제거하는 애싱 방법
US6579730B2 (en) Monitoring process for oxide removal
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
KR100842463B1 (ko) 기판의 유전체층을 사전 세정하기 위한 방법
US7959970B2 (en) System and method of removing chamber residues from a plasma processing system in a dry cleaning process
JP2001144028A (ja) 連続フッ素および水素プラズマによるコンタクト洗浄
US6117771A (en) Method for depositing cobalt
JP4511721B2 (ja) インサイチュプレクリーニングステップを含むウェーハ上のチタン化学気相堆積法
TWI389198B (zh) 灰化裝置

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 7