KR102107382B1 - 그래핀 형성 방법 및 시스템 - Google Patents

그래핀 형성 방법 및 시스템 Download PDF

Info

Publication number
KR102107382B1
KR102107382B1 KR1020147025067A KR20147025067A KR102107382B1 KR 102107382 B1 KR102107382 B1 KR 102107382B1 KR 1020147025067 A KR1020147025067 A KR 1020147025067A KR 20147025067 A KR20147025067 A KR 20147025067A KR 102107382 B1 KR102107382 B1 KR 102107382B1
Authority
KR
South Korea
Prior art keywords
graphene
substrate
cmos compatible
surface treatment
treatment process
Prior art date
Application number
KR1020147025067A
Other languages
English (en)
Other versions
KR20140135725A (ko
Inventor
데이비드 에이. 보이드
Original Assignee
캘리포니아 인스티튜트 오브 테크놀로지
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 캘리포니아 인스티튜트 오브 테크놀로지 filed Critical 캘리포니아 인스티튜트 오브 테크놀로지
Publication of KR20140135725A publication Critical patent/KR20140135725A/ko
Application granted granted Critical
Publication of KR102107382B1 publication Critical patent/KR102107382B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/087Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy
    • B01J19/088Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electric or magnetic energy giving rise to electric discharges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J19/12Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor employing electromagnetic waves
    • B01J19/122Incoherent waves
    • B01J19/129Radiofrequency
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J19/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J19/18Stationary reactors having moving elements inside
    • B01J19/22Stationary reactors having moving elements inside in the form of endless belts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/184Preparation
    • C01B32/186Preparation by chemical vapour deposition [CVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02425Conductive materials, e.g. metallic silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • H01L29/32Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface the imperfections being within the semiconductor body
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0873Materials to be treated
    • B01J2219/0879Solid
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2219/00Chemical, physical or physico-chemical processes in general; Their relevant apparatus
    • B01J2219/08Processes employing the direct application of electric or wave energy, or particle radiation; Apparatus therefor
    • B01J2219/0894Processes carried out in the presence of a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

그래핀 형성 방법은 기판을 제공하는 단계 및 기판이 감소된 압력 환경을 겪는 단계를 포함한다. 이 방법은 캐리어 가스 및 탄소 소스를 제공하는 단계 및 기판의 적어도 일부를 캐리어 가스 및 탄소 소스에 노출하는 단계를 또한 포함한다. 이 방법은 기판의 적어도 일부 상에 표면 처리 프로세스를 수행하는 단계 및 탄소 소스의 일부를 기판의 적어도 일부 상에 배치된 그래핀으로 변환하는 단계를 더 포함한다.

Description

그래핀 형성 방법 및 시스템 {METHOD AND SYSTEM FOR GRAPHENE FORMATION}
본 출원은 발명의 명칭이 "감소된 프로세싱 온도에서 그래핀을 형성하기 위한 방법(Method for Forming Graphene at Reduced Processing Temperatures)"이며, 2012년 2월 24일자로 출원된 미국 가특허 출원 번호 제 61/603,104 호, 발명의 명칭이 "감소된 프로세싱 온도에서 그래핀을 형성하기 위한 방법(Method for Forming Graphene at Reduced Processing Temperatures)"이며, 2012년 3월 6일자로 출원된 미국 가특허 출원 번호 제 61/607,337 호, 및 발명의 명칭이 "감소된 온도에서 고품질의 큰 면적의 그래핀을 형성하기 위한 단일 단계 방법(Single-Step Method for Forming High Quality, Large Area Graphene at Reduced Temperature)"이며, 2012년 7월 30일자로 출원된 미국 가특허 출원 번호 제 61/677,323 호를 우선권으로 주장하며, 이러한 개시물들은 본원에 모든 목적들을 위해 그 전체가 인용에 의해 포함된다.
그래핀은 원자들이 규칙적인 육각형 패턴으로 단일 원자 시이트에 어레이되는 탄소의 동소체(allotrope)이다. 그래핀의 전자 특성들은 종래의 3차원 재료들과는 상이하며 그래핀은 제로 밴드갭 반도체(zero bandgap semiconductor)로서 고려될 수 있다. 그래핀은 상온에서 높은 캐리어 이동성을 가질 수 있으며, 이는 그래핀을 전자 회로 분야들을 위한 후보 재료가 되게 한다.
그래핀 필름들을 형성하는 현재의 방법들은 고온들, 예컨대 1,000℃ 미만에서의 화학 기상 증착법(CVD)을 포함한다. CVD 성장 기술들은 고온들(예컨대 1,000℃ 미만)에서 수소에 대한 예비 성장 노출 단계를 또한 포함할 수 있다.
그래핀 필름들의 형성에 관련되어 이루어진 진전에도 불구하고, 당업계에는 그래핀 제조와 관련된 개선된 방법들 및 시스템들에 대한 요구가 있다.
본 발명은 일반적으로 재료 합성 방법들 및 시스템들에 관한 것이다. 더 구체적으로는, 본 발명은 고품질의 큰 면적의 그래핀의 성장 방법들 및 시스템들에 관한 것이다. 단지 예로서, 본 발명은 감소된 압력의 분위기 하의 상온에서의 그래핀 성장 방법에 적용되었다. 이 방법들 및 기술들은 CMOS 호환 가능한 반도체 성장 프로세스들을 포함하는 다양한 그래핀 성장 시스템들에 적용될 수 있다.
본 발명의 실시예에 따르면, 그래핀의 필름을 형성하는 방법이 제공된다. 이 방법은 감소된 압력의 프로세싱 챔버 내에 기판을 위치시키는 단계 및 기판의 적어도 일부 상에 표면 처리 프로세스를 수행하는 단계를 포함한다. 이 방법은 프로세싱 챔버 내에 카본 함유 재료를 제공하는 단계 및 탄소 함유 재료에 기판을 노출하는 단계를 또한 포함한다. 이 방법은 탄소 함유 재료의 일부를 기판 상의 그래핀의 필름으로 변환하는 단계를 더 포함한다.
본 발명의 다른 실시예에 따르면, 그래핀의 형성 방법이 제공된다. 이 방법은 기판을 제공하는 단계 및 기판이 감소된 압력 환경을 겪는 단계를 포함한다. 이 방법은 캐리어 가스 및 탄소 소스를 제공하는 단계 및 기판의 적어도 일부를 캐리어 가스 및 탄소 소스에 노출하는 단계를 또한 포함한다. 이 방법은 기판의 적어도 일부 상에 표면 처리 프로세스를 수행하는 단계 및 탄소 소스의 일부를 기판의 적어도 일부 상에 배치되는 그래핀으로 변환하는 단계를 더 포함한다.
본 발명의 특별한 실시예에 따르면, 그래핀 제조 시스템이 제공된다. 시스템은 복수의 가스 소스들, 각각 복수의 가스 소스들 중 하나에 커플링되는 복수의 질량 유동 제어기들, 및 복수의 질량 유동 제어기들과 유체 연통하는 프로세싱 챔버를 포함한다. 이 시스템은 프로세싱 챔버 및 이 프로세싱 챔버와 유체 연통하는 진공 펌프 내에 플라즈마를 형성하도록 작동 가능한 플라즈마 소스를 또한 포함한다. 이 시스템은 프로세서 및, 데이터 프로세서에 의해 실행될 때 그래핀 제조를 제공하는, 컴퓨터 판독 가능한 저장 매체 상에 명백하게 구현되는 복수의 컴퓨터 판독 가능 명령들을 포함하는 비일시적인 컴퓨터 판독 가능 저장 매체를 더 포함한다. 복수의 명령들은 데이터 프로세서가 기판이 감소된 압력 환경을 겪는 것을 야기하는 명령들 및 데이터 프로세서가 캐리어 가스 및 탄소 소스를 제공하는 것을 야기하는 명령들을 포함한다. 복수의 명령들은 데이터 프로세서가 기판의 적어도 일부를 캐리어 가스 및 탄소 소스에 노출하는 것을 야기하는 명령들 및 데이터 프로세서가 기판의 적어도 일부 상에 표면 처리 프로세스를 수행하는 것을 야기하는 명령들을 또한 포함한다. 복수의 명령들은 데이터 프로세서가 탄소 소스의 일부를 기판의 적어도 일부 상에 배치되는 그래핀으로 변환하는 것을 야기하는 명령들을 더 포함한다.
수많은 이득들이 종래 기술에 대하여 본 발명에 의해 달성된다. 예컨대, 본 발명의 실시예들은 노(furnace)에 대한 요구 없이 그래핀을 제조하는 기술들을 제공한다. 부가적으로, 본원에 설명된 것과 같이, 그래핀 성장은 종래 기술들에 의해 제공되는 것보다 더 신속하게 달성될 수 있다. 또한, 본원에 설명된 낮은 열 예산(thermal budget) 프로세스들은 종래 방법들을 사용하는 그래핀 성장에서 관찰되는 것보다 더 낮은 응력을 특징으로 하는 그래핀의 성장을 가능하게 한다. 본 발명의 몇몇 실시예들은 노를 사용하지 않는 그래핀의 제조 방법들 및 시스템들을 제공하며, 이는 저응력 그래핀 필름들을 제조하는 동안, 성장이 종래의 기술들을 사용하는 것보다 더 신속한 레이트(rate)로 달성되는 것을 가능하게 하며, 성장 시간을 현저하게 감소시킨다(예컨대 5 시간에서 15 분으로). 본 발명의 이러한 및 다른 실시예들은 많은 그의 이점들 및 특징들과 함께 이하의 문단들 및 부착된 도면들과 관련하여 더 상세하게 설명된다.
도 1은 본 발명의 실시예에 따른 그래핀 제조 시스템을 예시하는 간소화된 개략 다이어그램이고;
도 2는 본 발명의 실시예에 따른 그래핀의 성장 방법을 예시하는 간소화된 흐름도이고;
도 3은 종래 기술들을 사용하여 성장된 그래핀 필름과 본 발명의 실시예를 사용하여 성장된 그래핀 필름에 대한 데이터를 도시하고;
도 4는 본 발명의 다른 실시예에 따른 그래핀 성장 방법을 예시하는 간소화된 흐름도이고;
도 5는 종래 기술들을 사용하여 성장된 그래핀 필름과 본 발명의 다른 실시예를 사용하여 성장된 그래핀 필름에 대한 데이터를 도시하고;
도 6은 본 발명의 또 다른 실시예에 따른 그래핀 성장 방법을 예시하는 간소화된 흐름도이고;
도 7은 종래 기술들을 사용하여 성장된 그래핀 필름과 본 발명의 실시예를 사용하여 성장된 그래핀 필름에 대한 데이터를 도시하고;
도 8은 본 발명의 실시예에 따른 그래핀 제조를 위한 연속적인 롤 투 롤(roll-to-roll) 시스템의 간소화된 개략 다이어그램이다.
본 발명은 일반적으로 재료 합성 방법들 및 시스템들에 관한 것이다. 더 구체적으로는, 본 발명은 고품질의 큰 면적의 그래핀의 성장 방법들 및 시스템들에 관한 것이다. 단지 예로서, 본 발명은 감소된 압력 분위기 하의 상온에서의 그래핀의 성장 방법에 적용되었다. 이 방법들 및 기술들은 CMOS 호환 가능한 반도체 성장 프로세스들을 포함하는 다양한 그래핀 성장 시스템들에 적용될 수 있다.
본 발명의 실시예들에 따르면, 그래핀 제조 방법들 및 시스템들이 제공된다. 실시예에서, 프로세스는 기판(예컨대, 구리 포일)이 탄소 전구체들의 도입에 앞서 수소 플라즈마를 겪는 단계를 포함한다. 이러한 프로세스는 감소된 압력 분위기 하에서 수행된다. 이러한 프로세스는 종래의 그래핀 성장에 이용되는 고온 수소 어닐링을 회피한다. 따라서, 본 발명은 수소 내의 예비 성장 고온 어닐링 또는 성장 동안의 고온들 없이 저온 프로세싱을 가능하게 하기 위해 저온(예컨대, 상온)에서 고품질의 그래핀의 성장을 가능하게 한다.
CVD 에 의해 그래핀을 형성하는 종래의 방법들과 관련하여 이용된 높은 프로세싱 온도들(예컨대, 1,000℃ 미만)은 결국은 장치 성능에 부정적인 결과들을 발생한다. 낮은 열 예산들이 바람직하며, 이는 제조를 위한 에너지 비용들을 감소시키며 잠재적으로는 감소된 응력을 갖는 그래핀 필름들을 제조한다. 부가적으로, 낮은 열 예산들은 장치 통합을 위한 새로운 방안을 개시할 수 있다. 높은 프로세싱 온도들은 성장에 앞서 수행되는 수소 어닐링 동안 또는 CVD 성장 동안 관습적으로 사용된다. 구리 필름들 상의 CVD 성장을 위해, 수소 내의 어닐링은 고유의 구리 산화물 층을 제거함으로써 구리 표면을 세정하고 그래핀 층이 성장하는 구리 원소로의 접근을 제공하는 것으로 여겨진다. 고온 수소 어닐링 동안 발생되는 기판 세정은 프로세싱 튜브의 내측 상의 구리의 생성에 의해 입증된다.
표면을 세정하는 대안적인 방법은 플라즈마(예컨대, 마이크로웨이브 플라즈마)의 사용을 통한 것이며, 이는 기판 세정이 프로세싱 환경에 고온을 가하지 않고 수행될 수 있는 것을 가능하게 한다. 본 발명의 실시예들을 제한하지 않으면서, 발명자들은 플라즈마 내의 활동성 종(energetic species)이 구리 기판에 존재하는 고유의 산화물 층을 제거하는 역할을 하는 것으로 간주한다.
본 발명의 실시예에 따르면, 종래의 방법들에 비교하여 낮은 열 예산 프로세스를 사용하는 고품질의 그래핀 성장 방법이 제공된다. 이러한 실시예에서, 종래의 고온 수소 어닐링은 CVD 성장의 시작 전에 상온 플라즈마 세정에 의해 대체된다. 따라서, 프로세스의 전체 열 예산은 종래의 기술들과 비교하여 감소된다.
도 1은 본 발명의 실시예에 따른 그래핀 제조 시스템을 예시하는 간소화된 개략 다이어그램이다. 시스템은 프로세싱 챔버(110)를 포함한다. 프로세싱 챔버는 프로세싱 튜브로서 또한 언급될 수 있다. 프로세싱 챔버는 플라즈마 발생을 지속할 비반응성 환경을 제공하는 석영(quartz)와 같은 재료들로 제작된다. 석영 외에, 알루미나, 유리 등을 포함하는 다른 재료들이 프로세싱 챔버를 제작하는데 이용될 수 있다. RF 플라즈마 발생기(120)(예컨대, 마이크로웨이브 플라즈마의 발생을 위해 적절한 에벤슨 공동(Evenson cavity)) 및 연관된 전력 공급 장치(122)가 프로세싱 챔버의 부분 내에 RF 플라즈마(예컨대, RF 스펙트럼의 극초단파(ultra high frequency; UHF) 부분의 마이크로웨이브 플라즈마)를 발생하기 위해 제공된다. 프로세싱 챔버의 부분은 특별한 실행에 의존하여 프로세싱 챔버의 전체 또는 일부를 포함할 수 있다.
가스 소스(130, 132 및 134)들이 도 1에 예시되고 더 적은 또는 더 많은 수의 소스들을 포함할 수 있다. 예시된 실시예에서, 가스 소스들은 H2, Ar 및 CH4 이지만 본 발명은 이러한 특별한 가스들로 제한되지 않는다. 질량 유동 제어기(MFC; 131, 133 및 135)들 또는 다른 적절한 유동 제어기들이 가스 소스들로부터 프로세싱 챔버로의 가스들의 유량을 제어하기 위해 이용된다.
누출 밸브(139)가 도 1에 예시되고 MFC 들에 의해 제공되는 레벨 아래의 레벨들로 탄소 소스의 유동을 제어하는데 사용될 수 있다. 몇몇 실시예들에서, MFC(135)는 개방 상태로 작동되고 탄소 소스의 유동은 광학 분광기(160)로부터의 피드백 신호를 통합하는 피드백 루프를 사용하여 제어될 수 있다. 대안적으로, H2 및 CH4 의 예비 혼합물(또는 다른 적절한 탄소 소스)이 이용될 수 있다. 따라서, 몇몇 실시예들은 광 방출 스펙트럼들이 누출 밸브의 위치의 매우 작은 변화들에도 민감할 수 있기 때문에 스트림 내의 탄소 소스(예컨대, 메탄)의 양을 조절하기 위해 광 방출 스펙트럼들을 이용한다.
프로세싱 챔버(110) 내의 압력을 감시하기 위해, 하나 또는 그 초과의 압력 게이지(140 및 142)들이 포어라인 트랩(foreline trap)(144)과 진공 펌프(146)로 유도하는 진공 라인들에 이용될 수 있다. 부가적인 진공 요소들이 특별한 적용에 적절하다면 이용될 수 있다. 부가적으로, 하나 또는 그 초과의 진공 제어 밸브(148)들이 프로세싱 챔버 내의 압력을 제어하기 위해 이용될 수 있다.
그래핀 형성 프로세스 및 프로세싱 환경의 특성화를 제공하기 위해, 광학 분광기(160)가 제공되며, 이는 광섬유 케이블(162)을 사용하여 프로세싱 챔버에 광학식으로 커플링된 것으로 예시된다. 유동 스트림 내의 탄소 소스의 양을 제어하고 조절하기 위해 사용될 수 있는 광 방출 분광기(OES)들 외에, OES 는 방출 피크들을 측정하는데 사용될 수 있다. 몇몇 실시예들에서, 방출 피크들의 세트의 비(ratio)는 성장 프로세스를 감시하고 일관된 결과들을 발생하는데 사용될 수 있다. 다른 실행들에서, 광학 고온계가 샘플 온도를 측정하는데 사용된다. 몇몇 실시예들에서, 광 검사 시스템(예컨대, 기판의 하나 또는 그 초과의 표면들로의 광학 접근을 제공하는 거울)이 구리 제거의 상태를 특성화하기 위해 성장 동안 이용된다. 따라서, 광학 분광기 외에, 다른 광 검사 기술들이 본 발명의 범주 내에 포함된다.
프로세서(172) 및 컴퓨터 판독 가능 매체(174)를 포함하는 컴퓨터(170)가 제공되고 MFC들, 진공 제어 밸브(148), RF 플라즈마 발생기(120) 및 전력 공급 장치(122), 광 방출 분광기(OES)(160), 및 다른 적절한 시스템 구성요소들에 커플링되어 다양한 시스템 구성요소들의 제어를 제공한다. 몇몇 실행들에서, 더 적은 또는 더 많은 구성요소들이 컴퓨터에 커플링될 수 있다. 프로세서(172)는 진공 압력, 가스 유량들, 플라즈마 발생 및 다른 시스템 변수들 중 적어도 일부를 제어하는데 관련된 계산들을 수행하는데 사용된다. 컴퓨터 판독 가능 매체(174)(또한 데이터베이스 또는 메모리로서 언급됨)는 프로세서 및 다른 시스템 요소들에 의해 사용되는 데이터를 저장하기 위해 프로세서(172)에 커플링된다. 프로세서(172)는 몇몇 실시예들에서 광학 분광기(160)와 상호 작용하며, 이는 기판 세정 프로세스, 그래핀 증착 프로세스 등의 상태에 대한 데이터를 제공한다. 프로세서(172), 메모리(174) 및 I/O 인터페이스(176)를 사용하여, 사용자는 본원에 설명된 것과 같이 그래핀을 형성하기 위해 시스템을 작동시킬 수 있다.
프로세서(172)는, 캘리포니아 산타 클라라의 주식회사 인텔(Intel Corporation)에 의해 제작되는 펜티엄 프로세서와 같이, 명령들 및 데이터를 실행하도록 구성되는 범용 마이크로프로세서일 수 있다. 프로세서는 또한 본 발명에 따른 방법을 수행하기 위한 명령들의 적어도 일부를 소프트웨어, 펌웨어 및/또는 하드웨어에서 구현하는 응용 주문형 집적 회로(ASIC)일 수 있다. 예로서, 이러한 프로세서들은 전용 회로망, ASIC들, 조합 로직, 다른 프로그램 가능한 프로세서들, 이들의 조합들 등을 포함한다.
메모리(174)는 특별한 적용에 적절하도록 국부적이거나 분산될 수 있다. 메모리(174)는 프로그램 실행 동안 명령들 및 데이터의 저장을 위한 메인 램(RAM) 및 고정된 명령들이 저장되는 롬(ROM)을 포함하는 복수의 메모리들을 포함할 수 있다. 따라서, 메모리(174)는 프로그램 및 데이터 파일들을 위한 지속성(비휘발성) 저장소를 제공하고, 하드 디스크 드라이브, 플래시 메모리, 연관된 제거 가능한 매체를 갖는 플로피 디스크 드라이브, CD-ROM(Compact Disk Read Only Memory) 드라이브, 광학 드라이브, 제거 가능한 매체 카트리지들 등의 저장 매체를 포함할 수 있다.
도 2는 본 발명의 실시예에 따른 그래핀 성장 방법을 예시하는 간소화된 흐름도이다. 이 방법은 감소된 압력 환경에 기판을 위치시키는 단계(210)를 포함한다. 실시예에서, 기판은 구리 포일(예컨대, 0.025 ㎜ 두께)이고 감소된 압력 환경은 진공 환경(예컨대, 25 mTorr 내지 0.5 Torr 범위의 압력)이다. 몇몇 실시예들에서, 전체 시스템 압력은 25 mTorr 내지 40 mTorr 이지만, 이용되는 특별한 진공 시스템들에 의존하여 더 낮을 수 있다. 프로세싱 동안, 압력은 본원에 더 상세하게 설명되는 것과 같이 몇몇의 실행들에서 500 mTorr로 유지된다.
이 방법은 기판이 세정 가스(예컨대, 수소)의 유동을 겪게 하는 단계(212) 및 미리 정해진 기간의 시간 동안 기판의 근처에 RF 플라즈마를 형성하는 단계(214)를 또한 포함한다. 몇몇 실시예들에서, RF 플라즈마 세정 동안의 기판의 온도는 종래의 1,000℃의 수소 어닐링에 비교하여 감소된 온도, 예컨대 상온 또는 상온에 근접한 온도이다. 따라서, 본 발명의 실시예들은 종래의 기술들을 사용하여 이용 가능하지 않은 저온 프로세싱을 가능하게 한다.
RF 플라즈마가 소멸된 후에, 샘플은 성장 온도(예컨대, 800℃)로 가열된다(216). 몇몇 실시예들에서, 가열 프로세스 동안, 기판은 기판을 불활성 환경에 보존하기 위해 수소가 유동하는 진공 상태들 하에서 유지된다. 본 발명의 실시예들을 제한하지 않으면서, 발명자는 RF 플라즈마가 기판의 반응성을 증가시키고, 이에 의해 탄소 함유 재료들에 노출될 때 그 후의 성장을 위해 기판을 준비하는 것으로 간주한다. 몇몇 실행들에서, 진공 환경(예컨대, 25 mTorr 내지 0.5 Torr)은 주위 분위기(공기, 질소 등을 포함)로부터의 가스들이 존재할 수 있는 것에 주목해야 하는데 이는 초고진공 환경이 몇몇 실시예들에 의해서는 요구되지 않기 때문이다. 진공 환경의 증가된 제어를 위해, 프로세싱 챔버 내에 존재하는 가스들의 부가적인 제어가 제공될 수 있으며 이는 CVD 시스템들과 친숙한 자에게 명백할 것이다.
본 방법은 기판이 미리 정해진 기간의 시간 동안 탄소 전구체의 유동을 겪게 하는 단계(218)를 더 포함한다. 예로서, 탄소 전구체는 메탄, 아세틸렌, 시클로헥산, 톨루엔, PMMA, 폴리스티렌, 벤젠, 이들의 조합 등을 포함하는 몇몇의 가스 종 중 하나일 수 있다. 성장 후에, 기판은 냉각되고 성장 챔버로부터 제거된다.
도 2에 예시된 특정 단계들이 본 발명의 실시예에 따른 특별한 그래핀 성장 방법을 제공하는 것이 이해되어야 한다. 단계들의 다른 시퀀스들이 대안적인 실시예들에 따라 또한 수행될 수 있다. 예컨대, 본 발명의 대안적인 실시예들은 상기 서술된 단계들을 상이한 순서로 수행할 수 있다. 또한, 도 2에 예시된 개별 단계들은 개별 단계에 적절하게 다양한 시퀀스들로 수행될 수 있는 다중 하위 단계들을 포함할 수 있다. 또한, 부가적인 단계들이 특별한 적용들에 의존하여 부가되거나 제거될 수 있다. 당업자는 많은 변형들, 수정들 및 대안들을 인지할 것이다.
도 2와 관련하여 논의된 것과 같은 본 발명의 실시예들의 이용시에, 구리 포일들 상의 그래핀 성장이 약 800℃ 내지 약 1,000℃의 범위의 성장 온도들에서 수행되었다. 따라서, 종래의 그래핀 성장 온도들보다 더 낮은 온도들에서의 성장이 본 발명의 몇몇 실시예들에 의해 제공된다. 도 3은 종래 기술들을 사용하여 성장된 그래핀 필름에 대한(플롯 A) 그리고 도 2와 관련되어 논의된 방법을 사용하여 성장된 그래핀 필름에 대한(플롯 B) 데이터(즉, 라만 분광학(Raman spectroscopy)을 사용하여 수집된 스펙트럼 데이터)를 도시한다. 도 3에 예시된 것과 같이, 1,000℃ 에서 단결정 구리 상에 성장된 그래핀(플롯 A)과 저온 플라즈마 세정 프로세스 이후에 800℃ 성장을 사용하여 성장된 구리 포일 상에 성장된 그래핀(플롯 B)은 약 1,580 및 2,700 ㎝-1에서의 라만 스펙트럼들의 2 개의 날카로운 피쳐들, 및 약 1,350 ㎝-1 에서 현저한 결함 피크의 부재를 특징으로 하며, 이는 본 발명의 실시예들이 본원에 설명된 플라즈마 보조 상태들을 이용하여 고품질의 그래핀 필름을 제조하는 것을 나타낸다.
2 단계 세정/성장 프로세스를 이용하는 몇몇 실시예들에서, 기판은 표면을 세정하는데 사용되는 플라즈마 처리와 성장 동안의 탄소 소스에 대한 노출 사이의 시간 동안 불활성 환경에 유지된다. 기판을 이러한 불활성 환경에 유지하는(예컨대, 진공으로 프로세스를 유지) 능력은 처리된 표면이 처리된 상태로 남아있는 것을 가능하게 하는데 이는 구리가 산소에 노출될 때 산화물을 빠르게 형성하기 때문이다.
도 1에 예시된 시스템을 이용하여, 이하와 같이 그래핀이 형성되었다. RF 플라즈마는 2 sccm 의 수소 유량으로 15 분의 기간 동안 40 W 의 입력 전력(input power)에서 에벤슨 공동을 사용하여 기판(구리 포일)의 근처에 프로세싱 챔버 내에 형성되었다. RF 플라즈마의 적용 동안, 현저한 양의 구리가 마이크로웨이브 공동 근처에서 관찰되었고, 이는 RF 플라즈마가 기판으로부터 구리를 에칭하거나 또는 다른 방식으로 제거하는 것을 나타낸다. RF 플라즈마는 소멸되었고 기판은 42 mTorr에서 수소의 2 sccm의 유동 하에서 800℃까지 가열되었다.
그 후에, CH4 의 유동이 35 sccm의 유량으로 부가되었고 프로세싱 챔버 내의 총 압력은 500 mTorr으로 증가되었다. 이러한 상태들의 15 분 후에, 기판은 동일한 유동 및 압력 하에서 냉각되었다. 냉각시에, 기판은 제거되었고 라만 분광학이 수행되었으며(도 5의 플롯 A), 이는 그래핀이 샘플 홀더로서 또한 참조될 수 있는 서셉터(susceptor)에 인접한 기판의 이면(backside)에 형성된 것을 보여준다. 이러한 과정은 유사한 결과들을 갖는 700℃ 및 600℃의 성장 온도들에서 반복되었다. 그래핀의 단일 층들 외에, 본 발명의 실시예들은 다층 그래핀, 탄소 나노튜브들(예컨대, VLS 프로세스 사용), 다이아몬드형 탄소, 그래파이트, 비정질 탄소, 버키 볼스(Bucky Balls) 등을 포함하는 탄소의 다른 동형체들을 형성하는데 유용하다. 당업자는 많은 변형들, 수정들 및 대안들을 인지할 것이다.
도 4는 본 발명의 다른 실시예에 따른 그래핀 성장 방법을 예시하는 간소화된 흐름도이다. 이 방법은 도 5의 플롯 A 의 라만 스펙트럼들과 연관된 그래핀 성장 프로세스와 어떠한 유사한 프로세스들을 공유하고 이러한 고온(예컨대, 800℃)에 관련된 설명이 적절하다면 도 4에 예시된 성장 프로세스에 적용 가능하다.
이 방법은 감소된 압력 환경에서 프로세싱 챔버 내에 기판을 제공하는 단계(410)를 포함한다. 실시예에서, 기판은 구리 포일이거나 다른 적절한 기판일 수 있고 감소된 압력 환경은, 예컨대 약 1 내지 약 500 mTorr 범위의 압력들인 도 1에 예시된 프로세싱 챔버(110) 내의 진공 환경일 수 있다. 압력은 몇몇 실행들에서 500 mTorr 미만일 수 있다. 몇몇 실시예들에서, 이 방법은 예컨대 2 sccm 의 유량으로, 프로세싱 챔버 내로 수소 가스의 유동을 도입하는 단계(412)를 또한 포함한다. 질소, 아르곤, 다른 희가스(noble gas)들, 염소, 다른 할로겐들, 이러한 가스들(예컨대, 염소와 아르곤)의 혼합물 등을 포함하는 다른 가스들이 또한 이용될 수 있다. 가스가 프로세싱 챔버를 통하여 유동하지만, 챔버에 대한 진공의 적용은 가스 유동에도 불구하고 감소된 압력 환경을 유지하기에 충분하다. 몇몇 실시예들에서, 프로세싱 챔버 내의 압력은 미리 정해진 시간 동안 미리 정해진 압력 값, 예컨대 500 mTorr 로 유지된다. 고유의 산화물들을 효과적으로 제거하기 위해, 감소된 압력 환경의 산소의 부분 압력은 30 mTorr 미만일 수 있고, 감소된 압력 환경의 수소의 부분 압력은 500 mTorr 미만일 수 있으며, 감소된 압력 환경의 수증기를 포함하는 불활성 가스들 및/또는 분위기 가스들의 부분 압력은 500 mTorr 미만일 수 있다. 다른 실시예들에서, 감소된 압력 환경의 공기의 부분 압력은 30 mTorr 미만일 수 있거나, 감소된 압력 환경의 물의 부분 압력은 30 mTorr 미만일 수 있거나, 감소된 압력 환경의 캐리어 가스 및 탄소 소스의 부분 압력은 500 mTorr 미만일 수 있다. 특별한 실시예에서, 감소된 압력 환경의 캐리어 가스 및 탄소 소스의 총 압력은 500 mTorr 미만이다. 당업자는 다양한 변형들, 수정들 및 대안들을 인지할 것이다.
부가적으로, 이 방법은 프로세싱 챔버 내의 RF 플라즈마를 개시하는 단계(414) 및 기판이 미리 정해진 기간의 시간 동안 RF 플라즈마를 겪는 단계(416)를 포함한다. RF 플라즈마에 대한 노출 동안, 기판 표면은 고유의 산화물들을 제거하기 위해 세정 및/또는 처리되고 그래핀의 증착을 위한 표면을 준비한다. RF 플라즈마 처리 동안, 기판은, 예컨대 진공 상태들을 유지함으로써 감소된 압력 환경에 유지된다. 예로서, RF 플라즈마(예컨대, 프로세싱 챔버 내의 수소의 유동과 관련되어 형성되는 RF 수소 플라즈마)는 (예컨대, 2 sccm 의 수소 유량으로)15 분의 기간 동안 40 W 의 입력 전력에서 에벤슨 공동을 사용하여 기판(구리 포일)의 근처에서 프로세싱 챔버 내에 형성될 수 있다. RF 플라즈마의 적용 동안, 현저한 양의 구리가 마이크로웨이브 공동 근처에서 관찰되었고, 이는 RF 플라즈마가 기판으로부터 구리를 에칭하거나 또는 다른 방식으로 제거하는 것을 나타낸다.
RF 플라즈마 처리 후에, 플라즈마는 소멸되고 기판은 감소된 압력 환경에, 예컨대 2 sccm 의 H2 유량을 갖고, 42 mTorr 의 압력을 초래하는 저압 수소 환경에 유지된다. 이 방법은 예컨대, 프로세싱 챔버 내에 500 mTorr 의 압력을 발생하는, CH4 의 35 sccm 의 유량으로, 프로세싱 챔버 안으로 탄소 전구체(예컨대, 메탄)를 유동시키는 단계(418)를 더 포함한다. 따라서, 기판이 몇몇 실시예들에서, 예컨대 500 mTorr 미만의 압력에서 탄소 함유 재료에 노출되는 동안 감소된 압력 분위기는 유지될 수 있다. 탄소 전구체의 유동 동안 온도는 상온, 상온 미만의 온도들, 또는 상온 초과의 온도들일 수 있다. 특별한 실시예에서, 탄소 전구체의 유동 동안의 온도는 20℃ 및 30℃, 예컨대 상온이다.
탄소 전구체의 유동 동안, 챔버의 압력은 규정된 값(예컨대, 42 mTorr)으로 유지될 수 있거나 특별한 적용에 의존하여 시간에 따라 변할 수 있다. 몇몇 실시예들에서, 감소된 압력 환경은 탄소 전구체의 유동 동안 유지된다. 탄소 전구체의 유동은, 예컨대 상온에서 본 발명의 실시예들에 따라 기판 상의 그래핀의 증착을 초래한다. 그래핀은 증착 상태들에 의존하여 기판의 일 측에 또는 양 측들에 형성될 수 있다. 몇몇 실행들에서, 커버 또는 캡이 그래핀 성장을 향상시키기 위해 기판에 아주 근접하여 이용될 수 있다. 본 발명의 실시예들을 제한하지 않으면서, 발명자는 커버 또는 캡의 사용이 기판 표면에 인접한 종 및/또는 전구체들의 잔류 시간을 증가시킬 수 있으며, 반응 운동역학에 영향을 미치고 이에 의해 증착률을 증가시키는 것으로 간주한다. 몇몇 실시예들에서, 서셉터 또는 샘플 홀더에 인접한 기판의 이면 상의 그래핀 성장이 관찰된다. 그래핀 성장에서의 이러한 향상들은 기판과 서셉터 또는 샘플 홀더 사이의 메탄 유동의 낮은 속도 및 커버되거나 캡으로 씌여지지 않은 다른 표면들과 비교하여 대응하는 긴 잔류 시간에 의한 것일 수 있다. 대안적으로, 가스 유동 내의 탄소 소스의 농도는 성장 표면에서 이용 가능한 탄소의 양을 제한하기 위해 감소될 수 있으며, 이에 의해 그래핀 성장을 향상시킨다.
미리 정해진 기간의 시간 후에, 수소 및 탄소 전구체의 유량들은, 예컨대 0 으로 감소된다. 프로세싱 챔버가 진공 펌프들과 연통하기 때문에, 프로세싱 챔버는 비워지고 아르곤 또는 다른 적절한 불활성 가스들로 재충전될 수 있다. 그 후에, 기판은 증착된 그래핀을 특성화하기 위해 프로세싱 챔버로부터 제거될 수 있다. 몇몇 실시예들에서, 총 압력은 메탄 유동의 전체 또는 일부 동안 500 mTorr 로 증가되며, 이는 15 분과 같은 기간 동안 유지될 수 있다. 그래핀 형성 후에, 프로세싱 챔버는 특성화를 위한 기판의 제거에 앞서 아르곤 또는 다른 적절한 불활성 가스들로 충전될 수 있다.
도 4에 예시된 것과 같이, 기판이 감소된 압력 환경에 위치된 후에, 선택적인 수소 가스의 유동(412)이 프로세싱 챔버 내에 RF 수소 플라즈마를 발생(414)하는데 사용될 수 있다.
도 4에 예시된 특정 단계들은 본 발명의 실시예에 따른 특별한 그래핀 성장 방법을 제공하는 것이 이해되어야 한다. 단계들의 다른 시퀀스들이 또한 대안적인 실시예들에 따라 수행될 수 있다. 예컨대, 본 발명의 대안적인 실시예들은 상기 서술된 단계들을 상이한 순서로 수행할 수 있다. 또한, 도 4에 예시된 개별 단계들은 개별 단계들에 적절하게 다양한 시퀀스들로 수행될 수 있는 다중 하위 단계들을 포함할 수 있다. 또한, 부가적인 단계들이 특별한 적용들에 의존하여 부가되거나 제거될 수 있다. 당업자는 많은 변형들, 수정들 및 대안들을 인지할 것이다.
도 5는 종래 기술들을 사용하여 성장된 그래핀 필름과 본 발명의 다른 실시예를 사용하여 성장된 그래핀 필름에 대한 데이터를 도시한다. 도 5에 예시된 것과 같이, 종래 기술들을 사용하여(예컨대, 1,000℃ 에서 수행되는 성장을 갖는 단결정 구리 기판) 성장된 그래핀에 대한 라만 스펙트럼들은 플롯 A 로서 그래프로 표시된다. 플롯 B 는 플라즈마 세정 후 800℃ 에서의 성장 다음에 구리 포일상에 형성되는 그래핀에 대한 라만 스펙트럼들을 예시한다. 플롯 C 는 도 4에 예시된 방법, 즉 RF 플라즈마 세정 프로세스 이후 그래핀의 상온 증착을 사용하여 구리 포일 상에 형성된 그래핀에 대한 라만 스펙트럼들을 예시한다. 도 5의 데이터 수집을 위해 사용되는 그래핀 필름들은 35 sccm 의 CH4 및 2 sccm 의 H2 를 사용하여, 500 mTorr 총 압력 하에서 15 분의 성장 시간에 의해 모두 성장되었다. 도 5에 예시된 것과 같이, 상온(즉, 24℃ 및 8,000℃)에서 성장된 그래핀은 1,000℃ 에서 열 CVD 에 의해 성장된 그래핀과 유사한 라만 스펙트럼들을 갖는다. 약 1,580 및 2,700 ㎝-1 에서의 라만 스펙트럼들의 2개의 날카로운 피쳐들과 약 1,350 ㎝-1 에서 현저한 결함 피크의 부재는 양쪽의 필름들이 좋은 품질이라는 것을 나타낸다.
본 발명의 몇몇 실시예들은 성장에 앞서 기판 표면을 처리하기 위해 몇몇 방법들 중 하나를 이용한다. 예로서, 구리 포일 기판은 저온 프로세스들을 사용하여 세정될 수 있고, 이는 석영 프로세싱 튜브의 내측 상의 구리의 생성을 발생하며, 이는 세정 프로세스 동안 포일로부터의 구리 제거 및 그래핀 발생을 위한 성장 표면의 준비를 입증한다. 몇몇 실시예들에서, 프로세싱 챔버의 내측, 예컨대 기판 근처의 구리 증착의 레벨을 측정하고, 이에 의해 기판의 상태 및/또는 에벤슨 공동 플라즈마 처리의 결과로서 판정하기 위해 계측학이 수행될 수 있다. 일 기판 세정 프로세스는 구리 표면에 인접하여 생성되는 RF 수소 플라즈마를 이용한다. 당업자에게 명백해질 것과 같이, RF 플라즈마는 가스 분자들을 고주파 전압들로 이온화하는 진공 프로세스이다. 플라즈마 내의 활성적 및 반응성인 종은 그 후 고유의 표면 층 또는 표면 오염물들을 제거함으로써 표면을 세정 또는 에칭하는 역할을 할 수 있다. 본 발명의 몇몇 실시예들은 보통은 수소 어닐링과 연관되는 고온들을 수반하지 않는 저온 RF 플라즈마 프로세스를 이용한다.
일 실행에서, 에벤슨 공동은 2,450 ㎒ 의 여기 주파수(excitation frequency)를 갖는, 플라즈마 소스로서 사용되었다. 에벤슨 공동은 수 mTorr 로부터 수백 Torr 까지의 범위의 압력들에서 정적인 그리고 유동하는 가스들 양쪽의 방출을 촉발시킬 수 있다. 이러한 특별한 RF 마이크로웨이브 공동의 이득은 그 자리에서 플라즈마를 발생하기 위해 석영 진공 튜브 상에 직접 배치될 수 있다는 것이다.
구리 기판들 외에, 니켈, 백금, 철, 알루미늄, 코발트, 루테늄, 산화 마그네슘, 이러한 재료들의 합금들, 실리콘, 실리콘 카바이드, 이들의 조합들 등을 포함하는 다른 기판들이 본 발명의 실시예들에 사용하기에 적절하다.
예비 성장 또는 일 단계 성장 표면 처리를 위한 RF 플라즈마의 사용 외에, 산 에칭과 같은 화학적 방법들; 물리적 가공, 이온 빔 충격, 초음파 세정, 폴리싱, 레이저 삭마, 마모, 물리적 에칭과 같은 기계적 방법들; 반응성 이온 에칭, 전자 폴리싱, 아르곤 플라즈마 에칭과 같은 화학-기계 방법들; 전자 빔 노출, 전자 빔 가열, 유도성 가열, 줄(joule) 가열과 같은 전자 방법들; 및 전자화학 방법들을 포함하는 다른 표면 준비 방법들이 이용될 수 있다.
도 6은 본 발명의 또 다른 실시예에 따른 그래핀 성장 방법을 예시하는 간소화된 흐름도이다. 도 6에 예시된 그래핀 형성 방법은 도 4에 예시된 그래핀 형성 방법과 다소 유사함들을 공유한다. 따라서, 도 4에 예시된 방법에 관련된 설명은 적절하다면 도 6에 대해 설명된 프로세스들 및 재료들에 적용 가능하다. 당업자는 많은 변형들, 수정들 및 대안들을 인지할 것이다.
도 6에 예시된 것과 같이, 본 발명의 실시예들은 단일 단계로 감소된 온도에서의 고품질의 큰 면적의 그래핀(예컨대, 그래핀 필름)의 성장 방법을 제공한다. 요약하면, 이 방법은 기판(예컨대, 구리 포일)이 미량(trace amount)의 메탄을 함유하는 수소 플라즈마를 겪게 하는 단계를 포함한다. 프로세스는 감소된 압력 환경에서 수행된다. 유익하게는, 프로세스는 종래의 그래핀 성장에 사용되는 고온 수소 어닐링 및 연관된 다중 프로세싱 단계들을 회피한다. 이러한 방식으로, 고품질 그래핀의 성장은 일 단계에서, 감소된 온도들에서 그리고 고온 수소 어닐링에 대한 요구 없이 발생할 수 있다.
도 6을 참조하면, 이 방법은 기판(예컨대, 구리 포일)을 프로세싱 챔버 내에 위치시키는 단계(610)를 포함한다. 실시예에서, 프로세싱 챔버는 10 ㎜의 내경 및 12.5 ㎜의 외경을 갖는 석영 튜브이다. 이 방법은 예컨대, 500 mTorr 또는 그 미만의 압력인 진공하에 프로세싱 챔버를 위치시키는 단계(612)를 또한 포함한다. 캐리어 가스(예컨대, 수소)의 유동은, 예컨대 2 sccm 내지 5 sccm의, 예컨대 2 sccm 의 H2 유량으로 프로세싱 챔버 안으로 유입되고, 탄소 소스(예컨대, 메탄)의 미량의 유동은, 예컨대 0.0008 sccm의 유량으로(즉, 수소 유량의 0.04%) 캐리어 가스 예컨대, CH4의 유동에 부가된다(614). 프로세싱 챔버 내의 압력은, 예컨대 500 mTorr 또는 그 미만인 미리 정해진 값에서 안정화되고, 이 방법은 예컨대 기판의 근처에서 RF 플라즈마를 생성할 수 있는, 에벤슨 공동을 사용하여 40 W의 입력 전력을 사용하여 프로세싱 챔버 내에 RF 플라즈마를 개시하는 단계(616)를 포함한다. 몇몇 실시예들에서, 탄소 소스의 양은 가스 유동의 적은 분율이며, 예컨대 0.6% 미만, 예컨대 0.01% 와 0.6% 사이이다. 몇몇 실행들에서, 탄소 소스의 양은 100 ppm 보다 더 많다. 따라서, 본 발명의 실시예들은 탄소 소스로서 미량의 메탄을 함유하는 수소의 RF 플라즈마를 제공한다. 에벤슨 공동들 외에, 예컨대 유도성 커플링된 플라즈마 소스들 등의 다른 플라즈마 소스들이 본 발명의 실시예들에 따라 이용될 수 있다. 플라즈마 내의 가스들은 또한 변할 수 있으며, 이 플라즈마는 아르곤 이온들을 포함하는 플라즈마, 염소 이온들 및 메탄계 라디칼(radical)들을 포함하는 플라즈마, 염소, 아르곤 및 메탄과 같은 이들의 조합들 등을 포함한다. 또한, 가열된 와이어(예컨대, 고온 와이어) 필라멘트 기술들이 본원에 논의된 플라즈마계 그래핀 성장 기술들에 유사한 프로세스에서 그래핀 성장을 위해 적절한 반응성 종을 생성하는데 사용될 수 있다. 플라즈마 기술들 및 가열된 와이어 필라멘트 기술들의 조합들은 본 발명의 범주 내에 또한 포함된다.
캐리어 가스로서 수소를 사용하는 것 외에, 질소, 아르곤, 다른 비활성 가스들, 염소, 다른 할로겐들, 이러한 가스(예컨대, 염소와 아르곤)들의 조합물 등을 포함하는 다른 캐리어 가스들이 이용될 수 있다. 탄소 소스로서 메탄을 사용하는 것 외에, 아세틸렌(에틴, C2H2), 2,2-디메틸프로판(네오펜탄, C5H12), 알렌(프로파디엔-C3H4), 에탄(C2H6), 1,2-부타디엔(C4H6), 에틸 아세틸렌(1-부틴-C4H6), 1,3-부타디엔(C4H6), 에틸렌(에텐-C2H4), 이소부탄(2-메틸프로판-C4H10), n-헥산(C6H14), n-부탄(C4H10), 1-부텐(C4H8), 메틸 아세틸렌(프로핀-C3H4), 시스-2-부텐(C4H8), 이소펜탄(2-메틸부탄 또는 3-메틸부탄-C5H12), 트랜스-2-부텐(C4H8), n-펜탄(C5H12), 이소부틸렌(2-메틸프로판-C4H8), 프로판(C3H8), 시클로프로판(C3H6), 프로필렌(프로펜-C3H6), 디메틸아세틸렌(2-부틴-C4H6), 톨루엔(C7H8), 디메틸 에테르(C3H6O), 비닐 아세틸렌 등을 포함하는 다른 가스들이 이용될 수 있다.
이 방법은 기판이 예컨대 15 분인, 미리 정해진 시간의 기간 동안 RF 플라즈마를 겪게 하는 단계(618)를 더 포함하며, 이 단계 동안 캐리어 가스 및 탄소 소스의 유동은 감소된 압력에서 여전히 작동하는 동안 계속된다. 몇몇 실시예들에서, 팬(fan) 또는 다른 냉각 장치(예컨대, 액체 질소를 갖는 튜브를 통하여 유동함으로써 냉각된 공기의 유동)가 RF 플라즈마가 형성되는 프로세싱 튜브의 영역에 적용되며, 이는 프로세싱 환경의 온도를, 예컨대 상온 미만의 온도들로 감소시킨다. 예로서, 이러한 냉각은 프로세싱 챔버(즉, 석영 튜브)의 외측의 온도를 90℃ 또는 다른 비교할 수 있는 온도들로 감소시킬 수 있다. 따라서, 본 발명의 실시예들은 그래핀 필름의 상온 성장을 의미할 수 있지만, 본 발명은 24℃에서의 성장으로 구체적으로 제한되지 않으며, 다른 비교할 수 있는 온도들을 포함할 수 있다. 따라서, 본 개시의 목적들을 위한 상온은 RF 플라즈마 공정의 결과로서 발생될 수 있는 열 외에 기판의 외부 가열이 없는 프로세싱 환경들을 포함하는 것이 의도된다. 사실, 상기 논의된 것과 같이, 기판 및 기판에 인접한 프로세싱 챔버의 영역의 냉각은 RF 플라즈마 프로세스 동안 발생된 열의 일부 또는 전체를 제거하기 위해 사용될 수 있다.
도 6에 의해 예시된 방법에서, 수소 플라즈마에 대한 메탄 또는 다른 탄소 소스들의 미량의 첨가는 플라즈마 내에서 C+, CH+, CH2 + 및 CH3 + 와 같은 반응성 탄소 종을 생성할 수 있다. 원자 수소 외에, 이러한 반응성 종은 구리 산화물의 제거와 그래핀의 증착이 동시적으로 발생하는 것과 같은 기판 상의 그래핀의 증착을 초래한다. 본 발명의 실시예들을 제한하지 않으면서, 발명자는 그래핀 성장 프로세스가 동시 발생적이거나 동시적인 기판 표면으로부터의 구리 산화물의 제거를 포함하고, 이는 기판 표면을 표면 상에서 촉매 작용을 하며, 그래핀 층을 떠나는 반응성 탄소 종에 노출시키는 것으로 간주한다.
그래핀 층의 형성 후에, 플라즈마는 소멸되고, 프로세싱 챔버는 분위기 압력으로 아르곤에 의해 재충전되며, 기판은 프로세싱 챔버로부터 제거된다. 발명자는 도 6에 예시된 프로세스에서, 기판 표면 상에 원래 존재하는 고유의 구리 산화물 층을 제거하는 RF 플라즈마의 결과로서 현저한 양의 구리가 마이크로웨이브 공동의 근처에서 관찰되는 것에 주목하였다.
도 6에 예시된 특정 단계들이 본 발명의 실시예에 따른 특별한 그래핀 성장 방법을 제공하는 것이 이해되어야 한다. 단계들의 다른 시퀀스들이 대안적인 실시예들에 따라 또한 수행될 수 있다. 예컨대, 본 발명의 대안적인 실시예들은 상기 서술된 단계들을 상이한 순서로 수행할 수 있다. 또한, 도 6에 예시된 개별 단계들은 개별 단계들에 적절하게 다양한 시퀀스들로 수행될 수 있는 다중 하위 단계들을 포함할 수 있다. 또한, 부가적인 단계들이 특별한 적용들에 의존하여 부가되거나 제거될 수 있다. 당업자는 많은 변형들, 수정들 및 대안들을 인지할 것이다.
도 7은 종래의 기술들을 사용하여 성장된 그래핀 필름과 본 발명의 실시예를 사용하여 성장된 그래핀 필름에 대한 데이터를 도시한다. 도 7에 도시된 것과 같이, 플롯 A 는 1,000℃ 에서 수행되는 종래의 성장 프로세스에 대한 라만 스펙트럼들을 예시한다. 플롯 B 는 도 6에 대해 설명된 단일 단계의, 상온 성장 프로세스에 대한 라만 스펙트럼들을 예시한다. 양쪽의 필름들은 단결정 구리 상에 성장되었다. 약 1,580 및 2,700 ㎝-1 에서의 라만 스펙트럼들의 2 개의 피쳐들 및 약 1,350 ㎝-1 에서 현저한 결함 피크의 부재는 양쪽의 필름들이 좋은 품질인 것을 나타낸다.
도 8은 본 발명의 실시예에 따른 그래핀 제조를 위한 연속적인 롤 투 롤 시스템의 간소화된 개략 다이어그램이다. 도 8에 예시된 것과 같이, 그래핀 제조를 위한 롤 투 롤 시스템은 프로세싱 챔버(815)를 사용하여 그래핀의 층을 형성하기 위해 메탄의 가스 젯(gas jet)이 뒤따르는 또는 가스 젯이 동시 발생하는 플라즈마(예컨대, RF 수소 플라즈마)를 겪는 구리 포일의 롤(810)을 포함한다. 그래핀을 갖는 구리 포일은 그 후 출력 롤(820) 상으로 롤링된다. 도 8에 예시된 실시예를 사용하여, 롤 투 롤 프로세스는 큰 면적의 그래핀 필름들의 연속적인 제조를 위해 사용될 수 있다. 감소된 압력 분위기가 적어도 프로세싱 영역에 제공되지만 구리 포일 및 그래핀을 구비한 구리 포일 중 하나 또는 양쪽의 롤들을 또한 포함할 수 있다. 도 8에 예시된 롤 투 롤 프로세스는 본원에 설명된 그래핀 제조 방법들 중 하나 또는 그 초과에 적용 가능한 것이 이해될 것이다. 도 8에서, 그래핀은 구리 포일의 전방 표면 상에 형성된 것으로 예시되지만, 그래핀은 특별한 실행에 의존하여, 구리 포일의 뒷 표면 또는 양쪽 표면들 상에 형성될 수 있는 것이 이해될 것이다. 부가적으로, 지지 또는 캡핑(capping) 구조물이 성장 표면에 인접한 종 및/또는 전구체들의 잔류 시간을 수정하기 위해 사용될 수 있다.
도 8이 구리 포일 기판들 및 탄소 소스로서 메탄을 사용하는 롤 투 롤 프로세스를 예시하지만, 본 명세서에 걸쳐 설명된 것과 같이, 다른 기판들 및 탄소 소스들이 도 8에 예시된 시스템의 범주에 포함된다. 당업자는 많은 변형들, 수정들 및 대안들을 인지할 것이다.
본원에 설명된 예들 및 실시예들은 단지 예시의 목적들을 위한 것이며 본 발명의 견지에서 다양한 수정들 또는 변경들이 당업자들에게 제안될 것이며 이들은 본 출원의 사상 및 이해 범위 그리고 첨부된 청구항들의 범주 내에 속하는 것이 또한 이해된다.

Claims (29)

  1. 그래핀(graphene) 형성 방법으로서,
    기판을 제공하는 단계;
    상기 기판을 감소된 압력 환경에 두는(subjecting) 단계;
    캐리어 가스를 제공하는 단계;
    탄소 소스를 제공하는 단계;
    상기 기판의 적어도 일부를 상기 캐리어 가스, 상기 탄소 소스, 및 질소 가스를 포함하는 하나 이상의 분위기 가스(atmospheric gas)에 노출하는 단계;
    상기 기판의 상기 적어도 일부 상에, CMOS 호환가능한 표면 처리 프로세스를 수행하는 단계; 및
    CMOS 호환가능한 성장 프로세스를 이용하여 상기 탄소 소스의 일부를, 상기 기판의 상기 적어도 일부 상에 배치된 그래핀으로 변환하는 단계;를 포함하며,
    상기 그래핀에 대한 라만 스펙트럼들(Raman spectra)은, 결함과 관련된 제1 라만 피크(Raman peak) 대 그래파이트와 관련된 제2 라만 피크의 비율이 1 미만인 것을 특징으로 하는,
    그래핀 형성 방법.
  2. 제 1 항에 있어서,
    상기 기판은 구리 포일을 포함하는,
    그래핀 형성 방법.
  3. 제 1 항에 있어서,
    상기 CMOS 호환가능한 표면 처리 프로세스는 RF 플라즈마 에칭 프로세스를 포함하는,
    그래핀 형성 방법.
  4. 제 1 항에 있어서,
    상기 캐리어 가스는 수소를 포함하고, 상기 탄소 소스는 메탄을 포함하며, 상기 CMOS 호환가능한 표면 처리 프로세스는 RF 수소 플라즈마 프로세스를 포함하는,
    그래핀 형성 방법.
  5. 제 1 항에 있어서,
    상기 캐리어 가스를 제공하는 단계와 상기 탄소 소스를 제공하는 단계는 동시에 수행되는,
    그래핀 형성 방법.
  6. 제 5 항에 있어서,
    상기 탄소 소스는, 상기 캐리어 가스, 상기 탄소 소스, 및 상기 하나 이상의 분위기 가스를 함유하는 유동의 0.6% 미만을 구성하는,
    그래핀 형성 방법.
  7. 삭제
  8. 제 1 항에 있어서,
    CMOS 호환가능한 표면 처리의 수행은 상기 기판의 에칭을 포함하는,
    그래핀 형성 방법.
  9. 제 1 항에 있어서,
    상기 CMOS 호환가능한 표면 처리 프로세스는 상온 표면 처리 프로세스를 포함하며,
    상기 CMOS 호환가능한 성장 프로세스는 상온에서 수행되는,
    그래핀 형성 방법.
  10. 제 1 항에 있어서,
    상기 CMOS 호환가능한 표면 처리 프로세스를 수행하는 단계와 상기 탄소 소스의 일부를 그래핀으로 변환하는 단계는 동시에 수행되는,
    그래핀 형성 방법.
  11. 삭제
  12. 그래핀 필름 형성 방법으로서,
    감소된 압력에서 프로세싱 챔버 내에 기판을 위치시키는 단계;
    상기 기판의 적어도 일부 상에 CMOS 호환가능한 표면 처리 프로세스를 수행하는 단계;
    상기 프로세싱 챔버 내에 탄소 함유 재료 및 질소 가스를 제공하는 단계;
    상기 기판을 상기 탄소 함유 재료 및 상기 질소 가스에 노출하는 단계; 및
    CMOS 호환가능한 성장 프로세스를 이용하여 상기 탄소 함유 재료의 일부를, 상기 기판 상의 그래핀 필름으로 변환하는 단계;를 포함하며,
    상기 그래핀 필름에 대한 라만 스펙트럼들은, 결함과 관련된 제1 라만 피크 대 그래파이트와 관련된 제2 라만 피크의 비율이 1 미만인 것을 특징으로 하는,
    그래핀 필름 형성 방법.
  13. 제 12 항에 있어서,
    상기 CMOS 호환가능한 표면 처리 프로세스를 수행하기에 앞서, 수소 가스를 제공하는 단계와 상기 기판을 상기 수소 가스에 노출하는 단계를 더 포함하는,
    그래핀 필름 형성 방법.
  14. 제 13 항에 있어서,
    상기 CMOS 호환가능한 표면 처리 프로세스는 RF 수소 플라즈마 세정 프로세스를 포함하는,
    그래핀 필름 형성 방법.
  15. 제 12 항에 있어서,
    상기 기판은 구리 포일을 포함하는,
    그래핀 필름 형성 방법.
  16. 제 12 항에 있어서,
    상기 감소된 압력은 25 mTorr 내지 500 mTorr인,
    그래핀 필름 형성 방법.
  17. 제 12 항에 있어서,
    상기 탄소 함유 재료는 메탄, 에탄, 프로판 및 부탄 중 하나 이상을 포함하는,
    그래핀 필름 형성 방법.
  18. 제 12 항에 있어서,
    상기 CMOS 호환가능한 표면 처리 프로세스는 상온 표면 처리 프로세스를 포함하며,
    상기 CMOS 호환가능한 성장 프로세스는 상온에서 수행되는,
    그래핀 필름 형성 방법.
  19. 삭제
  20. 삭제
  21. 제 12 항에 있어서,
    CMOS 호환가능한 표면 처리의 수행은 상기 기판의 에칭을 포함하는,
    그래핀 필름 형성 방법.
  22. 제 12 항에 있어서,
    상기 CMOS 호환가능한 표면 처리 프로세스는, 상기 CMOS 호환가능한 성장 프로세스를 이용하여 상기 탄소 함유 재료의 일부를 그래핀 필름으로 변환하는 단계에 앞서 수행되는,
    그래핀 필름 형성 방법.
  23. 삭제
  24. 그래핀 제조 시스템으로서,
    복수의 가스 소스들;
    각각이 상기 복수의 가스 소스들 중 하나에 커플링되는, 복수의 질량 유동 제어기들;
    상기 복수의 질량 유동 제어기들과 유체 연통하는 프로세싱 챔버;
    상기 프로세싱 챔버 내에 플라즈마를 형성하도록 작동 가능한 플라즈마 소스;
    상기 프로세싱 챔버와 유체 연통하는 진공 펌프;
    프로세서; 및
    비일시적인(non-transitory) 컴퓨터-판독가능한 저장 매체로서, 데이터 프로세서에 의해 실행되면 그래핀 제조를 제공하고 상기 컴퓨터-판독가능한 저장 매체 상에 명백히 구현되는 복수의 컴퓨터-판독가능한 명령들을 포함하는, 컴퓨터-판독가능한 저장 매체;를 포함하며,
    상기 복수의 컴퓨터-판독가능한 명령들은,
    상기 데이터 프로세서가 기판으로 하여금 감소된 압력 환경을 겪게 하는 명령들;
    상기 데이터 프로세서가 캐리어 가스 및 탄소 소스를 제공하게 하는 명령들;
    상기 데이터 프로세서가 기판의 적어도 일부를 상기 캐리어 가스, 상기 탄소 소스, 및 질소 가스를 포함하는 하나 이상의 분위기 가스에 노출하게 하는 명령들;
    상기 데이터 프로세서가 상기 기판의 상기 적어도 일부 상에 CMOS 호환가능한 표면 처리 프로세스를 수행하게 하는 명령들; 및
    상기 데이터 프로세서가, CMOS 호환가능한 성장 프로세스를 이용하여, 상기 탄소 소스의 일부를 상기 기판의 상기 적어도 일부 상에 배치된 그래핀으로 변환하게 하는 명령들;을 포함하며,
    상기 그래핀에 대한 라만 스펙트럼들은, 결함과 관련된 제1 라만 피크 대 그래파이트와 관련된 제2 라만 피크의 비율이 1 미만인 것을 특징으로 하는,
    그래핀 제조 시스템.
  25. 제 24 항에 있어서,
    상기 CMOS 호환가능한 표면 처리 프로세스는 상온 표면 처리 프로세스를 포함하며,
    상기 CMOS 호환가능한 성장 프로세스는 상온에서 수행되는,
    그래핀 제조 시스템.
  26. 제 24 항에 있어서,
    상기 기판은 구리 포일을 포함하는,
    그래핀 제조 시스템.
  27. 제 24 항에 있어서,
    상기 캐리어 가스는 수소를 포함하고, 상기 CMOS 호환가능한 표면 처리 프로세스는 RF 수소 플라즈마 세정 프로세스를 포함하는,
    그래핀 제조 시스템.
  28. 삭제
  29. 제 24 항에 있어서,
    상기 캐리어 가스의 제공 및 상기 탄소 소스의 제공이 동시에 수행되는,
    그래핀 제조 시스템.
KR1020147025067A 2012-02-24 2013-02-22 그래핀 형성 방법 및 시스템 KR102107382B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201261603104P 2012-02-24 2012-02-24
US61/603,104 2012-02-24
US201261607337P 2012-03-06 2012-03-06
US61/607,337 2012-03-06
US201261677323P 2012-07-30 2012-07-30
US61/677,323 2012-07-30
PCT/US2013/027284 WO2013126671A1 (en) 2012-02-24 2013-02-22 Method and system for graphene formation

Publications (2)

Publication Number Publication Date
KR20140135725A KR20140135725A (ko) 2014-11-26
KR102107382B1 true KR102107382B1 (ko) 2020-05-07

Family

ID=49006226

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147025067A KR102107382B1 (ko) 2012-02-24 2013-02-22 그래핀 형성 방법 및 시스템

Country Status (8)

Country Link
US (3) US9150418B2 (ko)
EP (1) EP2817261A4 (ko)
JP (2) JP6262156B2 (ko)
KR (1) KR102107382B1 (ko)
CN (2) CN104136368B (ko)
SG (3) SG10201908213VA (ko)
TW (1) TWI552954B (ko)
WO (1) WO2013126671A1 (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201908213VA (en) 2012-02-24 2019-10-30 California Inst Of Techn Method and system for graphene formation
KR20200003258A (ko) * 2013-01-14 2020-01-08 캘리포니아 인스티튜트 오브 테크놀로지 그라펜을 형성시키는 방법 및 시스템
US9242865B2 (en) 2013-03-05 2016-01-26 Lockheed Martin Corporation Systems and methods for production of graphene by plasma-enhanced chemical vapor deposition
US9458020B2 (en) * 2013-05-06 2016-10-04 Centre National De La Recherche Scientifique Process and device for forming a graphene layer
GB201318463D0 (en) * 2013-08-13 2013-12-04 Medical Res Council Graphene Modification
WO2015149116A1 (en) * 2014-04-04 2015-10-08 Commonwealth Scientific And Industrial Research Organisation Graphene process and product
US10072355B2 (en) * 2014-04-15 2018-09-11 Board Of Regents, The University Of Texas System Methods of forming graphene single crystal domains on a low nucleation site density substrate
KR101969300B1 (ko) * 2014-07-09 2019-04-17 재단법인대구경북과학기술원 그래핀으로 코팅된 코어-쉘 구조를 가지는 금속 나노와이어 및 이의 제조방법
CN104211054B (zh) * 2014-09-09 2016-05-18 中国科学院化学研究所 一种可控制备石墨烯的方法
CN104576457A (zh) * 2014-12-26 2015-04-29 常州二维碳素科技有限公司 一种对石墨烯制件进行表面处理的设备及其处理方法
KR101723521B1 (ko) * 2015-02-26 2017-04-05 주성엔지니어링(주) 그래핀 성장 장치
KR101717476B1 (ko) * 2015-02-27 2017-03-27 주성엔지니어링(주) 그래핀 성장 장치
TWI539043B (zh) 2015-07-21 2016-06-21 財團法人工業技術研究院 石墨烯花的形成方法
JP6661189B2 (ja) * 2015-10-02 2020-03-11 国立研究開発法人産業技術総合研究所 グラフェン膜の作製方法
CN105220128B (zh) * 2015-11-16 2018-03-16 哈尔滨工业大学 一种锆合金表面原位垂直生长石墨烯防腐层的制备方法
NO345837B1 (en) * 2016-05-04 2021-08-30 Cealtech As Apparatus for large scale producing 3D graphene and method describing the same
CN106248221A (zh) * 2016-07-19 2016-12-21 中国科学院重庆绿色智能技术研究院 一种基于石墨烯的非制冷红外探测器及原位制作方法
US9997334B1 (en) * 2017-02-09 2018-06-12 Lyten, Inc. Seedless particles with carbon allotropes
US10825586B2 (en) * 2017-08-30 2020-11-03 Ultra Conductive Copper Company, Inc. Method and system for forming a multilayer composite structure
ES2717199B2 (es) * 2017-12-19 2022-07-21 Pamies Javier Biela Planta de biogas
CN109975368A (zh) * 2019-03-21 2019-07-05 西南大学 一种用于气敏传感的石墨烯氧化锡复合材料的制备方法
CN109930133A (zh) * 2019-03-21 2019-06-25 西南大学 一种用于气敏传感的石墨烯氧化锆复合材料的制备方法
US20230102041A1 (en) 2020-02-03 2023-03-30 Cealtech As Process and device for large-scale production of graphene
JP2022007053A (ja) * 2020-06-25 2022-01-13 東京エレクトロン株式会社 成膜方法及び成膜装置
CN111847432B (zh) * 2020-07-24 2023-08-29 北京石墨烯研究院 大面积多层石墨烯及其制备方法
US11515163B2 (en) * 2021-01-06 2022-11-29 Applied Materials, Inc. Low temperature graphene growth
US20220254641A1 (en) * 2021-02-11 2022-08-11 Applied Materials, Inc. Method and apparatus of low temperature plasma enhanced chemical vapor deposition of graphene
WO2023079018A1 (en) * 2021-11-04 2023-05-11 Universite Picardie Jules Verne Process for direct deposition of graphene or graphene oxide onto a substrate of interest

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101174870B1 (ko) 2009-02-06 2012-08-17 에이비씨상사 주식회사 그라펜 복합체 조성물 및 이를 이용한 투명한 전도성 필름

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS55158275A (en) * 1979-05-28 1980-12-09 Hitachi Ltd Corrosion preventing method for al and al alloy
US4431499A (en) * 1982-02-26 1984-02-14 The United States Of America As Represented By The United States Department Of Energy Method of sputter etching a surface
JPH0225571A (ja) * 1988-07-13 1990-01-29 Kawasaki Steel Corp 硬質炭素膜合成方法
JP3231426B2 (ja) * 1992-10-28 2001-11-19 富士通株式会社 水素プラズマダウンフロー処理方法及び水素プラズマダウンフロー処理装置
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
JP2000096233A (ja) * 1998-06-20 2000-04-04 Nissin Electric Co Ltd 炭素膜及びその形成方法並びに炭素膜被覆物品及びその製造方法
JP2001007117A (ja) * 1999-06-24 2001-01-12 Tokyo Electron Ltd 処理装置及び処理方法
US7604708B2 (en) * 2003-02-14 2009-10-20 Applied Materials, Inc. Cleaning of native oxide with hydrogen-containing radicals
JP3962420B2 (ja) * 2003-08-27 2007-08-22 Nuエコ・エンジニアリング株式会社 カーボンナノウォールの製造方法、カーボンナノウォールおよび製造装置
JP4510707B2 (ja) * 2004-09-08 2010-07-28 三星電子株式会社 エピタキシャル膜の形成方法と、これを用いた薄膜形成方法、及び半導体装置の製造方法
JP2006135052A (ja) * 2004-11-05 2006-05-25 Renesas Technology Corp 半導体装置の製造方法および半導体装置の製造システム
JP4806296B2 (ja) * 2006-05-26 2011-11-02 神港精機株式会社 クリーニング装置
JP2009184892A (ja) * 2008-02-08 2009-08-20 Dainippon Screen Mfg Co Ltd カーボンナノチューブ形成装置およびカーボンナノチューブ形成方法
US8349142B2 (en) * 2008-03-26 2013-01-08 Masaru Hori Method for producing graphene
JP5453045B2 (ja) * 2008-11-26 2014-03-26 株式会社日立製作所 グラフェン層が成長された基板およびそれを用いた電子・光集積回路装置
KR20100090580A (ko) * 2009-02-06 2010-08-16 에이비씨상사 주식회사 그라펜 물질의 제조방법
JP2010212619A (ja) * 2009-03-12 2010-09-24 Toshiba Corp グラフェンの作製方法、グラフェン、グラフェン作製装置及び半導体素子
FR2943660B1 (fr) * 2009-03-25 2011-04-29 Commissariat Energie Atomique Procede d'elaboration de graphene
US20100323113A1 (en) * 2009-06-18 2010-12-23 Ramappa Deepak A Method to Synthesize Graphene
US10167572B2 (en) * 2009-08-07 2019-01-01 Guardian Glass, LLC Large area deposition of graphene via hetero-epitaxial growth, and products including the same
US20120161098A1 (en) * 2009-08-20 2012-06-28 Nec Corporation Substrate, manufacturing method of substrate, semiconductor element, and manufacturing method of semiconductor element
KR101636442B1 (ko) * 2009-11-10 2016-07-21 삼성전자주식회사 촉매합금을 이용한 그라핀의 제조방법
KR101279606B1 (ko) 2009-12-11 2013-07-05 한국전자통신연구원 그래핀 박막의 증착방법
JP5692794B2 (ja) * 2010-03-17 2015-04-01 独立行政法人産業技術総合研究所 透明導電性炭素膜の製造方法
JP5660804B2 (ja) * 2010-04-30 2015-01-28 東京エレクトロン株式会社 カーボンナノチューブの形成方法及びカーボンナノチューブ成膜装置
KR20120012271A (ko) * 2010-07-30 2012-02-09 성균관대학교산학협력단 그래핀의 제조 방법, 그래핀 시트 및 이를 이용한 소자
WO2012051182A2 (en) * 2010-10-11 2012-04-19 University Of Houston System Fabrication of single-crystalline graphene arrays
CN102212794B (zh) * 2011-04-13 2012-10-10 中国科学院上海微系统与信息技术研究所 一种基于电镀铜衬底制备大面积石墨烯薄膜的方法
WO2013027284A1 (ja) 2011-08-24 2013-02-28 旭化成イーマテリアルズ株式会社 樹脂封止シート及び太陽電池モジュール
SG10201908213VA (en) * 2012-02-24 2019-10-30 California Inst Of Techn Method and system for graphene formation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101174870B1 (ko) 2009-02-06 2012-08-17 에이비씨상사 주식회사 그라펜 복합체 조성물 및 이를 이용한 투명한 전도성 필름

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Applied Physics Letters. 2011, Vol. 98, Article No. 263106 (2011.06.28.) 1부.*
Carbon. 2012, Vol. 50, pp. 2615~219 (2012.02.15.)*

Also Published As

Publication number Publication date
KR20140135725A (ko) 2014-11-26
CN104136368A (zh) 2014-11-05
JP6562996B2 (ja) 2019-08-21
EP2817261A1 (en) 2014-12-31
EP2817261A4 (en) 2015-10-28
CN104136368B (zh) 2017-02-22
US20170044018A1 (en) 2017-02-16
TW201336784A (zh) 2013-09-16
JP2015510489A (ja) 2015-04-09
SG10201908213VA (en) 2019-10-30
US20140044885A1 (en) 2014-02-13
CN106744866A (zh) 2017-05-31
WO2013126671A1 (en) 2013-08-29
CN106744866B (zh) 2021-01-01
US9150418B2 (en) 2015-10-06
SG10201607367UA (en) 2016-10-28
SG11201404775RA (en) 2014-09-26
US20150368111A1 (en) 2015-12-24
JP6262156B2 (ja) 2018-01-17
TWI552954B (zh) 2016-10-11
JP2018074171A (ja) 2018-05-10

Similar Documents

Publication Publication Date Title
KR102107382B1 (ko) 그래핀 형성 방법 및 시스템
EP2309039B1 (en) Seed crystal for growth of silicon carbide single crystal, process for producing the same, and process for producing silicon carbide single crystal by sublimation
US20090297731A1 (en) Apparatus and method for improving production throughput in cvd chamber
JP4459329B2 (ja) 付着膜の除去方法及び除去装置
KR20100107403A (ko) 그래핀의 생산방법
JP5962124B2 (ja) 酸化膜の形成方法
JP6232680B2 (ja) サセプタのクリーニング方法
US20150104648A1 (en) Method and Apparatus of Growing Metal-free and Low Stress Thick Film of Diamond-like Carbon
KR20010039780A (ko) 발열체 cvd 장치 및 부착막의 제거방법
JP5933347B2 (ja) 炭化珪素除去方法
KR102007019B1 (ko) 플라즈마 처리에 의한 불화 알루미늄 경감
JP2007080898A (ja) 静電チャック、これを備える薄膜製造装置、薄膜製造方法、並びに基板表面処理方法
Macháč et al. Synthesis of graphene on SiC substrate via Ni-silicidation reactions
JP2011099137A (ja) ダイヤモンド膜の形成方法
JP4450407B2 (ja) プラズマ処理装置及び処理方法
JP2004107766A (ja) 触媒化学気相成長方法および触媒化学気相成長装置
JP4916479B2 (ja) 炭化珪素エピタキシャル用基板の製造方法
Lee et al. Generation of pulsed direct-current plasma above 100 torr for large area diamond deposition
JP4958658B2 (ja) プラズマ処理方法
KR20090020925A (ko) 반도체 장비의 세정방법
CN105568251A (zh) 一种绝缘衬底上生长石墨烯的方法
Nomura et al. High-rate etching of silicon oxide and nitride using narrow-gap high-pressure (3.3 kPa) hydrogen plasma
JPH04240190A (ja) CVD法によるSi基板へのダイヤモンド膜形成方法
Okada et al. Fabrication of Diamond and Diamond-Like Carbon by Low Pressure Inductively Coupled Plasma CVD
JPH05155687A (ja) 炭素材料作製装置

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant