TWI685033B - 電漿處理裝置之清潔方法 - Google Patents

電漿處理裝置之清潔方法 Download PDF

Info

Publication number
TWI685033B
TWI685033B TW104105959A TW104105959A TWI685033B TW I685033 B TWI685033 B TW I685033B TW 104105959 A TW104105959 A TW 104105959A TW 104105959 A TW104105959 A TW 104105959A TW I685033 B TWI685033 B TW I685033B
Authority
TW
Taiwan
Prior art keywords
gas
plasma
cleaning
processing container
chamber
Prior art date
Application number
TW104105959A
Other languages
English (en)
Other versions
TW201546899A (zh
Inventor
宇田浩
辻本宏
原田彰俊
藥師寺秀明
杉山正治
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201546899A publication Critical patent/TW201546899A/zh
Application granted granted Critical
Publication of TWI685033B publication Critical patent/TWI685033B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Inorganic Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明之課題在於將殘留於電漿處理裝置之處理容器內的含Ti反應物予以簡便且有效率地去除。
於Low-k膜之蝕刻加工中,於乾式蝕刻製程(S2)結束後立即在半導體晶圓被保持在靜電夾頭40上的狀態下實行具有晶圓之乾式清潔程序(步驟S3)。此具有晶圓之乾式清潔製程(S3)主要為了去除殘留在腔室10內之含Ti反應物而從處理氣體供給部70將含有既定流量比之H2氣體與N2氣體之清潔氣體導入腔室10內,而將電漿生成用第1高頻HF以既定功率施加於晶座12,在腔室10內利用清潔氣體之高頻放電來生成電漿。

Description

電漿處理裝置之清潔方法
本發明係關於一種對於電漿處理裝置之處理容器內進行清潔之方法,尤其關於一種用以將含Ti反應物加以去除之清潔方法。
近年來之半導體元件為了對應於高速化、低消耗電力等要求,配線材料係逐漸使用電阻較鋁來得低之銅,並於層間絕緣膜方面使用可降低配線間電容之Lowk膜(低介電係數膜)。此種Low-k膜係積極檢討SiOF膜等無機系材料、多孔膜,另可得到2.5以下之比介電係數之氟樹脂、無定形氟碳等有機系材料也受到矚目。不論採用何種Low-k膜,於半導體元件製造之配線形成製程亦即BEOL(Back)所進行之Low-k膜之蝕刻加工上常使用由TiN膜所構成之蝕刻遮罩作為質硬且蝕刻耐性或選擇比也優異之金屬硬遮罩。蝕刻氣體和SiO2膜之蝕刻加工同樣地廣泛使用CF系氣體例如CF4氣體。
先前技術文獻
專利文獻1 日本特開2003-282539號公報
電漿蝕刻裝置中若使用TiN膜之金屬硬遮罩來進行Low-k膜之蝕刻,會從暴露於CF系氣體電漿中的金屬硬遮罩產生含Ti之反應物(主要為Ti-FX、Ti-OF等),而懸浮於處理容器內。如此之含Ti反應物雖大多數從處理容器連同其他反應產物、未反應氣體等一起受到排氣,但一部分則殘留於處理容器內。
殘留於處理容器內之含Ti反應物會附著於處理容器之內壁、容器內各種構件上。尤其棘手的是,若結束Low-k膜蝕刻程序之完成處理的被處理體 (例如半導體晶圓)從處理容器搬出,則一直以來載置著被處理體之載置台上面(亦即晶圓載置面)會成為空置狀態,含Ti反應物會附著或是沉積於該空置狀態之晶圓載置面。
一旦載置台之晶圓載置面附著上含Ti反應物,則即便載置台所具備之靜電夾頭因靜電力而吸附處理對象之半導體晶圓,會因為該半導體晶圓與晶圓載置面之間夾帶硬質的含Ti反應物而產生間隙,該半導體晶圓可能會產生位偏。如此一來,從晶圓載置面之氣體噴射口供給至半導體晶圓內面之熱傳用背側氣體有時會往晶圓外漏洩。或是,於蝕刻程序結束後搬送臂將處理完成之半導體晶圓從載置台之晶圓載置面抽離之際,有時搬送臂上會反映該半導體晶圓之位偏而發生TNS(Transfer Navigation System)錯誤。
本發明係用以解決上述以往技術之問題點,而提供一種電漿處理裝置之清潔方法,可將電漿處理裝置之處理容器內所殘留之含Ti反應物予以簡便且效率地去除。
本發明之清潔方法係用以將殘存於電漿處理裝置之處理容器內的含Ti反應物加以去除者;具有第1乾式清潔製程,係一邊對該處理容器內進行排氣、一邊對減壓狀態之該處理容器內導入含有H2氣體與N2氣體之第1清潔氣體,使得該第1清潔氣體放電來生成電漿,讓該電漿之其中一活性種和該含Ti反應物起反應,將該反應產物從該處理容器加以排出。
本發明之清潔方法於第1乾式清潔製程中,電漿所含之氫系活性種會和殘留於處理容器內之含Ti反應物起反應而生成揮發性反應產物。另一方面,電漿所含氮系活性種幾乎不會和含Ti反應物直接起化學反應,反而是以促進或是適度抑制氫系活性種與含Ti反應物之化學反應的方式作用。如此生成之含Ti反應產物係和其他反應產物、未反應氣體等一起從處理容器排出。
本發明中所說的活性種為會和其他原子或是分子產生化學反應或是物理反應之任意種,包含激發原子、自由基、離子。
依據本發明之清潔方法,藉由上述構成與作用,可將電漿處理裝置之處理容器內殘留之含Ti反應物予以簡便且有效率地去除。
10‧‧‧腔室
12‧‧‧晶座(下部電極)
26‧‧‧排氣裝置
30‧‧‧第1高頻電源
32‧‧‧第2高頻電源
40‧‧‧靜電夾頭
60‧‧‧淋灑頭(上部電極)
70‧‧‧處理氣體供給部
82‧‧‧控制部
圖1係顯示可適用本發明之清潔方法之電漿處理裝置之一構成例之截面圖。
圖2係示意顯示於半導體元件製造之BEOL所進行之多層阻劑法所致蝕刻加工之主要製程圖。
圖3係顯示實施形態中Low-k膜蝕刻加工之詳細製程之流程圖。
圖4係針對腔室內之晶圓載置面上的Ti污染量降低效果,將實施例之有晶圓之乾式清潔與比較例之有晶圓之沖洗進行對比之圖。
圖5係以包含實施形態中5製程(S1~S6)全部之Low-k膜蝕刻加工被反覆進行之情況(實施例)與拿掉具有晶圓之乾式清潔製程(S3)而使得包含其他4製程(S1~S2,S4~S5)之Low-k膜蝕刻加工被反覆進行之情況(比較例)來對比顯示測定用晶圓之徑向各位置的Ti沉積量之圖。
圖6係顯示實施形態中季化(seasoning)處理順序之流程圖。
圖7係以具有晶圓而於乾式清潔製程所使用之清潔氣體之氣體種以及流量比為參數而就腔室內之晶圓載置面上的Ti污染量降低效果進行對比顯示之圖。
以下,參見所附之圖來說明本發明之較佳實施形態。
〔裝置全體之構成〕
圖1顯示可適用本發明之清潔方法之電漿處理裝置之一構成例。此電漿處理裝置係以採取下部雙頻施加方式之陰極對(couple)之電容耦合型電漿蝕刻裝置的形式所構成,具有例如鋁或是不鏽鋼等金屬製圓筒型腔室(處理容器)10。腔室10為安全接地狀態。
腔室10內用以載置作為被處理基板之例如半導體晶圓W的略圓板狀或是略圓柱狀之晶座12係當作下部電極被水平配置著。此晶座12係由例如鋁所構成,被從腔室10之底往垂直上方延伸之絕緣性筒狀支撐部14所支撐著。在沿著此筒狀支撐部14之外周從腔室10之底往垂直上方延伸之導電性筒狀支撐部(內壁部)16與腔室10之側壁之間形成有環狀排氣流路18,於此排氣流 路18之入口安裝著環狀之緩衝板(排氣環)20,在排氣流路18之底設有1或是複數之排氣口22。排氣口22經由排氣管24以及壓力調整器之例如APC閥25而連接排氣裝置26。排氣裝置26具有渦輪分子泵等真空泵。壓力調整器25係對於排氣裝置26之排氣量進行調整以調整腔室10內之壓力。可藉由壓力調整器25以及排氣裝置26將腔室10內之電漿生成空間S減壓至所希望之真空度。此外,藉由使得排氣裝置26動作可從晶座12之周圍經由排氣流路18以及排氣口22而將氣體往排氣裝置26側加以排出。於腔室10之側壁安裝著對於半導體晶圓W之搬入出口進行開閉之閘閥28。
第1以及第2高頻電源30、32經由匹配單元34以及供電棒36而電性連接於晶座12。此處,第1高頻電源30主要輸出適合於處理氣體之高頻放電(亦即電漿生成)之一定頻率(較佳為27MHz以上)、例如40MHz之第1高頻HF。第2高頻電源32主要輸出在對於拉引至晶座12上半導體晶圓W之離子能量進行控制上為適宜的一定頻率(較佳為13.56MHz以下)、例如2MHz之第2高頻LF。匹配單元34收容有用以在第1高頻電源30側之阻抗與負荷(主要為電極、電漿、腔室)側之阻抗之間取得匹配之第1匹配器、以及用以在第2高頻電源32側之阻抗與負荷側之阻抗之間取得匹配之第2匹配器。
晶座12具有比半導體晶圓W大上一圈之直徑或是口徑。於晶座12上面載置著作為處理對象之半導體晶圓W,並以包圍該半導體晶圓W的方式設有聚焦環(補正環)38。此聚焦環38係由對於程序之影響少的導電性材質例如Si、SiC等所構成,當作消耗零件可裝卸地安裝於晶座12之上面。
於晶座12之上面設有晶圓吸附用靜電夾頭40。此靜電夾頭40係於膜狀或是板狀之介電質中夾著片狀或是篩網狀的導電體。配置於腔室10之外的直流電源42經由開關44、電阻器45以及供電線46而電性連接於該導電體。可藉由直流電源42所施加的直流電壓而以靜電力將半導體晶圓W吸附保持於靜電夾頭40上。
於晶座12之內部設有例如在圓周方向上延伸之環狀冷媒室48。此冷媒室48係從冷凝器單元(未圖示)經由配管50、52而被循環供給既定溫度之冷媒(例如冷卻水cw)。於晶座12之內部可進而具備加熱器(未圖示)。可藉由冷媒之溫度以及流量甚至是加熱器之發熱來控制靜電夾頭40上之半導體晶圓W 的溫度。再者,為了進一步提高晶圓溫度之精度,熱傳用背側氣體例如He氣體從熱傳氣體供給部(未圖示)經由氣體供給管54以及晶座12內部之氣體通路56而供給於靜電夾頭40與半導體晶圓W之間。
於腔室10之天花板係和晶座12平行相向設有兼做為上部電極之淋灑頭60。此淋灑頭60具有和晶座12相向之電極板62、以及將此電極板62從背後(上)以可裝卸方式來支撐之電極支撐體64,於電極支撐體64之內部設有氣體擴散室66,從此氣體擴散室66使得貫穿晶座12側之多數氣體噴出孔68形成於電極支撐體64以及電極板62。電極板62與晶座12之間的空間成為電漿生成空間或是處理空間S。於氣體擴散室66之上部所設之氣體導入口66a連接著來自處理氣體供給部70之氣體供給管72。此外,電極板62係由例如Si、SiC所構成,電極支撐體64由例如經過耐酸鋁處理之鋁所構成。
於淋灑頭(上部電極)60與腔室10之間插入有環狀絕緣體65,淋灑頭(上部電極)60在電性浮接狀態下安裝於腔室10。此外,於上部電極60具備有用以施加負極性、較佳為可變直流電壓-EDC的直流電源74。此直流電源74之輸出端子係經由開關76、濾波器電路78以及直流供電線路80而電性連接於上部電極60。濾波器電路78使得來自直流電源74之直流電壓-EDC通過而施加於上部電極60,另一方面,來自晶座12通過處理空間S以及上部電極60進入直流供電線路80之高頻則流往接地線路而不會流往直流電源74側。此外,腔室10內面向於處理空間S之適當部位處安裝有例如Si、SiC等導電性材料所構成之DC接地零件(未圖示)。此DC接地零件經由接地線路(未圖示)常時性接地。
控制部82包含微電腦以及各種介面,依據在外部記憶體或是內部記憶體所儲存之軟體(程式)以及配方而對於此電漿蝕刻裝置內之各部例如排氣裝置24、高頻電源30,32、匹配單元34、開關44,76、處理氣體供給部70、(可變)直流電源74、冷凝器單元(未圖示)以及熱傳氣體供給部(未圖示)等個別動作以及裝置全體之動作(序列)進行控制。圖示之構成例中,控制部82顯示為1個控制單元,但也可採行複數控制單元以並列或是階層方式分擔控制部82之機能的形態。
此電漿蝕刻裝置中單片乾式蝕刻之基本動作係以如下方式進行。首先,開啟閘閥28將加工對象之半導體晶圓W搬入腔室10內,載置於靜電夾頭40之上。然後,使得腔室10成為密閉狀態而從處理氣體供給部70將蝕刻氣體(一般為混合氣體)以既定流量以及流量比導入腔室10內,藉由排氣裝置26以及壓力調整器25使得腔室10內壓力成為設定值。再者,從第1以及第2高頻電源30、32分別使得第1高頻HF以及第2高頻LF以既定功率輸出,將此等高頻HF、LF經由匹配單元34以及供電棒36而施加於晶座12。再者,因應必要性來開啟開關76,從直流電源74將負極性之直流電壓-EDC施加於淋灑頭(上部電極)60。此外,從熱傳氣體供給系統對靜電夾頭40與半導體晶圓W之間的接觸界面供給背側氣體(He),於此同時,開啟開關44而藉由靜電夾頭40之靜電吸附力將背側氣體(He)封入上述接觸界面內。從淋灑頭60往電漿生成空間S進行噴射之蝕刻氣體係於高頻電場下放電而生成電漿。利用此蝕刻氣體之電漿所含自由基、離子將半導體晶圓W表面之被加工膜蝕刻成為所希望之圖案。
此電漿蝕刻裝置藉由對於晶座12施加適合於電漿生成之相對高頻率(27MHz以上)之第1高頻HF而將電漿以較佳解離狀態來高密度化,即便是更低壓之條件下也可形成高密度電漿。於此同時,藉由施加適合於朝晶座12拉引離子之相對低頻(13.56MHz以下)的第2高頻LF,可對於晶座12上之半導體晶圓W施以選擇性高的異向性蝕刻。原本,電漿生成用之第1高頻HF未必在腔室10內之任何電漿程序均使用,有時離子拉引控制用之第2高頻LF會依程序種類而不使用。
〔實施形態之Low-k膜蝕刻加工之一例〕
圖2係示意顯示於半導體元件製造之BEOL所進行之多層阻劑法所致蝕刻加工之主要製程。其中,以TiN層做為蝕刻遮罩來蝕刻Low-k膜(層間絕緣膜)之加工中可適宜使用上述電漿蝕刻裝置(圖1)。
如圖2(a)所示般,於處理對象之半導體晶圓W已形成第(n-1)層配線100至其上層之第n層配線102為止。此外,為了形成下一上層配線亦即第(n+1)層配線(未圖示),而於第n層配線102之上依序積層Low-k膜(層間絕緣膜)104、TiN層106、抗反射膜(BARC)108以及光阻劑110。此處,Low-k膜104以及抗 反射膜108係藉由旋轉塗布或是CVD(化學真空蒸鍍)來成膜。TiN層106係藉由濺鍍而成膜。光阻劑110係藉由光微影來圖案化形成開口112。此開口112位於Low-k膜104中形成通孔之位置之正上方。
此多層阻劑法首先為了將光阻劑110之圖案轉印到TiN層106,乃於其他電漿蝕刻裝置中將光阻劑110當作蝕刻遮罩來使用而對抗反射膜108進行蝕刻。當抗反射膜108係以高分子樹脂所構成之情況,此抗反射膜108之蝕刻上係例如將含HF3氣體以及HBr氣體之混合氣體使用於蝕刻氣體。其次,於同一或是又一其他電漿蝕刻裝置中,將光阻劑110以及抗反射膜108用於蝕刻遮罩來蝕刻TiN層106。此TiN層106之蝕刻係例如將含Cl2氣體以及N2氣體之混合氣體使用於蝕刻氣體。
如此一來,如圖2(b)所示般,光阻劑110之開口圖案經由抗反射膜108而轉印到TiN層106,於TiN層106之和光阻劑110之開口112相對應之位置處形成開口114。此後,於電漿清洗裝置中,使用O2氣體之電漿以電漿清洗來使得光阻劑110以及抗反射膜108被剝離或是去除。其結果,於半導體晶圓W之表面會如圖2(c)所示般讓具有開口114之TiN層106露出。
此實施形態之電漿蝕刻裝置(圖1)係將如上述般藉由多層阻劑法來圖案化之TiN層106露出於表面的半導體晶圓W當作被處理體,將TiN層106使用做為蝕刻遮罩來蝕刻Low-k膜104。此Low-k膜104之蝕刻係將含有CF系氣體(例如CF4氣體)與Ar氣體之混合氣體用作蝕刻氣體。藉由此乾式蝕刻,如圖2(d)所示般,於Low-k膜104之和TiN層106之開口114相對應之位置形成貫通孔或是通孔116。
此後,殘留於半導體晶圓W表面之TiN層106亦即蝕刻遮罩之殘膜係藉由例如使用氟氫酸之濕式處理來去除。然後,於通孔116填埋導體金屬(例如銅),於Low-k膜104之上面形成第(n+1)層配線。
〔實施形態之Low-k膜蝕刻加工之詳細內容〕
於此電漿蝕刻裝置所進行之上述Low-k膜104之蝕刻加工更詳細來說如圖3所示般分割為複數製程S1~S5
首先,將圖2(c)般TiN層106露出於表面之半導體晶圓W當作被處理體搬入腔室10(步驟S1),載置於靜電夾頭40之上。其次,從處理氣體供給部70開 始含CF4氣體以及Ar氣體之蝕刻氣體的供給,藉由排氣部(25、26)之排氣動作將腔室10內之壓力調整為設定值,進而藉由冷凝器單元、加熱器、熱傳氣體供給部等溫度調整機構將腔室10內各部之溫度調整為設定值。
然後,於壓力調整以及溫度調整結束後,開啟第1以及第2高頻電源30、32,進而依必要開啟上部DC偏壓(-EDC)施加用之開關76,使得用以在Low-k膜104形成貫通孔116之乾式蝕刻程序開始進行(步驟S2)。
此乾式蝕刻製程(S2)中,於腔室10內之處理空間S利用蝕刻氣體之高頻放電而生成電漿,此電漿之活性種尤其是氟自由基、氬離子會和Low-k膜104之材質起化學或是物理反應,從而產生揮發性反應產物。此等反應產物大多數藉由排氣部(25、26)排出,但一部分則殘留於腔室10內,或浮遊於處理空間S、或附著於腔室10之內壁、晶座12等構件上。
另一方面,電漿之活性種尤其是氟自由基、氬離子也和做為蝕刻遮罩之TiN層106起化學或是物理反應,從而產生揮發性反應產物。從TiN層106所產生之此等反應產物同樣地大多數藉由排氣部(25、26)來被排氣,但一部分則殘留於腔室10內,或浮遊於處理空間S、或附著於腔室10之內壁、晶座12等各種構件。其中含有Ti-FX、Ti-OF等含Ti反應物。
如此般形成於Low-k膜104之蝕刻孔116一旦到達底層之第n層配線102,則藉由既定蝕刻終點檢測來結束此乾式蝕刻製程(S2)之程序。亦即,關閉高頻電源30、32,當此程序從直流電源74對淋灑頭(上部電極)60施加直流電壓-EDC之情況乃關閉開關76。此外,關閉來自處理氣體供給部70之氣體供給。其中,排氣部(25、26)之排氣動作係持續進行。
此實施形態中,在結束上述乾式蝕刻製程(S2)後立即讓半導體晶圓W保持在晶座12上來實行乾式清潔、亦即實行具有晶圓之乾式清潔程序(步驟S3)。
此具有晶圓之乾式清潔製程(S3)主要係為了將殘留在腔室10內之含Ti反應物加以去除而進行者。因此,從處理氣體供給部70將以既定流量比(較佳為1:0~1:3)含有H2氣體與N2氣體之清潔氣體導入腔室10內,於壓力調整後開啟第1高頻電源30,將電漿生成用第1高頻HF以既定功率施加於晶座12,於腔室10內藉由清潔氣體之高頻放電來生成電漿。此外,此乾式清潔 製程(S3)由於為電漿活性種中主要是自由基之化學反應起支配性作用之程序,故既無將來自電漿之離子拉引至晶座12上之半導體晶圓W的必要性,也無特別將離子碰觸於淋灑頭60之電極板62來進行濺鍍之必要性。因此,通常事先將第2高頻電源32、直流電源74保持在關閉狀態。
此具有晶圓之乾式清潔(S3)中,清潔氣體之電漿中所含氫系活性種亦即氫激發原子、氫自由基以及氫離子其中一者會和浮遊或是附著在腔室10內之任意部位的Ti-FX、Ti-OF等含Ti反應物充分反應,而生成TiH等含Ti之揮發性反應產物。另一方面,電漿所含氮系活性種亦即氮激發原子、氮自由基以及氮離子等幾乎不會和含Ti反應物直接起化學反應,反而以促進氫系活性種與含Ti反應物之化學反應、或是加以適度抑制的方式來作用。如此般生成之含Ti反應產物會和其他反應產物、未反應氣體等一起從腔室10往排氣部(25、26)被排出。
此具有晶圓之乾式清潔(S3)為了得到實用上Ti污染降低效果宜以一定處理時間(較佳為8秒以上之處理時間)來進行。可將因上述乾式蝕刻製程(S2)而於腔室10內產生之含Ti反應物之大部分或是多數藉由此具有晶圓之乾式清潔(S3)來有效地去除。此外,因上述乾式蝕刻製程(S2)而於腔室10內產生之其他氟系反應物、尤其是因氟與H2O分子之反應所產生之氟化氫HF容易對Low-k膜造成損傷。如此之不希望的其他氟系反應物也藉由此具有晶圓之乾式清潔(S3)來和含Ti反應物一起被去除。
上述具有晶圓之乾式清潔製程(S3)係藉由關閉第1高頻電源30而結束。此後立即停止處理氣體供給部70之氣體供給。不過排氣部(25、26)之排氣動作則持續進行。
通常,此電漿蝕刻裝置(圖1)經由閘閥28而連結於常時處於減壓狀態之傳輸腔室(未圖示)。配置於此相鄰傳輸腔室內之搬送臂(未圖示)為了進行半導體晶圓W之搬入或是搬出而穿越開啟狀態之閘閥28進出於腔室10。
上述般具有晶圓之乾式清潔製程(S3)結束時係保持腔室10內之減壓狀態而立即開啟閘閥28,藉由上述搬送臂將處理完成之半導體晶圓W加以搬出(步驟S4)。
若以此方式將處理完成之半導體晶圓W從腔室10搬出,則靜電夾頭40之上面亦即晶圓載置面會成為空置狀態。此實施形態中,如此般在靜電夾頭40上無半導體晶圓的狀態下之乾式清潔、亦即無晶圓之乾式清潔製程係做為最後的後處理來進行(步驟S5)。
此無晶圓之乾式清潔製程(S5)主要是為了將殘留於腔室10內之有機系浮遊物、沉積膜加以去除而進行。因此,從處理氣體供給部70將含O2氣體之清潔氣體導入腔室10內,於壓力調整後開啟第1高頻電源30,將電漿生成用第1高頻HF以既定功率施加於晶座12,在腔室10內藉由清潔氣體之高頻放電來生成電漿。即使是此無晶圓之乾式清潔製程(S5),只要無將來自電漿之離子拉引至無晶圓之靜電夾頭40的必要性,也無特別將離子碰觸於淋灑頭60之電極板62來進行濺鍍之必要性。因此,通常事先將第2高頻電源32、直流電源74保持在關閉狀態。
此無晶圓之乾式清潔(S5)中,電漿所含氧自由基會和腔室10內之有機系浮遊物、沉積膜起反應而生成揮發性反應產物。此等反應產物會和其他反應產物、未反應氣體等一起從腔室10往排氣部(25、26)被排出。於此情況,由於腔室10內含Ti反應物幾乎不會或是僅些許殘留,故此無晶圓之乾式清潔(S5)之程序中幾乎沒有或即使有也是非常少地出現腔室10內之含Ti反應物例如經擴散而附著於靜電夾頭40之晶圓載置面。
上述般無晶圓之乾式清潔(S5)一旦完成,則結束對於1片半導體晶圓W之Low-k膜蝕刻加工之全程序。此後,對於如同圖2(c)所示TiN層106露出於表面之新的半導體晶圓W使得相同製程(S1~S5)和上述同樣反覆進行。
〔實施形態之作用效果(驗證實驗1)〕
本發明者係對於上述電漿蝕刻裝置(圖1)中所進行之Low-k膜蝕刻加工之第3製程(S3)中,以如上述般使用含H2氣體與N2氣體之清潔氣體之電漿來進行腔室10內清潔之情況(實施例)與腔室10內不產生電漿而流經惰性氣體(Ar氣體、N2氣體)將腔室10內加以換氣之情況(比較例1、比較例2)就對於1片的半導體晶圓W之Low-k膜蝕刻加工之全程序結束後在靜電夾頭40之晶圓載置面上所附著之Ti污染量(10atms/cm2)分別進行實驗測定並比較。圖4顯示此驗證實驗之結果。此驗證實驗中實施例以及比較例1、2之處理條件 如下述。
〔實施例之處理條件〕
腔室內壓力:150mTorr
高頻功率:HF=400W,LF=0W
清潔氣體:H2/N2=100/300sccm
處理時間:30秒
〔比較例1之處理條件〕
腔室內壓力:20mTorr
高頻功率:HF=0W,LF=0W
沖洗氣體:Ar=1000sccm
處理時間:30秒
〔比較例2之處理條件〕
腔室內壓力:20mTorr
高頻功率:HF=0W,LF=0W
沖洗氣體:N2=1000sccm
處理時間:30秒
此外,第5製程、亦即無晶圓之乾式清潔製程(S5)的處理條件在實施例以及比較例1、2全部相同(共通),如以下所載。
〔無晶圓之清潔製程之處理條件〕
腔室內壓力:400mTorr
高頻功率:HF=400W,LF=0W
清潔氣體:O2=1500sccm
處理時間:36秒
如圖4所示般,相較於略過第3製程(S3)之情況、亦即不進行具有晶圓之清潔或是具有晶圓之沖洗任一者之情況(比較基準),依據比較例1,2則Ti污染量僅能降低約1/2程度,相對於此,依據實施例則可將Ti污染量降低至1/10以下。
〔實施形態之作用效果(驗證實驗2)〕
本發明者為了從其他觀點來確認於上述電漿蝕刻裝置(圖1)所進行之Low-k膜蝕刻加工之第3製程(亦即具有晶圓之乾式清潔製程(S3))之效果,乃以對於700片的半導體晶圓W使得包含上述5製程(S1~S5)全部的Low-k膜蝕刻加工(單片處理)反覆進行之情況與略去第3製程(亦即具有晶圓之乾式清潔製程(S3))而包含其他4製程(S1~S2、S4~S5)之Low-k膜蝕刻加工(單片處理)反覆進行之情況(比較例)就測定用晶圓之徑向各位置的Ti沉積量分別以實驗來測定並比較。圖5顯示此驗證實驗之結果。
此驗證實驗在無晶圓之乾式清潔(S5)中,將和半導體晶圓W具有相同形狀之測定用晶圓載置於靜電夾頭40之晶圓載置面上,做為對於700片半導體晶圓W之Low-k膜蝕刻加工的結果係將此測定用晶圓上所得徑向各位置之Ti沉積量視為靜電夾頭40之晶圓載置面上之徑向各位置之Ti沉積量。具有晶圓之清潔製程(S3)以及無晶圓之清潔製程(S5)之處理條件係和上述第1驗證實驗分別相同。
此外,此驗證實驗中每處理20片的半導體晶圓W乃和實際程序同樣地進行季化處理。
圖6顯示季化處理順序。季化處理中係取代被處理體的半導體晶圓W改用同形狀之仿真晶圓,於第2製程(S12)中取代乾式蝕刻製程改用既定清潔氣體之電漿來進行腔室10內之定期清潔、初期化等。在其他製程(S11、S13~S15)係進行和上述Low-k膜蝕刻加工大致相同程序乃至於動作。定期清潔(S12)中,係使用例如含O2氣體的清潔氣體或是含O2氣體與CF系氣體之清潔氣體來進行去除腔室10內有機系沉積膜之清潔。
如圖5所示般,無具有晶圓之清潔製程(S3)的比較例中,Ti沉積量在測定用晶圓之邊緣附近最大而為約60nm,朝晶圓中心部逐漸減少,但從邊緣進入20mm的位置仍有70nm以上者。對此,雖實施例中之Ti沉積量在測定用晶圓之邊緣附近為最大而朝晶圓中心部逐漸減少一事和比較例同樣,但各位置之Ti沉積量的絕對量則顯著減少。亦即,在測定用晶圓之邊緣附近僅為12nm程度,從邊緣進入10mm以上內側則實質為0nm。
從而,若以徑向之晶圓上各位置的比較例以及實施例分別之Ti沉積量為A、B,則實施例對比較例之減少率C係以下式(1)來定義。
C=100%×(B-A)/B‧‧‧‧(1)
圖5中,減少率C在晶圓邊緣附近最大而為約80%,從邊緣進入10mm以上內側則為100%。如此般,C≧80%,確認了實施例中可大幅降低Ti沉積量。
〔實施形態中之作用效果(驗證實驗3)〕
本發明者為了決定本發明中具有晶圓之乾式清潔製程(S3)所使用之清潔氣體之要件,乃以清潔氣體之氣體種以及流量比為參數,和上述第1驗證實驗同樣地就對於1片半導體晶圓W之Low-k膜蝕刻加工之全程序結束後附著於靜電夾頭40之晶圓載置面上的Ti污染量(10atms/cm2)分別以實驗來測定、比較。
具有晶圓之乾式清潔製程中之實施例1、2、3以及比較例1、2、3中之固有氣體條件以及全部共通之其他處理條件如以下所述。此外,無晶圓之清潔製程(S5)之處理條件係和上述第1驗證實驗相同。
〔實施例1〕
清潔氣體:H2=400sccm
〔實施例2〕
清潔氣體:H2/N2=100/300sccm
〔實施例3〕
清潔氣體:H2/N2=200/200sccm
〔比較例1〕
清潔氣體:CHF3/O2=23/1500sccm
〔比較例2〕
清潔氣體:N2=400sccm
〔比較例3〕
清潔氣體:Ar=800sccm
〔共通處理條件〕
腔室內壓力:150mTorr
高頻功率:HF=400W,LF=0W
處理時間:30秒
如圖7所示般,確認了相較於省略第3製程(S3)之情況、亦即不進行具有晶圓之清潔也不進行具有晶圓之沖洗的情況(比較基準),依據比較例1、2、3僅能將Ti污染量降低約20%程度,依據實施例1、2、3則可將Ti污染量降低至1/10程度。尤其,H2氣體與N2氣體之流量比設定為相同之實施例3中,Ti污染降低效果為最大。此外,即便是H2氣體之單氣體也可得到充分之Ti污染降低效果。
比較例1、2、3之中尤其值得注意的點是在清潔氣體方面使用N2氣體之單氣體的比較例2中幾乎無法見到Ti污染降低效果。亦即,於實施形態之具有晶圓之清潔製程(S3)中,H2氣體取決性影響Ti污染降低效果一事藉由此驗證實驗獲得確認。
〔其他實施形態或是變形例〕
依據上述第1驗證實驗之結果可知,於Low-k膜蝕刻加工中在乾式蝕刻製程後立即於腔室10內未產生電漿情況下流經惰性氣體(Ar氣體、N2氣體等)對腔室10內進行換氣之手法(具有晶圓之沖洗製程)相較於使用含H2氣體與N2氣體之清潔氣體之電漿對腔室10內進行清潔之手法(具有晶圓之乾式清潔製程)雖低至無法比較的程度但仍可發揮一定的Ti污染降低效果。從而,如此之具有晶圓之沖洗製程可於實施形態中具有晶圓之乾式清潔製程之即將進行前或是剛結束後來加入(併用)。
本發明不限定於上述實施形態般適用於下部雙頻施加方式,例如也可適用於對淋灑頭(上部電極)60施加電漿生成用第1高頻HF之方式的電漿蝕刻裝置上。
此外,本發明不限定於電容耦合型電漿蝕刻裝置,也可適用於微波電漿蝕刻裝置、感應耦合電漿蝕刻裝置、螺旋波電漿蝕刻裝置等,再者也可適用於電漿CVD、電漿氧化、電漿氮化、濺鍍等其他電漿處理裝置。此外,本發明之被處理基板不限於半導體晶圓,也可適用於平板顯示器、有機EL、太陽電池用各種基板、光罩、CD基板、印刷基板等。
S1‧‧‧被處理晶圓之搬入
S2‧‧‧乾式蝕刻
S3‧‧‧具有晶圓之乾式清潔
S4‧‧‧處理完成之晶圓搬出
S5‧‧‧無晶圓之乾式清潔

Claims (7)

  1. 一種清潔方法,用以將殘存於電漿處理裝置之處理容器內的含Ti反應物加以去除者;具有第1乾式清潔製程,係一邊對該處理容器內進行排氣、一邊對減壓狀態之該處理容器內導入僅含有H2氣體之第1清潔氣體,使得該第1清潔氣體放電來生成電漿,讓該電漿之其中一活性種和該含Ti反應物起反應,將其反應產物從該處理容器加以排出;在該處理容器內對於由作為被蝕刻材之絕緣層以及含Ti之蝕刻遮罩層所積層而得之被處理體以CF系蝕刻氣體所進行之乾式蝕刻製程結束後,在該被處理體仍位處於該處理容器內之狀態下進行該第1乾式清潔製程。
  2. 如申請專利範圍第1項之清潔方法,其中該絕緣層為Low-k膜。
  3. 如申請專利範圍第1或2項之清潔方法,具有第2乾式清潔製程,係為了定期清掃該處理容器內而於該處理容器內取代被處理體而配置和被處理體為相同形狀之仿真構件,一邊對該處理容器內進行排氣、一邊於減壓狀態之該處理容器內導入第2清潔氣體,使得該第2清潔氣體放電來生成電漿,讓該電漿之其中一活性種和該處理容器內之沉積物或是污染物質起反應,將其反應產物從該處理容器加以排出;於該第2乾式清潔製程結束後,進行該第1乾式清潔製程。
  4. 如申請專利範圍第1或2項之清潔方法,具有第3乾式清潔製程,係於該第1乾式清潔製程結束後,將該被處理體從該處理容器搬出,一邊對於未放入被處理體之該處理容器內進行排氣、一邊對減壓狀態之該處理容器內導入第3清潔氣體,使得該第3清潔氣體放電來生成電漿,讓該電漿之其中一活性種和該處理容器內之沉積物或是污染物質起反應,將其反應產物從該處理容器加以排出。
  5. 如申請專利範圍第3項之清潔方法,其中該第2清潔氣體包含O2氣體。
  6. 如申請專利範圍第1或2項之清潔方法,具有第1沖洗製程,係於該第1乾式清潔製程之前,在該處理容器內未產生電漿之情況下流通惰性氣體而對該處理容器內進行換氣。
  7. 如申請專利範圍第1或2項之清潔方法,具有第2沖洗製程,係於該第1乾式清潔製程之結束後立即在該處理容器內未生成電漿的情況下流通惰性氣體而對該處理容器內進行換氣。
TW104105959A 2014-02-27 2015-02-25 電漿處理裝置之清潔方法 TWI685033B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014036382A JP6284786B2 (ja) 2014-02-27 2014-02-27 プラズマ処理装置のクリーニング方法
JP2014-036382 2014-02-27

Publications (2)

Publication Number Publication Date
TW201546899A TW201546899A (zh) 2015-12-16
TWI685033B true TWI685033B (zh) 2020-02-11

Family

ID=52577681

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104105959A TWI685033B (zh) 2014-02-27 2015-02-25 電漿處理裝置之清潔方法

Country Status (6)

Country Link
US (1) US20150243489A1 (zh)
EP (1) EP2913845A1 (zh)
JP (1) JP6284786B2 (zh)
KR (1) KR102283188B1 (zh)
CN (1) CN104882360B (zh)
TW (1) TWI685033B (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6460947B2 (ja) * 2015-09-16 2019-01-30 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
KR102541747B1 (ko) * 2015-11-30 2023-06-08 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 챔버 클리닝 방법
CN105590849B (zh) * 2016-02-29 2018-08-28 上海华力微电子有限公司 一种解决hdp psg制程厚度均一性持续跳高的方法
KR101792828B1 (ko) 2016-06-29 2017-11-01 삼성중공업 주식회사 세정장치를 구비한 오염물질 저감장치 및 그의 세정방법
JP6635888B2 (ja) * 2016-07-14 2020-01-29 東京エレクトロン株式会社 プラズマ処理システム
US10546762B2 (en) * 2016-11-18 2020-01-28 Applied Materials, Inc. Drying high aspect ratio features
JP6688763B2 (ja) * 2017-05-30 2020-04-28 東京エレクトロン株式会社 プラズマ処理方法
JP6902941B2 (ja) * 2017-06-29 2021-07-14 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
JP7018801B2 (ja) * 2018-03-29 2022-02-14 東京エレクトロン株式会社 プラズマ処理装置、及び被処理体の搬送方法
JP2020017676A (ja) * 2018-07-26 2020-01-30 株式会社ディスコ ウェーハの加工方法
JP6960390B2 (ja) * 2018-12-14 2021-11-05 東京エレクトロン株式会社 給電構造及びプラズマ処理装置
WO2020012693A1 (ja) * 2019-02-27 2020-01-16 株式会社 日立ハイテクノロジーズ プラズマ処理方法及びプラズマ処理装置
CN111424260B (zh) * 2020-06-09 2020-09-11 上海陛通半导体能源科技股份有限公司 具有高效清洁能力的化学气相沉积设备及半导体工艺方法
CN114798591B (zh) * 2021-01-27 2023-08-18 中国科学院微电子研究所 基于晶片清理仓的气压调控装置及方法
US20230120710A1 (en) * 2021-10-15 2023-04-20 Applied Materials, Inc. Downstream residue management hardware
WO2023148861A1 (ja) * 2022-02-02 2023-08-10 東京エレクトロン株式会社 プラズマ処理装置のクリーニング方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040013818A1 (en) * 2002-07-19 2004-01-22 Moon Kwang-Jin Method of cleaning a chemical vapor deposition chamber
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US20070184996A1 (en) * 2006-02-06 2007-08-09 Cheng-Ming Weng Cleaning agent and method of removing residue left after plasma process
US20110048453A1 (en) * 2009-09-03 2011-03-03 Tokyo Electron Limited Chamber cleaning method
US20120237693A1 (en) * 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW507015B (en) * 1997-12-02 2002-10-21 Applied Materials Inc In-situ, preclean of wafers prior to a chemical vapor deposition titanium deposition step
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
US6566270B1 (en) * 2000-09-15 2003-05-20 Applied Materials Inc. Integration of silicon etch and chamber cleaning processes
JP4176365B2 (ja) 2002-03-25 2008-11-05 東京エレクトロン株式会社 プラズマエッチング方法
KR20040006481A (ko) * 2002-07-12 2004-01-24 주식회사 하이닉스반도체 식각 및 증착장비의 항상성 개선방법
JP4401656B2 (ja) * 2003-01-10 2010-01-20 パナソニック株式会社 半導体装置の製造方法
JP4764028B2 (ja) * 2005-02-28 2011-08-31 株式会社日立ハイテクノロジーズ プラズマ処理方法
US7575007B2 (en) * 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper
JP5705495B2 (ja) * 2010-10-07 2015-04-22 株式会社日立ハイテクノロジーズ プラズマの処理方法及びプラズマ処理装置
JP2012243958A (ja) * 2011-05-19 2012-12-10 Hitachi High-Technologies Corp プラズマ処理方法
US9533332B2 (en) * 2011-10-06 2017-01-03 Applied Materials, Inc. Methods for in-situ chamber clean utilized in an etching processing chamber
US20140179106A1 (en) * 2012-12-21 2014-06-26 Lam Research Corporation In-situ metal residue clean

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040013818A1 (en) * 2002-07-19 2004-01-22 Moon Kwang-Jin Method of cleaning a chemical vapor deposition chamber
US20040200498A1 (en) * 2003-04-08 2004-10-14 Applied Materials, Inc. Method and apparatus for cleaning a substrate processing chamber
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US20070184996A1 (en) * 2006-02-06 2007-08-09 Cheng-Ming Weng Cleaning agent and method of removing residue left after plasma process
US20110048453A1 (en) * 2009-09-03 2011-03-03 Tokyo Electron Limited Chamber cleaning method
US20120237693A1 (en) * 2011-03-17 2012-09-20 Applied Materials, Inc. In-situ clean process for metal deposition chambers

Also Published As

Publication number Publication date
KR102283188B1 (ko) 2021-07-29
JP2015162544A (ja) 2015-09-07
TW201546899A (zh) 2015-12-16
KR20150101927A (ko) 2015-09-04
US20150243489A1 (en) 2015-08-27
JP6284786B2 (ja) 2018-02-28
CN104882360A (zh) 2015-09-02
CN104882360B (zh) 2020-11-06
EP2913845A1 (en) 2015-09-02

Similar Documents

Publication Publication Date Title
TWI685033B (zh) 電漿處理裝置之清潔方法
JP6646978B2 (ja) 高アスペクト比構造におけるコンタクト洗浄
TWI697046B (zh) 蝕刻方法
TWI611454B (zh) 電漿蝕刻方法
US20090203218A1 (en) Plasma etching method and computer-readable storage medium
KR20140051090A (ko) 구리층을 에칭하는 방법 및 마스크
TW201611080A (zh) 電漿處理方法及電漿處理裝置
JP7174634B2 (ja) 膜をエッチングする方法
TWI766907B (zh) 電漿處理裝置及電漿處理方法
KR20110013265A (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
US9653321B2 (en) Plasma processing method
TW201721713A (zh) 被處理體之處理方法
KR102435643B1 (ko) 마이크로전자 워크피스의 처리를 위한 금속 하드 마스크 층
US20200168468A1 (en) Etching method and substrate processing apparatus
KR20150124392A (ko) 드라이 클리닝 방법 및 플라즈마 처리 장치
TW201618156A (zh) 電漿處理裝置及電漿處理方法
JP2023118883A (ja) プラズマ処理装置
JP2004031888A (ja) フルオロカーボンフィルムの堆積方法
WO2020028119A1 (en) Non-selective and selective etching through alternating layers of materials
US11328934B2 (en) Etching method and substrate processing apparatus
JP7123287B1 (ja) エッチング方法、プラズマ処理装置、基板処理システム、及びプログラム
JP7220603B2 (ja) 膜をエッチングする方法及びプラズマ処理装置
JP7309799B2 (ja) エッチング方法及びプラズマ処理装置
KR20220029478A (ko) 기판 처리 방법 및 플라즈마 처리 장치
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置