KR20220029478A - 기판 처리 방법 및 플라즈마 처리 장치 - Google Patents

기판 처리 방법 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR20220029478A
KR20220029478A KR1020210114165A KR20210114165A KR20220029478A KR 20220029478 A KR20220029478 A KR 20220029478A KR 1020210114165 A KR1020210114165 A KR 1020210114165A KR 20210114165 A KR20210114165 A KR 20210114165A KR 20220029478 A KR20220029478 A KR 20220029478A
Authority
KR
South Korea
Prior art keywords
gas
substrate
film
species
precursor
Prior art date
Application number
KR1020210114165A
Other languages
English (en)
Inventor
다카유키 가츠누마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2021006624A external-priority patent/JP2022039910A/ja
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220029478A publication Critical patent/KR20220029478A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 기판 상에 형성되는 막에 의한 오목부의 개구의 폐색을 억제하는 기술을 제공하는 것을 목적으로 한다.
개시되는 기판 처리 방법은, 기판의 측벽면에 전구체를 흡착시키는 공정(a)을 포함한다. 측벽면은, 기판에서 오목부를 구획한다. 기판 처리 방법은, 기판에 제1 화학종 및 제2 화학종을 공급하는 공정(b)을 더 포함한다. 제1 화학종은, 측벽면 상에서 전구체로부터 막을 형성하고, 제2 화학종은 막의 두께의 증가를 억제한다. 공정(a)과 공정(b)은, 교대로 반복된다.

Description

기판 처리 방법 및 플라즈마 처리 장치{SUBSTRATE PROCESSING METHOD AND PLASMA PROCESSING APPARATUS}
본 개시의 예시적 실시형태는, 기판 처리 방법 및 플라즈마 처리 장치에 관한 것이다.
플라즈마 에칭이, 기판에 오목부(recess)를 형성하기 위해서 이용되고 있다. 플라즈마 에칭에서는, 오목부가 가로 방향으로 넓어지는 것을 억제하는 것이 요구된다. 그 때문에, 오목부를 구획하는 측벽면에 막을 형성하는 기술이 이용되고 있다. 이러한 기술은, 특허문헌 1 및 2에 기재되어 있다.
[특허문헌 1] 미국 특허 출원 공개 제2016/0343580호 명세서 [특허문헌 2] 미국 특허 출원 공개 제2018/0174858호 명세서
본 개시는 기판 상에 형성되는 막에 의한 오목부의 개구의 폐색을 억제하는 기술을 제공한다.
하나의 예시적 실시형태에서, 기판 처리 방법이 제공된다. 기판 처리 방법은, 기판의 측벽면에 전구체를 흡착시키는 공정(a)을 포함한다. 측벽면은, 기판에서 오목부를 구획한다. 기판 처리 방법은, 기판에 제1 화학종 및 제2 화학종을 공급하는 공정(b)을 더 포함한다. 제1 화학종은, 측벽면 상에서 전구체로부터 막을 형성하고, 제2 화학종은 막의 두께의 증가를 억제한다. 공정(a)과 공정(b)은, 교대로 반복된다.
하나의 예시적 실시형태에 의하면, 기판 상에 형성되는 막에 의한 오목부의 개구의 폐색이 억제된다.
도 1은 하나의 예시적 실시형태에 따른 기판 처리 방법의 흐름도이다.
도 2는 일례의 기판의 일부 확대 단면도이다.
도 3은 하나의 예시적 실시형태에 따른 플라즈마 처리 장치를 개략적으로 도시한 도면이다.
도 4는 도 1에 도시된 기판 처리 방법의 공정(ST2)이 적용된 후의 상태의 일례의 기판의 부분 확대 단면도이다.
도 5는 도 1에 도시된 기판 처리 방법에 관련된 일례의 타이밍 차트이다.
도 6은 도 1에 도시된 기판 처리 방법에 관련된 다른 예의 타이밍 차트이다.
도 7은 도 1에 도시된 기판 처리 방법에서 막이 측벽면 상에 형성된 상태의 일례의 기판의 부분 확대 단면도이다.
도 8은 도 1에 도시된 기판 처리 방법이 적용된 후의 상태의 일례의 기판의 부분 확대 단면도이다.
도 9는 도 1에 도시된 기판 처리 방법에서 공정(STa) 및 공정(STb)의 교대의 반복이 적용되기 전의 상태의 일례의 기판의 부분 확대 단면도이다.
도 10은 하나의 예시적 실시형태에 따른 기판 처리 시스템을 개략적으로 도시한 도면이다.
도 11의 (a), 도 11의 (b), 도 11의 (c), 도 11의 (d)는 각각, 제1∼제4 실험에서 구한 ΔCD의 깊이 방향의 분포를 도시한 그래프이다.
도 12의 (a), 도 12의 (b), 도 12의 (c)는 각각, 제5∼제7 실험에서 구한 ΔCD의 깊이 방향의 분포를 도시한 그래프이다.
도 13의 (a), 도 13의 (b), 도 13의 (c)는 각각, 제8∼제10 실험에서 구한 ΔCD의 깊이 방향의 분포를 도시한 그래프이다.
이하, 여러 가지 예시적 실시형태에 대해 설명한다.
하나의 예시적 실시형태에서, 기판 처리 방법이 제공된다. 기판 처리 방법은, 기판의 측벽면에 전구체를 흡착시키는 공정(a)을 포함한다. 측벽면은, 기판에서 오목부를 구획한다. 기판 처리 방법은, 기판에 제1 화학종 및 제2 화학종을 공급하는 공정(b)을 더 포함한다. 제1 화학종은, 측벽면 상에서 전구체로부터 막을 형성하고, 제2 화학종은 막의 두께의 증가를 억제한다. 공정(a)과 공정(b)은, 교대로 반복된다.
상기 실시형태에서는, 전구체와 제1 화학종의 반응에 의해 측벽면 상에 막이 형성된다. 제2 화학종은, 막의 두께의 증가를, 특히 오목부의 개구의 부위에서 억제한다. 따라서, 상기 실시형태에 의하면, 기판 상에 형성되는 막에 의한 오목부의 개구의 폐색이 억제된다.
하나의 예시적 실시형태에서, 제2 화학종은 할로겐 화학종이어도 좋다. 제2 화학종은 불소 화학종이어도 좋다.
하나의 예시적 실시형태에서, 제2 화학종은, 플루오로카본, 하이드로플루오로카본, 삼불화질소, 및 육불화황 중 적어도 하나로부터 생성되어도 좋다.
하나의 예시적 실시형태에서, 전구체는 실리콘을 함유하고 있어도 좋다.
하나의 예시적 실시형태에서는, 전기 바이어스가, 공정(b)에서, 기판을 지지하는 기판 지지기의 하부 전극에 부여되어도 좋다. 이 실시형태에 의하면, 막이 형성되는 오목부 내의 깊이 방향의 위치가, 전기 바이어스의 크기에 의해 설정될 수 있다.
하나의 예시적 실시형태에서는, 전기 바이어스의 크기가, 공정(a)과 공정(b)의 교대의 반복에 있어서 변경되어도 좋다. 이 실시형태에 의하면, 공정(a)과 공정(b)의 교대의 반복에 있어서, 막이 형성되는 오목부 내의 깊이 방향의 위치를 변경하는 것이 가능해진다.
하나의 예시적 실시형태에서, 기판 처리 방법은, 공정(a)과 공정(b)의 교대의 반복 후에, 오목부의 깊이를 증가시키도록 기판을 에칭하는 공정(c)을 더 포함하고 있어도 좋다.
하나의 예시적 실시형태에서, 각각이 공정(a)과 공정(b)의 교대의 반복 및 공정(c)을 포함하는 제1 사이클 및 제2 사이클이 순서대로 실행되어도 좋다. 제1 사이클 내의 공정(b)에서 기판을 지지하는 기판 지지기의 하부 전극에 부여되는 전기 바이어스의 크기가, 제2 사이클 내의 공정(b)에서 상기 하부 전극에 부여되는 상기 전기 바이어스의 크기와 상이해도 좋다.
하나의 예시적 실시형태에서는, 제2 사이클 내의 공정(b)에서 이용되는 전기 바이어스의 크기는, 제1 사이클 내의 공정(b)에서 이용되는 전기 바이어스의 크기보다 커도 좋다. 이 실시형태에 의하면, 오목부의 깊이에 따라 오목부 내의 보다 깊은 개소에 막을 형성하는 것이 가능해진다.
하나의 예시적 실시형태에서는, 플라즈마 처리 장치가 제공된다. 플라즈마 처리 장치는, 챔버, 가스 공급부, 플라즈마 생성부, 및 제어부를 구비한다. 가스 공급부는, 챔버 내에 전구체 가스, 제1 가스, 및 제2 가스를 공급하도록 구성되어 있다. 플라즈마 생성부는, 제1 가스 및 제2 가스로부터 플라즈마를 생성하도록 구성되어 있다. 제어부는, (a) 챔버 내에 전구체 가스를 공급하도록 가스 공급부를 제어한다. (a)의 제어에 의해, 전구체 가스에 포함되는 전구체가 기판의 측벽면에 흡착된다. 제어부는, (b) 챔버 내에서 제1 가스 및 제2 가스로부터 플라즈마를 생성하도록 가스 공급부 및 플라즈마 생성부를 제어한다. (b)의 제어에 의해, 측벽면 상에서 전구체로부터 막을 형성하는 제1 화학종이 제1 가스로부터 생성되고, 상기 막의 두께의 증가를 억제하는 제2 화학종이 제2 가스로부터 생성된다. 제어부는, (a)의 제어와 (b)의 제어를 교대로 반복하도록 구성되어 있다.
이하, 도면을 참조하여 여러 가지 예시적 실시형태에 대해 상세히 설명한다. 또한, 각 도면에서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이는 것으로 한다.
도 1은 하나의 예시적 실시형태에 따른 기판 처리 방법의 흐름도이다. 도 1에 도시된 기판 처리 방법(이하, 「방법(MT)」이라고 함)은, 기판에서 오목부를 구획하는 측벽면 상에 막을 형성하기 위해서 행해진다. 방법(MT)은, 막의 형성 후에 기판을 에칭하는 것을 더 포함하고 있어도 좋다.
도 2는 일례의 기판의 일부 확대 단면도이다. 방법(MT)은, 도 2에 도시된 기판(W)에 적용될 수 있다. 기판(W)은, 막(EF) 및 마스크(MK)를 갖는다. 기판(W)은, 하지(下地) 영역(UR)을 더 갖고 있어도 좋다. 막(EF)은, 하지 영역(UR) 상에 형성되어 있어도 좋다.
막(EF)은, 에칭막(Etch Film)이며, 방법(MT)에서 에칭된다. 막(EF)은, 예컨대 실리콘 함유막이다. 실리콘 함유막은, 단층막 또는 다층막이어도 좋다. 단층막은, 예컨대, 실리콘산화막, 실리콘질화막, 다결정 실리콘막, 또는 실리콘 함유 저유전율막이다. 다층막은, 실리콘산화막, 실리콘질화막, 및 다결정 실리콘막 중 2개 이상으로 형성된다. 막(EF)은, 다른 재료로 형성되어 있어도 좋다.
마스크(MK)는, 막(EF) 상에 형성되어 있다. 마스크(MK)는, 막(EF)이 마스크(MK)에 대해 선택적으로 에칭되는 한, 임의의 재료로 형성될 수 있다. 마스크(MK)는, 예컨대, 포토레지스트막, 비정질 카본막, 또는 스핀온 카본막과 같은 유기막, 실리콘 함유막, 또는 금속 함유막으로 형성된다. 마스크(MK)는, 막(EF)에 전사되는 패턴을 갖고 있다. 즉, 마스크(MK)는, 오목부(OP)를 제공하고 있다.
일 실시형태에서, 방법(MT)은, 플라즈마 처리 장치를 이용하여 실행된다. 도 3은 하나의 예시적 실시형태에 따른 플라즈마 처리 장치를 개략적으로 도시한 도면이다. 방법(MT)은, 도 3에 도시된 플라즈마 처리 장치(1)를 이용하여 실행될 수 있다. 플라즈마 처리 장치(1)는, 용량 결합형의 플라즈마 처리 장치이다. 플라즈마 처리 장치(1)는, 챔버(10)를 구비하고 있다. 챔버(10)는, 그 안에 내부 공간(10s)을 제공하고 있다.
일 실시형태에서, 챔버(10)는, 챔버 본체(12)를 포함하고 있어도 좋다. 챔버 본체(12)는, 대략 원통 형상을 갖고 있다. 내부 공간(10s)은, 챔버 본체(12)의 내측에 제공되어 있다. 챔버 본체(12)는, 알루미늄과 같은 도체로 형성되어 있다. 챔버 본체(12)는, 접지되어 있다. 챔버 본체(12)의 내벽면 상에는, 내부식성을 갖는 막이 형성되어 있다. 내부식성을 갖는 막은, 산화알루미늄, 산화이트륨과 같은 세라믹으로 형성된 막일 수 있다.
챔버 본체(12)의 측벽은, 통로(12p)를 제공하고 있다. 기판(W)은, 내부 공간(10s)과 챔버(10)의 외부 사이에서 반송될 때에, 통로(12p)를 통과한다. 통로(12p)는, 게이트 밸브(12g)에 의해 개폐 가능하게 되어 있다. 게이트 밸브(12g)는, 챔버 본체(12)의 측벽을 따라 설치되어 있다.
플라즈마 처리 장치(1)는, 기판 지지기(14)를 더 구비한다. 기판 지지기(14)는, 챔버(10) 내, 즉 내부 공간(10s) 안에서, 기판(W)을 지지하도록 구성되어 있다. 기판 지지기(14)는, 챔버(10) 내에 설치되어 있다. 기판 지지기(14)는, 지지부(13)에 의해 지지되어 있어도 좋다. 지지부(13)는, 절연 재료로 형성되어 있다. 지지부(13)는, 대략 원통 형상을 갖고 있다. 지지부(13)는, 내부 공간(10s) 안에서, 챔버 본체(12)의 바닥부로부터 상방으로 연장되어 있다.
일 실시형태에서, 기판 지지기(14)는, 하부 전극(18) 및 정전 척(20)을 갖고 있어도 좋다. 기판 지지기(14)는, 전극 플레이트(16)를 더 갖고 있어도 좋다. 전극 플레이트(16)는, 알루미늄과 같은 도체로 형성되어 있고, 대략 원반 형상을 갖고 있다. 하부 전극(18)은, 전극 플레이트(16) 상에 설치되어 있다. 하부 전극(18)은, 알루미늄과 같은 도체로 형성되어 있고, 대략 원반 형상을 갖고 있다. 하부 전극(18)은, 전극 플레이트(16)에 전기적으로 접속되어 있다.
정전 척(20)은, 하부 전극(18) 상에 설치되어 있다. 기판(W)은, 정전 척(20)의 상면 위에 배치된다. 정전 척(20)은, 유전체로 형성된 본체를 갖는다. 정전 척(20)의 본체는, 대략 원반 형상을 갖는다. 정전 척(20)은, 전극(20e)을 더 갖는다. 전극(20e)은, 정전 척(20)의 본체 중에 설치되어 있다. 전극(20e)은, 막형의 전극이다. 전극(20e)은, 스위치(20s)를 통해 직류 전원(20p)에 접속되어 있다. 직류 전원(20p)으로부터의 전압이 정전 척(20)의 전극에 인가되면, 정전 척(20)과 기판(W) 사이에서 정전 인력이 발생한다. 발생한 정전 인력에 의해, 기판(W)은, 정전 척(20)으로 끌어당겨지고, 정전 척(20)에 의해 유지된다.
기판 지지기(14)는, 그 위에 배치되는 에지 링(ER)을 지지하고 있어도 좋다. 에지 링(ER)은, 한정되는 것은 아니지만, 실리콘, 탄화실리콘, 또는 석영으로 형성될 수 있다. 챔버(10) 내에서 기판(W)의 처리가 행해질 때에는, 기판(W)은, 정전 척(20) 상, 또한, 에지 링(ER)에 의해 둘러싸인 영역 내에, 배치된다.
하부 전극(18)은, 그 내부에서 유로(18f)를 제공하고 있다. 유로(18f)는, 칠러 유닛(22)으로부터 배관(22a)을 통해 공급되는 열교환 매체(예컨대 냉매)를 받는다. 칠러 유닛(22)은, 챔버(10)의 외부에 설치되어 있다. 유로(18f)에 공급된 열교환 매체는, 배관(22b)을 통해 칠러 유닛(22)으로 복귀된다. 플라즈마 처리 장치(1)에서는, 정전 척(20) 상에 배치된 기판(W)의 온도가, 열교환 매체와 하부 전극(18)의 열교환에 의해, 조정된다.
기판(W)의 온도는, 기판 지지기(14) 중에 설치된 하나 이상의 히터에 의해 조정되어도 좋다. 도 3에 도시된 예에서는, 복수의 히터(HT)가, 정전 척(20) 중에 설치되어 있다. 복수의 히터(HT)의 각각은, 저항 가열 소자일 수 있다. 복수의 히터(HT)는, 히터 컨트롤러(HC)에 접속되어 있다. 히터 컨트롤러(HC)는, 복수의 히터(HT)의 각각에 조정된 양의 전력을 공급하도록 구성되어 있다.
플라즈마 처리 장치(1)는, 가스 공급 라인(24)을 더 구비하고 있어도 좋다. 가스 공급 라인(24)은, 전열 가스(예컨대 He 가스)를, 정전 척(20)의 상면과 기판(W)의 이면 사이의 간극에 공급한다. 전열 가스는, 전열 가스 공급 기구로부터 가스 공급 라인(24)에 공급된다.
플라즈마 처리 장치(1)는, 상부 전극(30)을 더 구비하고 있다. 상부 전극(30)은, 기판 지지기(14)의 상방에 설치되어 있다. 상부 전극(30)은, 부재(32)를 통해, 챔버 본체(12)의 상부에 지지되어 있다. 부재(32)는, 절연성을 갖는 재료로 형성되어 있다. 상부 전극(30)과 부재(32)는, 챔버 본체(12)의 상부 개구를 폐쇄하고 있다.
상부 전극(30)은, 상부판(34) 및 지지체(36)를 포함할 수 있다. 상부판(34)의 하면은, 내부 공간(10s) 측의 하면이고, 내부 공간(10s)을 구획하고 있다. 상부판(34)은, 실리콘 함유 재료로 형성될 수 있다. 상부판(34)은, 예컨대 실리콘 또는 탄화실리콘으로 형성되어 있다. 상부판(34)은, 복수의 가스 구멍(34a)을 제공하고 있다. 복수의 가스 구멍(34a)은, 상부판(34)을 그 판 두께 방향으로 관통하고 있다.
지지체(36)는, 상부판(34)을 착탈 가능하게 지지한다. 지지체(36)는, 알루미늄과 같은 도전성 재료로 형성된다. 지지체(36)는, 그 내부에서 가스 확산실(36a)을 제공하고 있다. 지지체(36)는, 복수의 가스 구멍(36b)을 더 제공하고 있다. 복수의 가스 구멍(36b)은, 가스 확산실(36a)로부터 하방으로 연장되어 있다. 복수의 가스 구멍(36b)은, 복수의 가스 구멍(34a)에 각각 연통(連通)되어 있다. 지지체(36)는, 가스 도입구(36c)를 더 제공하고 있다. 가스 도입구(36c)는, 가스 확산실(36a)에 접속되어 있다. 가스 도입구(36c)에는, 가스 공급관(38)이 접속되어 있다.
가스 공급관(38)에는, 가스 소스군(40)이, 밸브군(41), 유량 제어기군(42), 및 밸브군(43)을 통해 접속되어 있다. 가스 소스군(40), 밸브군(41), 유량 제어기군(42), 및 밸브군(43)은, 가스 공급부(GS)를 구성하고 있다. 가스 소스군(40)은, 복수의 가스 소스를 포함하고 있다. 가스 소스군(40)의 복수의 가스 소스는, 방법(MT)에서 이용되는 복수의 가스의 소스를 포함하고 있다. 밸브군(41) 및 밸브군(43)의 각각은, 복수의 개폐 밸브를 포함하고 있다. 유량 제어기군(42)은, 복수의 유량 제어기를 포함하고 있다. 유량 제어기군(42)의 복수의 유량 제어기의 각각은, 매스 플로우 컨트롤러 또는 압력 제어식의 유량 제어기이다. 가스 소스군(40)의 복수의 가스 소스의 각각은, 밸브군(41)의 대응하는 개폐 밸브, 유량 제어기군(42)의 대응하는 유량 제어기, 및 밸브군(43)의 대응하는 개폐 밸브를 통해, 가스 공급관(38)에 접속되어 있다.
플라즈마 처리 장치(1)는, 실드(46)를 더 구비하고 있어도 좋다. 실드(46)는, 챔버 본체(12)의 내벽면을 따라 착탈 가능하게 설치되어 있다. 실드(46)는, 지지부(13)의 외주에도 설치되어 있다. 실드(46)는, 챔버 본체(12)에 플라즈마 처리의 부생물이 부착되는 것을 방지한다. 실드(46)는, 예컨대, 알루미늄으로 형성된 부재의 표면에 내부식성을 갖는 막을 형성함으로써 구성된다. 내부식성을 갖는 막은, 산화이트륨과 같은 세라믹으로 형성된 막일 수 있다.
플라즈마 처리 장치(1)는, 배플 부재(48)를 더 구비하고 있어도 좋다. 배플 부재(48)는, 지지부(13)와 챔버 본체(12)의 측벽 사이에 설치되어 있다. 배플 부재(48)는, 예컨대, 알루미늄으로 형성된 판형 부재의 표면에 내부식성을 갖는 막을 형성함으로써 구성된다. 내부식성을 갖는 막은, 산화이트륨과 같은 세라믹으로 형성된 막일 수 있다. 배플 부재(48)는, 복수의 관통 구멍을 제공하고 있다. 배플 부재(48)의 하방, 또한, 챔버 본체(12)의 바닥부에는, 배기구(12e)가 형성되어 있다. 배기구(12e)에는, 배기 장치(50)가, 배기관(52)을 통해 접속되어 있다. 배기 장치(50)는, 압력 조정 밸브 및 터보 분자 펌프와 같은 진공 펌프를 갖고 있다.
플라즈마 처리 장치(1)는, 고주파 전원(62) 및 바이어스 전원(64)을 더 구비하고 있다. 고주파 전원(62)은, 고주파 전력(이하, 「고주파 전력(HF)」이라고 함)을 발생하도록 구성되어 있다. 고주파 전력(HF)은, 플라즈마의 생성에 적합한 주파수를 갖는다. 고주파 전력(HF)의 주파수는, 예컨대 27 ㎒ 이상, 100 ㎒ 이하이다. 고주파 전원(62)은, 정합기(66)를 통해 상부 전극(30)에 접속되어 있다. 정합기(66)는, 고주파 전원(62)의 부하측[상부 전극(30)측]의 임피던스를, 고주파 전원(62)의 출력 임피던스에 정합시키기 위한 회로를 갖고 있다. 고주파 전원(62)은, 일 실시형태에서, 플라즈마 생성부를 구성할 수 있다. 또한, 고주파 전원(62)은, 정합기(66)를 통해, 하부 전극(18)에 접속되어 있어도 좋다.
바이어스 전원(64)은, 전기 바이어스(EB)를 하부 전극(18)에 부여하도록 구성되어 있다. 전기 바이어스(EB)는, 기판(W)에 이온을 인입하는 데 적합한 주파수를 갖는다. 전기 바이어스(EB)의 주파수는, 예컨대 100 ㎑ 이상, 40.68 ㎒ 이하이다. 전기 바이어스(EB)가 고주파 전력(HF)과 함께 이용되는 경우에는, 전기 바이어스(EB)는 고주파 전력(HF)의 주파수보다 낮은 주파수를 갖는다.
일 실시형태에서, 전기 바이어스(EB)는, 고주파 바이어스 전력(이하, 「고주파 전력(LF)」이라고 함)이어도 좋다. 이 실시형태에서, 바이어스 전원(64)은, 정합기(68) 및 전극 플레이트(16)를 통해 하부 전극(18)에 접속되어 있다. 정합기(68)는, 바이어스 전원(64)의 부하측[하부 전극(18)측]의 임피던스를, 바이어스 전원(64)의 출력 임피던스에 정합시키기 위한 회로를 갖고 있다. 또한, 플라즈마 처리 장치(1)는, 고주파 전력(LF)만을 이용하여 플라즈마를 생성하도록 구성되어 있어도 좋다. 이 경우에는, 바이어스 전원(64)은, 일 실시형태의 플라즈마 생성부를 구성한다. 이 경우에는, 플라즈마 처리 장치(1)는, 고주파 전원(62) 및 정합기(66)를 구비하고 있지 않아도 좋다.
일 실시형태에서, 전기 바이어스(EB)는, 부(負)의 직류 전압의 펄스여도 좋다. 이 실시형태에서, 부의 직류 전압의 펄스는, 주기적으로 하부 전극(18)에 인가된다.
플라즈마 처리 장치(1)는, 제어부(80)를 더 구비하고 있다. 제어부(80)는, 프로세서, 메모리와 같은 기억부, 입력 장치, 표시 장치, 신호의 입출력 인터페이스 등을 구비하는 컴퓨터일 수 있다. 제어부(80)는, 플라즈마 처리 장치(1)의 각부를 제어한다. 제어부(80)에서는, 오퍼레이터가, 플라즈마 처리 장치(1)를 관리하기 위해서 커맨드의 입력 조작 등을 입력 장치를 이용하여 행할 수 있다. 또한, 제어부(80)에서는, 표시 장치에 의해, 플라즈마 처리 장치(1)의 가동 상황을 가시화하여 표시할 수 있다. 또한, 제어부(80)의 기억부에는, 제어 프로그램 및 레시피 데이터가 저장되어 있다. 제어 프로그램은, 플라즈마 처리 장치(1)에서 각종 처리를 실행하기 위해서, 제어부(80)의 프로세서에 의해 실행된다. 제어부(80)의 프로세서가, 제어 프로그램을 실행하여, 레시피 데이터에 따라 플라즈마 처리 장치(1)의 각부를 제어함으로써, 방법(MT)의 적어도 일부의 공정 또는 모든 공정이, 플라즈마 처리 장치(1)에서 실행된다.
다시 도 1을 참조하여, 방법(MT)에 대해 상세히 설명한다. 이하, 플라즈마 처리 장치(1)를 이용하여 도 2에 도시된 기판(W)에 대해 방법(MT)이 적용되는 경우를 예로 들어, 방법(MT)에 대해 설명한다. 또한, 플라즈마 처리 장치(1)의 각부에 대한 제어부(80)의 제어에 대해서도 설명한다. 또한, 이하의 설명에서는, 도 1에 더하여, 도 4, 도 5, 도 6, 도 7, 및 도 8을 참조한다. 도 4는 도 1에 도시된 기판 처리 방법의 공정(ST2)이 적용된 후의 상태의 일례의 기판의 부분 확대 단면도이다. 도 5는 도 1에 도시된 기판 처리 방법에 관련된 일례의 타이밍 차트이다. 도 6은 도 1에 도시된 기판 처리 방법에 관련된 다른 예의 타이밍 차트이다. 도 7은 도 1에 도시된 기판 처리 방법에서 막이 측벽면 상에 형성된 상태의 일례의 기판의 부분 확대 단면도이다. 도 8은 도 1에 도시된 기판 처리 방법이 적용된 후의 상태의 일례의 기판의 부분 확대 단면도이다.
또한, 도 5 및 도 6의 각각에서, 전구체 가스의 「온(ON)」은, 전구체 가스가 챔버(10) 내에 공급되고 있는 것을 나타내고 있고, 전구체 가스의 「오프(OFF)」는, 전구체 가스가 챔버(10) 내에 공급되고 있지 않은 것을 나타내고 있다. 또한, 제1 가스의 「온」은, 제1 가스가 챔버(10) 내에 공급되고 있는 것을 나타내고 있고, 제1 가스의 「오프」는, 제1 가스가 챔버(10) 내에 공급되고 있지 않은 것을 나타내고 있다. 또한, 제2 가스의 「온」은, 제2 가스가 챔버(10) 내에 공급되고 있는 것을 나타내고 있고, 제2 가스의 「오프」는, 제2 가스가 챔버(10) 내에 공급되고 있지 않은 것을 나타내고 있다. 또한, 고주파 전력(HF)의 「온」은, 고주파 전력(HF)이 공급되고 있는 것을 나타내고 있고, 고주파 전력(HF)의 「오프」는, 고주파 전력(HF)이 공급되고 있지 않은 것을 나타내고 있다. 또한, 전기 바이어스(EB)의 「온」은, 전기 바이어스(EB)가 하부 전극(18)에 부여되고 있는 것을 나타내고 있고, 전기 바이어스(EB)의 「오프」는, 전기 바이어스(EB)가 하부 전극(18)에 부여되고 있지 않은 것을 나타내고 있다.
도 1에 도시된 바와 같이, 방법(MT)은, 공정(ST1)으로 개시한다. 공정(ST1)에서는, 기판(W)이 준비된다. 기판(W)은, 챔버(10) 내에서 기판 지지기(14) 상에 배치되고, 정전 척(20)에 의해 유지된다.
방법(MT)은, 공정(ST2)을 더 포함하고 있어도 좋다. 공정(ST2)에서는, 도 4에 도시된 바와 같이, 기판(W)의 막(EF)이, 에칭된다. 즉, 막(EF)은, 그 막 두께 방향에서 그 상면과 하면 사이의 위치까지 부분적으로 에칭된다.
공정(ST2)에서는, 에칭 가스가 챔버(10) 내에 공급된다. 에칭 가스는, 막(EF)의 막종에 따라 선택된다. 막(EF)이 실리콘 함유막인 경우에는, 에칭 가스는, 플루오로카본 가스, 하이드로플루오로카본 가스, 할로겐 함유 가스 등 중 하나 이상을 포함한다. 할로겐 함유 가스는, HBr, Cl2 등 중 하나 이상을 포함한다. 에칭 가스는, 희가스를 더 포함하고 있어도 좋다. 공정(ST2)에서는, 챔버(10) 내에서 에칭 가스로부터 플라즈마가 생성된다. 막(EF)은, 플라즈마로부터의 화학종에 의해 에칭된다. 공정(ST2)의 에칭에 의해, 오목부(OP)의 깊이는, 막(EF)의 내부의 위치까지 증가한다. 또한, 공정(ST2)의 에칭에 의해, 막(EF)은, 마스크(MK)와 함께, 오목부(OP)를 구획하는 측벽면(SS)을 제공한다.
공정(ST2)을 위해서, 제어부(80)는, 챔버(10) 내에 에칭 가스를 공급하도록, 가스 공급부(GS)를 제어한다. 또한, 제어부(80)는, 챔버(10) 내의 가스의 압력을 지정된 압력으로 설정하도록, 배기 장치(50)를 제어한다. 또한, 제어부(80)는, 에칭 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부를 제어한다. 플라즈마 처리 장치(1)에서는, 제어부(80)는, 고주파 전력(HF) 및/또는 전기 바이어스(EB)를 공급하도록, 고주파 전원(62) 및/또는 바이어스 전원(64)을 제어한다.
또한, 방법(MT)은, 공정(ST2)을 포함하고 있지 않아도 좋다. 이 경우에는, 도 4에 도시된 기판(W)이, 공정(ST1)에서 챔버(10) 내에서 기판 지지기(14) 상에 배치되고, 정전 척(20)에 의해 유지된다.
도 1, 도 5, 및 도 6에 도시된 바와 같이, 방법(MT)은, 공정(STa) 및 공정(STb)을 더 포함한다. 공정(STa)과 공정(STb)은, 교대로 반복된다. 즉, 공정(STa) 및 공정(STb)을 포함하는 사이클(CYA)이, 복수 회 실행된다. 공정(STa)과 공정(STb)의 교대의 반복은, 도 7에 도시된 바와 같이, 측벽면(SS) 상에 막(PF)을 형성하기 위해서 행해진다. 막(PF)은, 후술하는 공정(STc)의 에칭에서, 측벽면(SS)을 보호하는 보호막으로서 기능할 수 있다.
공정(STa)에서는, 챔버(10) 내에 전구체 가스가 공급된다. 전구체 가스는, 그것으로부터 막(PF)이 형성되는 전구체를 포함한다. 공정(ST2)에서, 전구체는, 기판(W)의 표면에 흡착된다. 기판(W)의 표면은, 측벽면(SS)을 포함한다. 전구체 가스는, 막(PF)의 재료에 의해 선택된다. 전구체 가스는, 예컨대, 아미노실란 가스와 같은 실리콘 함유 가스이다.
공정(STa)에서는, 전구체는, 기판(W)의 표면 전체에 흡착되어도 좋다. 혹은, 공정(STa)에서 기판(W)의 표면에 흡착되는 전구체의 양은, 기판(W)의 표면 상의 위치에 따라 변동하고 있어도 좋다. 예컨대, 기판(W)의 표면에 흡착되는 전구체의 양은, 기판(W) 내에서의 깊이 방향의 위치의 증가에 따라 기판(W)의 표면에 흡착되는 전구체의 양이 감소하는 것과 같은 분포를 갖고 있어도 좋다. 후자의 경우에는, 공정(STa)에서, (1)∼(5)의 조건으로 이루어지는 군으로부터 선택되는 적어도 하나의 조건이 만족된다. (1)의 조건에서는, 공정(STa)의 실행 중의 챔버(10) 중의 가스의 압력이, 다른 처리 조건이 동일한 경우에 전구체가 기판(W)의 표면 전체에 흡착되는 압력보다 낮은 압력으로 설정된다. (2)의 조건에서는, 공정(STa)의 처리 시간이, 다른 처리 조건이 동일한 경우에 전구체가 기판(W)의 표면 전체에 흡착되는 처리 시간보다 짧은 시간으로 설정된다. (3)의 조건에서는, 전구체 가스의 희석도가, 다른 처리 조건이 동일한 경우에 전구체가 기판(W)의 표면 전체에 흡착되는 희석도보다 높은 값으로 설정된다. (4)의 조건에서는, 공정(STa)의 실행 중의 기판 지지기(14)의 온도가, 다른 처리 조건이 동일한 경우에 전구체가 기판(W)의 표면 전체에 흡착되는 온도보다 낮은 온도로 설정된다. (5)의 조건은, 공정(STa)에서 플라즈마가 생성되는 경우에 적용될 수 있다. (5)의 조건에서는, 고주파 전력[고주파 전력(HF) 및/또는 전기 바이어스(EB)]의 절대값이, 다른 처리 조건이 동일한 경우에 전구체가 기판(W)의 표면 전체에 흡착되는 절대값보다 작은 값으로 설정된다.
공정(STa)을 위해서, 제어부(80)는, 챔버(10) 내에 전구체 가스를 공급하도록, 가스 공급부(GS)를 제어한다. 또한, 제어부(80)는, 챔버(10) 내의 가스의 압력을 지정된 압력으로 설정하도록, 배기 장치(50)를 제어한다. 공정(STa)에서, 제어부(80)는, 전구체 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부를 제어해도 좋다. 플라즈마 처리 장치(1)에서는, 제어부(80)는, 고주파 전력(HF) 및/또는 전기 바이어스(EB)를 공급하도록, 고주파 전원(62) 및/또는 바이어스 전원(64)을 제어해도 좋다.
도 1 및 도 5에 도시된 바와 같이, 방법(MT)은, 공정(STP1)을 더 포함하고 있어도 좋다. 공정(STP1)은, 공정(STa)과 공정(STb) 사이에서 실행된다. 공정(STP1)에서는, 챔버(10)의 내부 공간(10s)의 퍼지가 행해진다. 공정(STP1)에서는, 배기 장치(50)가 제어부(80)에 의해 제어되어, 챔버(10) 내의 가스가 배기된다. 또한, 공정(STP1)에서는, 기판(W)의 표면 상의 과잉의 전구체 및 기판(W)에 흡착되어 있지 않은 기상(氣相) 중의 전구체가, 제거될 수 있다. 또한, 도 6에 도시된 바와 같이, 방법(MT)은, 공정(STP1)을 포함하고 있지 않아도 좋다.
공정(STb)에서는, 제1 화학종 및 제2 화학종이, 기판(W)에 공급된다. 제1 화학종은, 측벽면(SS) 상에서 전구체로부터 막(PF)을 형성한다. 제1 화학종은, 제1 가스로부터 플라즈마를 생성함으로써, 생성된다. 제1 가스는, 예컨대, O2 가스와 같은 산소 함유 가스일 수 있다. 제1 가스가 산소 함유 가스인 경우에는, 제1 화학종으로서, 산소의 이온 및/또는 라디칼이 생성된다. 제1 가스가 산소 함유 가스이고, 전구체가 실리콘을 함유하는 경우에는, 막(PF)으로서, 실리콘산화막이 형성된다.
공정(STb)에서, 제2 화학종은 막(PF)의 두께의 증가를 억제한다. 제2 화학종은, 막(PF) 및/또는 전구체를 에칭하거나, 기판(W)의 표면 상에서의 막(PF)의 형성을 저해함으로써, 막(PF)의 두께의 증가를 억제한다. 제2 화학종은, 제2 가스로부터 플라즈마를 생성함으로써, 생성된다. 제2 화학종은, 할로겐 화학종이어도 좋다. 제2 화학종은, 예컨대 불소 화학종이다. 제2 화학종이 불소 화학종인 경우에는, 제2 가스는, CF4 등과 같은 플루오로카본, 하이드로플루오로카본, 삼불화질소(NF3), 및 육불화황(SF6)으로 이루어지는 군으로부터 선택되는 적어도 하나를 포함한다.
공정(STb)에서는, 기판(W)의 표면 상에서 전구체와 제1 화학종의 반응이 균 등하게 발생해도 좋다. 혹은, 공정(STb)에서, (1)∼(5)의 조건으로 이루어지는 군으로부터 선택되는 적어도 하나의 조건이 만족되어도 좋다. (1)의 조건에서는, 공정(STb)의 실행 중의 챔버(10) 중의 가스의 압력이, 다른 처리 조건이 동일한 경우에 제1 화학종과 기판(W)의 표면 상의 모든 전구체의 반응이 완료되는 압력보다 낮은 압력으로 설정된다. (2)의 조건에서는, 공정(STb)의 처리 시간이, 다른 처리 조건이 동일한 경우에 제1 화학종과 기판(W)의 표면 상의 모든 전구체의 반응이 완료되는 처리 시간보다 짧은 시간으로 설정된다. (3)의 조건에서는, 제1 가스의 희석도가, 다른 처리 조건이 동일한 경우에 제1 화학종과 기판(W)의 표면 상의 모든 전구체의 반응이 완료되는 희석도보다 높은 값으로 설정된다. (4)의 조건에서는, 공정(STb)의 실행 중의 기판 지지기(14)의 온도가, 다른 처리 조건이 동일한 경우에 제1 화학종과 기판(W)의 표면 상의 모든 전구체의 반응이 완료되는 온도보다 낮은 온도로 설정된다. (5)의 조건에서는, 고주파 전력[고주파 전력(HF) 및/또는 고주파 전력(LF)]의 절대값이, 다른 처리 조건이 동일한 경우에 제1 화학종과 기판(W)의 표면 상의 모든 전구체의 반응이 완료되는 절대값보다 작은 값으로 설정된다.
공정(STb)을 위해서, 제어부(80)는, 챔버(10) 내에 제1 가스 및 제2 가스를 공급하도록, 가스 공급부(GS)를 제어한다. 제1 가스의 유량과 제2 가스의 유량의 합에 대한 제2 가스의 유량의 비율은, 10% 이상, 90% 이하일 수 있다. 또한, 제어부(80)는, 챔버(10) 내의 가스의 압력을 지정된 압력으로 설정하도록, 배기 장치(50)를 제어한다. 또한, 제어부(80)는, 제1 가스 및 제2 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부를 제어한다. 플라즈마 처리 장치(1)에서는, 제어부(80)는, 고주파 전력(HF) 및/또는 전기 바이어스(EB)를 공급하도록, 고주파 전원(62) 및/또는 바이어스 전원(64)을 제어한다. 고주파 전력(HF)이 이용되는 경우에는, 그 전력 레벨은, 100 W 이상일 수 있다. 고주파 전력(LF)이 이용되는 경우에는, 그 전력 레벨은, 0 W보다 크고, 1000 W 이하일 수 있다. 전기 바이어스(EB)가 이용되는 경우에는, 펄스형의 전기 바이어스(EB)가 공정(STb)에서 단속적으로 또는 주기적으로 공급되어도 좋다.
도 1 및 도 5에 도시된 바와 같이, 방법(MT)은, 공정(STP2)을 더 포함하고 있어도 좋다. 공정(STP2)은, 공정(STb)과 공정(STa) 사이에서 실행된다. 공정(STP2)은, 공정(STP1)과 동일한 공정이다. 또한, 도 6에 도시된 바와 같이, 방법(MT)은, 공정(STP2)을 포함하고 있지 않아도 좋다.
공정(STJ1)에서는, 정지 조건이 만족되는지의 여부가 판정된다. 정지 조건은, 예컨대, 공정(STa)과 공정(STb)의 교대의 반복 횟수[사이클(CYA)의 횟수]가, 소정 횟수에 도달하고 있는 경우에 만족된다. 공정(STJ1)에서 정지 조건이 만족되어 있지 않다고 판정되면, 다시 공정(STa)으로부터의 처리가 실행된다. 한편, 공정(STJ1)에서 정지 조건이 만족되어 있는 것으로 판정되면, 처리는 공정(STc)으로 천이할 수 있다.
공정(STc)에서는, 오목부(OP)의 깊이를 증가시키기 위해서 막(EF)이 더욱 에칭된다. 공정(STc)에서는, 에칭 가스가 챔버(10) 내에 공급된다. 에칭 가스는, 공정(ST2)에 관련된 전술한 에칭 가스와 동일한 가스일 수 있다. 공정(STc)에서는, 챔버(10) 내에서 에칭 가스로부터 플라즈마가 생성된다. 막(EF)은, 플라즈마로부터의 화학종에 의해 에칭된다.
공정(STc)을 위해서, 제어부(80)는, 챔버(10) 내에 에칭 가스를 공급하도록, 가스 공급부(GS)를 제어한다. 또한, 제어부(80)는, 챔버(10) 내의 가스의 압력을 지정된 압력으로 설정하도록, 배기 장치(50)를 제어한다. 또한, 제어부(80)는, 에칭 가스로부터 플라즈마를 생성하도록, 플라즈마 생성부를 제어한다. 플라즈마 처리 장치(1)에서는, 제어부(80)는, 고주파 전력(HF) 및/또는 전기 바이어스(EB)를 공급하도록, 고주파 전원(62) 및/또는 바이어스 전원(64)을 제어한다.
방법(MT)은, 공정(STc)을 1회 실행한 후에, 종료해도 좋다. 혹은, 복수 회의 사이클(CYA)과 공정(STc)을 포함하는 사이클(CYB)이, 복수 회 실행되어도 좋다. 후자의 경우에는, 방법(MT)은, 공정(STJ2)을 포함한다. 공정(STJ2)에서는, 정지 조건이 만족되는지의 여부가 판정된다. 정지 조건은, 예컨대, 사이클(CYB)의 횟수가, 소정 횟수에 도달하고 있는 경우에 만족된다. 공정(STJ2)에서 정지 조건이 만족되어 있지 않다고 판정되면, 사이클(CYB)이 다시 실행된다. 한편, 공정(STJ2)에서 정지 조건이 만족되어 있는 것으로 판정되면, 방법(MT)은 종료한다. 방법(MT)의 종료 시에는, 막(EF)은, 도 8에 도시된 바와 같이, 하지 영역(UR)이 노출될 때까지 에칭된 상태가 될 수 있다.
방법(MT)에서는, 공정(STc)의 에칭이 행해지고 있을 때에는, 측벽면(SS)은 막(PF)에 의해 보호된다. 따라서, 공정(STc)의 에칭에 의해, 오목부(OP)가 가로 방향으로 넓어지는 것이 억제된다.
또한, 방법(MT)에서는, 전구체와 제1 화학종의 반응에 의해 측벽면(SS) 상에 막(PF)이 형성된다. 제2 화학종은, 막(PF)의 두께의 증가를, 특히 오목부(OP)의 개구(즉, 개구단)의 부위에서 억제한다. 따라서, 방법(MT)에 의하면, 막(PF)에 의한 오목부(OP)의 개구의 폐색이 억제된다.
또한, 방법(MT)에서는, 막(PF)이 형성되는 오목부(OP) 내의 깊이 방향의 위치(MP)가, 제2 가스의 유량의 조정 및 전기 바이어스의 크기의 조정 중 한쪽 또는 양쪽에 의해, 조정될 수 있다. 위치(MP)는, 막(PF)이 측벽면(SS) 상에서 최대의 막 두께를 갖는 위치로서 정의될 수 있다. 구체적으로, 방법(MT)에서는, 막(PF)이 형성되는 오목부(OP) 내의 깊이 방향의 위치(MP)를, 공정(STb)에서 이용되는 제2 가스의 유량의 증가에 따라, 오목부(OP)의 깊이 방향에서 보다 깊은 위치로 조정하는 것이 가능하다. 또한, 공정(STb)에서 이용되는 제2 가스의 유량이 비교적 큰 경우에는, 제1 화학종이 제2 화학종과의 반응에 이용되는 결과, 오목부(OP)의 깊은 개소에서의 막(PF)의 형성이 억제된다.
또한, 방법(MT)에서는, 막(PF)이 형성되는 오목부(OP) 내의 깊이 방향의 위치(MP)를, 공정(STb)에서 이용되는 전기 바이어스(EB)의 크기의 증가에 따라, 오목부(OP)의 깊이 방향으로 보다 깊은 위치로 조정하는 것이 가능하다. 또한, 전기 바이어스(EB)의 크기의 조정은, 제2 가스의 유량의 조정에 비교하여, 위치(MP)를 보다 깊은 위치를 포함하는 범위에서 조정하는 것을 가능하게 한다. 전기 바이어스(EB)의 크기가 커지면, 오목부(OP)의 개구 부근에서는, 막(PF)의 에칭이 발생하여, 제1 화학종이 오목부(OP)의 깊은 영역에 도달하여 전구체를 개질한다. 또한, 전기 바이어스(EB)의 크기는, 고주파 전력(LF)의 전력 레벨 또는 부의 직류 전압의 펄스의 전압 레벨의 절대값이다.
일 실시형태에서는, 전기 바이어스(EB)의 크기가, 공정(STa)과 공정(STb)의 교대의 반복, 즉 복수 회의 사이클(CYA)에서, 변경되어도 좋다. 이 실시형태에 의하면, 공정(STa)과 공정(STb)의 교대의 반복에 있어서, 막(PF)이 형성되는 오목부(OP) 내의 깊이 방향의 위치(MP)를 변경하는 것이 가능해진다.
일 실시형태에서, 복수 회의 사이클(CYB)은, 제1 사이클 및 제2 사이클을 포함한다. 제1 사이클 및 제2 사이클은, 순서대로 실행된다. 제1 사이클 내의 공정(STb)에서 하부 전극(18)에 부여되는 전기 바이어스(EB)의 크기는, 제2 사이클 내의 공정(STb)에서 하부 전극(18)에 부여되는 전기 바이어스(EB)의 크기와 상이해도 좋다.
일 실시형태에서는, 제2 사이클 내의 공정(STb)에서 이용되는 전기 바이어스(EB)의 크기는, 제1 사이클에서 이용되는 전기 바이어스(EB)의 크기보다 커도 좋다. 예컨대, 복수 회의 사이클(CYB)의 실행 횟수의 증가에 따라, 공정(STb)에서 이용되는 전기 바이어스(EB)의 크기가, 증가되어도 좋다. 이 실시형태에 의하면, 오목부(OP)의 깊이에 따라 오목부(OP) 내의 보다 깊은 개소에 막(PF)을 형성하는 것이 가능해진다.
일 실시형태에서는, 도 5에 도시된 바와 같이, 제1 가스 및 제2 가스는, 공정(STa) 및 공정(STb)의 교대의 반복이 행해지고 있는 동안, 연속적으로 챔버(10) 내에 공급되어도 좋다. 이 실시형태에서는, 제1 가스 및 제2 가스의 플라즈마는, 공정(STb)이 행해지는 기간에서만, 생성된다. 혹은, 도 6에 도시된 바와 같이, 제1 가스 및 제2 가스는, 공정(STb)이 행해지는 기간에서만, 공급되어도 좋다. 또한, 도 6에 도시된 예에서도, 방법(MT)은, 도 5에 도시된 예와 마찬가지로 공정(STP1) 및 공정(STP2)을 포함하고 있어도 좋다.
이하, 도 9를 참조한다. 도 9는 도 1에 도시된 기판 처리 방법에서 공정(STa) 및 공정(STb)의 교대의 반복이 적용되기 전의 상태의 일례의 기판의 부분 확대 단면도이다. 일 실시형태에서는, 공정(STa)과 공정(STb)의 교대의 반복이 기판(W)에 대해 적용되기 전에, 도 9에 도시된 바와 같이, 퇴적물(DP)이 기판(W)의 측벽면(SS) 상에 존재하고 있어도 좋다. 예컨대, 퇴적물(DP)은, 마스크(MK)의 상부 위에, 또한, 오목부(OP)의 개구의 부근에서 측벽면(SS) 상에 존재할 수 있다. 퇴적물(DP)은, 공정(ST2)의 에칭에 의해 발생하는 부생성물이다. 막(EF)이 전술한 바와 같이 실리콘 함유막인 경우에는, 퇴적물(DP)은 실리콘을 함유할 수 있다. 퇴적물(DP)은, 오목부(OP)의 개구의 근방에서 측벽면(SS) 상에 형성되어 있어도 좋다.
일 실시형태의 공정(STb)에서는, 마스크(MK)의 상부 위 및 오목부(OP)의 개구의 부근에서 측벽면(SS) 상에 존재하는 퇴적물(DP)이, 도 7 및 도 8에 도시된 바와 같이, 측벽면(SS)을 막(PF)에 의해 보호하면서 에칭(제거)된다. 또한, 공정(STb)에서는, 측벽면(SS)이 막(PF)에 의해 보호된 상태에서, 오목부(OP)의 개구의 부근에서 막(PF)이 에칭된다. 퇴적물(DP)이 실리콘을 함유하는 경우에는, 공정(STb)에서 이용되는 처리 가스는, 제1 가스로서 산소 함유 가스를 포함하고, 제2 가스로서 불소 함유 가스를 포함한다. 산소 함유 가스는, 예컨대 O2 가스이다. 불소 함유 가스는, CF4 등과 같은 플루오로카본, 하이드로플루오로카본, 삼불화질소, 및 육불화황으로 이루어지는 군으로부터 선택되는 적어도 하나를 포함한다. 일 실시형태에서는, 공정(STb)에서 이용되는 처리 가스에서의 불소 함유 가스의 유량은, 상기 처리 가스에서의 산소 함유 가스의 유량보다 많다. 이러한 방법(MT)에 의하면, 퇴적물(DP)에 의한 오목부(OP)의 개구의 폐색을 억제하면서, 측벽면(SS)을 막(PF)에 의해 보호하는 것이 가능해진다.
이하, 도 10을 참조한다. 도 10은 하나의 예시적 실시형태에 따른 기판 처리 시스템을 도시한 도면이다. 방법(MT)에서는, 도 10에 도시된 기판 처리 시스템(PS)이 이용되어도 좋다.
기판 처리 시스템(PS)은, 대(2a∼2d), 용기(4a∼4d), 로더 모듈(LM), 얼라이너(AN), 로드록 모듈(LL1, LL2), 프로세스 모듈(PM1∼PM6), 반송 모듈(TF), 및 제어부(MC)를 구비하고 있다. 또한, 기판 처리 시스템(PS)에서의 대의 개수, 용기의 개수, 로드록 모듈의 개수는 하나 이상의 임의의 개수일 수 있다. 또한, 기판 처리 시스템(PS)에서의 프로세스 모듈의 개수는, 2 이상의 임의의 개수일 수 있다.
대(2a∼2d)는, 로더 모듈(LM)의 일 가장자리를 따라 배열되어 있다. 용기(4a∼4d)는 각각, 대(2a∼2d) 상에 탑재되어 있다. 용기(4a∼4d)의 각각은, 예컨대, FOUP(Front Opening Unified Pod)라고 칭해지는 용기이다. 용기(4a∼4d)의 각각은, 그 내부에 기판(W)을 수용하도록 구성되어 있다.
로더 모듈(LM)은, 챔버를 갖는다. 로더 모듈(LM)의 챔버 내의 압력은, 대기압으로 설정된다. 로더 모듈(LM)은, 반송 장치(TU1)를 갖는다. 반송 장치(TU1)는, 예컨대 다관절 로봇이고, 제어부(MC)에 의해 제어된다. 반송 장치(TU1)는, 로더 모듈(LM)의 챔버를 통해 기판(W)을 반송하도록 구성되어 있다. 반송 장치(TU1)는, 용기(4a∼4d)의 각각과 얼라이너(AN) 사이, 얼라이너(AN)와 로드록 모듈(LL1, LL2)의 각각의 사이, 로드록 모듈(LL1, LL2)의 각각과 용기(4a∼4d)의 각각의 사이에서, 기판(W)을 반송할 수 있다. 얼라이너(AN)는, 로더 모듈(LM)에 접속되어 있다. 얼라이너(AN)는, 기판(W)의 위치의 조정(위치의 교정)을 행하도록 구성되어 있다.
로드록 모듈(LL1) 및 로드록 모듈(LL2)의 각각은, 로더 모듈(LM)과 반송 모듈(TF) 사이에 설치되어 있다. 로드록 모듈(LL1) 및 로드록 모듈(LL2)의 각각은, 예비 감압실을 제공하고 있다.
반송 모듈(TF)은, 로드록 모듈(LL1) 및 로드록 모듈(LL2)의 각각에 게이트 밸브를 통해 접속되어 있다. 반송 모듈(TF)은, 감압 가능한 반송 챔버(TC)를 갖고 있다. 반송 모듈(TF)은, 반송 장치(TU2)를 갖고 있다. 반송 장치(TU2)는, 예컨대, 다관절 로봇이고, 제어부(MC)에 의해 제어된다. 반송 장치(TU2)는, 반송 챔버(TC)를 통해 기판(W)을 반송하도록 구성되어 있다. 반송 장치(TU2)는, 로드록 모듈(LL1, LL2)의 각각과 프로세스 모듈(PM1∼PM6)의 각각의 사이, 및 프로세스 모듈(PM1∼PM6) 중 임의의 2개의 프로세스 모듈 사이에서, 기판(W)을 반송할 수 있다.
프로세스 모듈(PM1∼PM6)의 각각은, 전용의 기판 처리를 행하도록 구성된 장치이다. 프로세스 모듈(PM1∼PM6) 중 하나의 프로세스 모듈은, 공정(ST2) 및 공정(STc)에서 이용되는 플라즈마 처리 장치이다. 프로세스 모듈(PM1∼PM6) 중 다른 프로세스 모듈이, 공정(STc)에서 이용되는 플라즈마 처리 장치여도 좋다. 공정(ST2) 및 공정(STc)의 각각에서 이용되는 플라즈마 처리 장치는, 플라즈마 처리 장치(1)와 동일한 구성을 갖고 있어도 좋다.
프로세스 모듈(PM1∼PM6) 중 또 다른 프로세스 모듈은, 공정(STa) 및 공정(STb)의 교대의 반복에 이용되는 플라즈마 처리 장치이다. 공정(STa) 및 공정(STb)의 교대의 반복에 이용되는 플라즈마 처리 장치는, 플라즈마 처리 장치(1)와 동일한 구성을 갖고 있어도 좋다.
기판 처리 시스템(PS)에서, 제어부(MC)는, 기판 처리 시스템(PS)의 각부를 제어하도록 구성되어 있다. 제어부(MC)는, 공정(ST2)에서 막(EF)을 에칭하도록, 플라즈마 처리 장치의 각부를 제어한다. 제어부(MC)는, 공정(STa) 및 공정(STb)의 교대의 반복에 있어서, 측벽면(SS) 상에 막(PF)을 형성하도록, 플라즈마 처리 장치의 각부를 제어한다. 제어부(MC)는, 공정(STc)에서 막(EF)을 에칭하도록, 플라즈마 처리 장치의 각부를 제어한다. 이들 공정의 각각에서의 기판 처리 시스템(PS)의 플라즈마 처리 장치의 제어에 대해서는, 전술한 제어부(80)에 의한 플라즈마 처리 장치(1)의 각부의 제어와 동일하다. 이 기판 처리 시스템(PS)은, 방법(MT)의 실행 중에 프로세스 모듈 사이에서 기판(W)을 대기에 접촉시키지 않고 반송할 수 있다.
이상, 여러 가지 예시적 실시형태에 대해 설명해 왔으나, 전술한 예시적 실시형태에 한정되지 않고, 여러 가지 추가, 생략, 치환, 및 변경이 이루어져도 좋다. 또한, 상이한 실시형태에서의 요소를 조합하여 다른 실시형태를 형성하는 것이 가능하다.
예컨대, 방법(MT)의 실행에 이용되는 플라즈마 처리 장치는, 플라즈마 처리 장치(1)와는 상이한 용량 결합형의 플라즈마 처리 장치여도 좋다. 방법(MT)의 실행에 이용되는 플라즈마 처리 장치는, 용량 결합형과는 상이한 타입의 플라즈마 처리 장치여도 좋다. 그러한 플라즈마 처리 장치는, 예컨대, 유도 결합형의 플라즈마 처리 장치, 전자 사이클로트론 공명(ECR) 플라즈마 처리 장치, 또는 마이크로파와 같은 표면파에 의해 가스로부터 플라즈마를 생성하는 플라즈마 처리 장치이다.
또한, 공정(ST2)과 같이 막(EF)을 부분적으로 에칭한 후에, 광학적으로 패턴 형상을 계측하는 시스템을 이용하여, 막(PF)의 형성 전의 오목부(OP)의 폭[성막(成膜) 전의 CD]을 계측하여, 필요한 막(PF)의 성막량을 산출해도 좋다. 그리고, 산출한 성막량에 따라, 막(PF)의 성막 조건이 결정되어도 좋다. 성막 조건은, 사이클(CYA)의 횟수, 가스의 유량(제1 가스의 유량 및 제2 가스의 유량), 및 전기 바이어스(EB)의 크기를 포함한다. 사이클(CYA)의 횟수는, 1회의 사이클(CYA)당의 막(PF)의 성막량에 기초하여 결정될 수 있다. 가스의 유량 및/또는 전기 바이어스(EB)는, 가스의 유량 및/또는 전기 바이어스(EB)에 대한 막(PF)의 성막 위치[위치(MP)] 또는 막(PF)의 성막량의 분포의 관계를 미리 취득해 두고, 상기 관계에 기초하여 원하는 막(PF)의 성막량의 분포가 얻어지도록, 결정될 수 있다.
또한, 광학적으로 패턴 형상을 계측하는 시스템을 이용하여, 막(PF)의 형성 후의 오목부(OP)의 폭(성막 후의 CD), 및 막(PF)의 성막량 및/또는 막(PF)의 성막 위치를, 이들의 원하는 값과 비교해도 좋다. 막(PF)의 형성 후의 오목부(OP)의 폭(성막 후의 CD), 및 막(PF)의 성막량 및/또는 막(PF)의 성막 위치가 이들의 원하는 값에 대해 허용할 수 없는 오차를 갖는 경우에는, 성막 조건이 보정되어도 좋다. 방법(MT)에서는, 보정된 성막 조건하에서, 다음 기판이 처리될 수 있다.
광학적으로 패턴 형상을 계측하는 시스템은, 기판 처리 시스템 내에 편입되어 있어도 좋다. 일례에서, 광학적으로 패턴 형상을 계측하는 시스템은, 기판 처리 시스템(PS)의 로드 포트[대(2a∼2d)와 용기(4a∼4d)를 포함하는 장치]에 접속되어 있어도 좋다. 혹은, 광학적으로 패턴 형상을 계측하는 시스템은, 반송 챔버(TC)에 접속되어 있어도 좋다. 광학적으로 패턴 형상을 계측하는 시스템은, 기판 처리 시스템(PS)으로부터 독립된 시스템이어도 좋다.
이하, 방법(MT)의 평가를 위해서 행한 몇 가지의 실험에 대해 설명한다. 이하에 설명하는 실험은, 본 개시를 한정하는 것이 아니다.
(제1∼제4 실험)
제1∼제4 실험에서는, 도 4에 도시된 기판(W)의 구조와 동일한 구조를 갖는 복수의 샘플 기판을 준비하였다. 복수의 샘플 기판의 각각에서, 마스크(MK)는, 다결정 실리콘으로 형성되어 있고, 약 300 ㎚(0.3 ㎛)의 두께를 갖고 있었다. 복수의 샘플 기판의 각각에서, 막(EF)은, 실리콘산화막으로 형성되어 있었다. 오목부(OP)는, 약 2000 ㎚(2.0 ㎛)의 깊이를 갖고 있었다. 제1∼제4 실험에서는, 공정(STa) 및 공정(STb)의 교대의 반복을 복수의 샘플 기판에 적용하여, 측벽면(SS) 상에 막(PF)을 형성하였다. 제1 가스로서는, O2 가스를 이용하고, 제2 가스로서는, CF4 가스를 이용하였다. 제1∼제4 실험의 공정(STb)에서의 제2 가스의 유량은 각각, 0 sccm, 50 sccm, 100 sccm, 150 sccm이었다. 제1∼제4 실험의 다른 조건을 이하에 나타낸다.
<제1∼제4 실험의 조건>
공정(STa)
전구체 가스를 포함하는 처리 가스: 아미노실란 가스, O2 가스, 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 2초
공정(STP1)
챔버(10) 내에 공급한 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 1.5초
공정(STb)
제1 가스 및 제2 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
고주파 전력(HF): 0 W
고주파 전력(LF): 40 ㎒, 150 W
처리 시간: 2초
공정(STP2)
챔버(10) 내에 공급한 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 1초
공정(STa)과 공정(STb)의 교대의 반복 횟수: 50회
제1∼제4 실험에서는, 막(PF)의 형성 전의 오목부(OP)의 폭과 막(PF)의 형성 후의 오목부(OP)의 폭의 차, 즉 ΔCD의 오목부(OP)에서의 깊이 방향의 분포를 구하였다. 또한, ΔCD는, 막(PF)의 두께를 반영한다. 도 11의 (a), 도 11의 (b), 도 11의 (c), 도 11의 (d)는 각각, 제1∼제4 실험에서 구한 ΔCD의 깊이 방향의 분포를 도시한 그래프이다. 이들 도면에서 종축의 Z는, 오목부(OP)에서의 깊이 방향의 위치를 나타내고 있다. Z가 0.0 ㎛인 위치는, 마스크(MK)의 상면의 위치이다. Z의 부의 값의 절대값은, 마스크(MK)의 상면으로부터의 깊이 방향의 거리를 나타내고 있다. 공정(STb)에서 제2 가스가 공급되지 않는 경우에는, 도 11의 (a)에 도시된 바와 같이, 오목부(OP)의 개구의 근방에서 막(PF)의 두께가 커지고 있었다. 한편, 도 11의 (b), 도 11의 (c), 및 도 11의 (d)에 도시된 바와 같이, 공정(STb)에서 제2 가스에서 유래하는 제2 화학종을 기판에 공급함으로써, 오목부(OP)의 개구의 부위에서의 막(PF)의 두께를 작게 하는 것이 가능한 것이 확인되었다. 즉, 공정(STb)에서 제2 가스를 챔버 내에 공급하고, 제2 화학종을 기판에 공급함으로써, 막(PF)에 의한 오목부(OP)의 폐색을 억제하는 것이 가능한 것이 확인되었다. 또한, 공정(STb)에서 이용되는 제2 가스의 유량이 클수록, 오목부(OP) 내에서 막(PF)이 형성되는 위치는, 보다 깊은 위치로 조정되는 것이 확인되었다. 또한, 도 11의 (d)에 도시된 바와 같이, 공정(STb)에서 이용되는 제2 가스의 유량이 비교적 큰 유량인 경우에는, 오목부(OP)의 깊은 위치에서의 막(PF)의 형성이 억제되는 것이 확인되었다.
(제5∼제7 실험)
제5∼제7 실험에서는, 도 4에 도시된 기판(W)의 구조와 동일한 구조를 갖는 복수의 샘플 기판을 준비하였다. 복수의 샘플 기판의 각각에서, 마스크(MK)는, 다결정 실리콘으로 형성되어 있고, 약 300 ㎚(0.3 ㎛)의 두께를 갖고 있었다. 복수의 샘플 기판의 각각에서, 막(EF)은, 실리콘산화막으로 형성되어 있었다. 오목부(OP)는, 약 2000 ㎚(2.0 ㎛)의 깊이를 갖고 있었다. 제5∼제7 실험에서는, 공정(STa) 및 공정(STb)의 교대의 반복을 복수의 샘플 기판에 적용하여, 측벽면(SS) 상에 막(PF)을 형성하였다. 제1 가스로서는, O2 가스를 이용하고, 제2 가스로서는, CF4 가스를 이용하였다. 제5∼제7 실험의 공정(STb)에서의 고주파 전력(LF)의 크기는, 150 W, 250 W, 500 W였다. 제5∼제7 실험의 다른 조건을 이하에 나타낸다.
<제5∼제7 실험의 조건>
공정(STa)
전구체 가스를 포함하는 처리 가스: 아미노실란 가스, O2 가스, 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 2초
공정(STP1)
챔버(10) 내에 공급한 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 1.5초
공정(STb)
제1 가스 및 제2 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
고주파 전력(HF): 0 W
고주파 전력(LF): 40 ㎒
처리 시간: 2초
공정(STP2)
챔버(10) 내에 공급한 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 1초
공정(STa)과 공정(STb)의 교대의 반복 횟수: 50회
제5∼제7 실험에서는, 제1∼제4 실험과 마찬가지로, ΔCD의 오목부(OP)에서의 깊이 방향의 분포를 구하였다. 도 12의 (a), 도 12의 (b), 도 12의 (c)는 각각, 제5∼제7 실험에서 구한 ΔCD의 깊이 방향의 분포를 도시한 그래프이다. 도 12의 (a), 도 12의 (b), 및 도 12의 (c)에 도시된 바와 같이, 공정(STb)에서 이용되는 고주파 전력(LF)[즉, 전기 바이어스(EB)]의 크기가 클수록, 오목부(OP) 내의 보다 깊은 위치에 막(PF)이 형성되는 것이 확인되었다. 또한, 전기 바이어스(EB)의 크기의 조정은, 제2 가스의 유량의 조정에 비교하여, 막(PF)이 형성되는 위치[위치(MP)]를, 보다 깊은 위치를 포함하는 범위에서 조정하는 것을 가능하게 하는 것이 확인되었다.
(제8∼제10 실험)
제8∼제10 실험에서는, 도 4에 도시된 기판(W)의 구조와 동일한 구조를 갖는 복수의 샘플 기판을 준비하였다. 복수의 샘플 기판의 각각에서, 마스크(MK)는, 다결정 실리콘으로 형성되어 있고, 약 300 ㎚(0.3 ㎛)의 두께를 갖고 있었다. 복수의 샘플 기판의 각각에서, 막(EF)은, 실리콘산화막으로 형성되어 있었다. 오목부(OP)는, 약 2000 ㎚(2.0 ㎛)의 깊이를 갖고 있었다. 제8∼제10 실험에서는, 산화실리콘으로 형성된 퇴적물(DP)을 복수의 샘플 기판의 각각의 측벽면(SS) 상에 플라즈마 CVD에 의해 형성하여, 도 9에 도시된 기판(W)과 동일한 구조의 샘플 기판을 얻었다. 그리고, 제8∼제10 실험에서는, 공정(STa) 및 공정(STb)의 교대의 반복을 복수의 샘플 기판에 적용하여, 측벽면(SS) 상에 막(PF)을 형성하였다. 제1 가스로서는, O2 가스를 이용하고, 제2 가스로서는, CF4 가스를 이용하였다. 제8∼제10 실험의 공정(STb)에서 이용한 처리 가스에 있어서, CF4 가스의 유량은 O2 가스의 유량보다 많았다. 구체적으로, 제8 실험의 공정(STb)에서 이용한 처리 가스 중의 O2 가스의 유량과 CF4 가스의 유량은, 170 sccm과 280 sccm이었다. 또한, 제9 실험의 공정(STb)에서 이용한 처리 가스 중의 O2 가스의 유량과 CF4 가스의 유량은, 100 sccm과 280 sccm이었다. 또한, 제10 실험의 공정(STb)에서 이용한 처리 가스 중의 O2 가스의 유량과 CF4 가스의 유량은, 20 sccm과 280 sccm이었다. 제8∼제10 실험의 다른 조건을 이하에 나타낸다.
<제8∼제10 실험의 조건>
공정(STa)
전구체 가스를 포함하는 처리 가스: 아미노실란 가스, O2 가스, 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 2초
공정(STP1)
챔버(10) 내에 공급한 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 1.5초
공정(STb)
제1 가스 및 제2 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
고주파 전력(HF): 0 W
고주파 전력(LF):40 ㎒, 250 W
처리 시간: 2초
공정(STP2)
챔버(10) 내에 공급한 가스: O2 가스 및 CF4 가스의 혼합 가스
챔버(10) 내의 가스의 압력: 10 mTorr(1.333 ㎩)
처리 시간: 1초
공정(STa)과 공정(STb)의 교대의 반복 횟수: 50회
제8∼제10 실험에서는, 제1∼제7 실험과 마찬가지로, ΔCD의 오목부(OP)에서의 깊이 방향의 분포를 구하였다. 도 13의 (a), 도 13의 (b), 도 13의 (c)는 각각, 제8∼제10 실험에서 구한 ΔCD의 깊이 방향의 분포를 도시한 그래프이다. 도 13의 (a), 도 13의 (b), 및 도 13의 (c)에 도시된 바와 같이, 제8∼제10 실험에서 얻어진 ΔCD는, Z가 0.0 ㎛인 위치, 즉, 오목부(OP)의 개구 근방의 깊이 방향의 위치에서 부의 값을 갖고 있었다. 이 점으로부터, 처리 가스 중의 CF4 가스의 유량이 O2 가스의 유량보다 많은 경우에는, 퇴적물(DP)이 에칭되는 것이 확인되었다. 또한, 제8∼제10 실험에서 얻어진 ΔCD는, 오목부(OP)의 개구보다 깊은 위치에서는 0 이상이었다. 이 점으로부터, 제8∼제10 실험에서는, 측벽면(SS)이 보호되어 있었던 것이 확인되었다. 또한, 처리 가스 중의 CF4 가스의 유량이 O2 가스의 유량에 대해 많아질수록, 형성되는 막(PF)의 두께가 작아지는 것이 확인되었다.
이상의 설명으로부터, 본 개시의 여러 가지 실시형태는, 설명의 목적으로 본 명세서에서 설명되어 있고, 본 개시의 범위 및 주지로부터 일탈하지 않고 여러 가지 변경을 이룰 수 있는 것이, 이해될 것이다. 따라서, 본 명세서에 개시한 여러 가지 실시형태는 한정하는 것을 의도하고 있지 않고, 참된 범위와 주지는, 첨부된 특허청구의 범위에 의해 나타난다.

Claims (17)

  1. (a) 기판의 측벽면에 전구체를 흡착시키는 공정으로서, 상기 측벽면은 상기 기판에서 오목부를 구획하는, 상기 흡착 공정과,
    (b) 상기 기판에 제1 화학종 및 제2 화학종을 공급하는 공정으로서, 상기 제1 화학종은 상기 측벽면 상에서 상기 전구체로부터 막을 형성하고, 상기 제2 화학종은 상기 막의 두께의 증가를 억제하는, 상기 공급 공정
    을 포함하고,
    상기 (a)와 상기 (b)가 교대로 반복되는 것인 기판 처리 방법.
  2. 제1항에 있어서, 상기 제2 화학종은 할로겐 화학종인 것인 기판 처리 방법.
  3. 제2항에 있어서, 상기 제2 화학종은 불소 화학종인 것인 기판 처리 방법.
  4. 제3항에 있어서, 상기 제2 화학종은, 플루오로카본, 하이드로플루오로카본, 삼불화질소, 및 육불화황으로 이루어지는 군으로부터 선택되는 적어도 하나로부터 생성되는 것인 기판 처리 방법.
  5. 제2항 내지 제4항 중 어느 한 항에 있어서, 상기 전구체가 실리콘을 함유하는 것인 기판 처리 방법.
  6. 제1항에 있어서, 상기 측벽면 상의 퇴적물이 상기 (b)에서 에칭되는 것인 기판 처리 방법.
  7. 제6항에 있어서, 상기 (a)와 상기 (b)가 교대로 반복되기 전에, 상기 오목부를 형성하도록 상기 기판의 에칭막을 에칭하는 공정으로서, 상기 에칭막의 에칭에 의해 상기 측벽면 상에 상기 퇴적물이 형성되는, 상기 에칭 공정을 더 포함하는 기판 처리 방법.
  8. 제6항 또는 제7항에 있어서, 상기 퇴적물 및 상기 전구체는 실리콘을 함유하고,
    상기 제1 화학종은 산소 함유 가스로 형성되는 플라즈마로부터 공급되며,
    상기 제2 화학종은 불소 함유 가스로 형성되는 플라즈마로부터 공급되는 것인 기판 처리 방법.
  9. 제8항에 있어서, 상기 (b)에서, 상기 산소 함유 가스 및 상기 불소 함유 가스를 포함하는 처리 가스로부터 플라즈마가 생성되고, 상기 처리 가스에서의 상기 불소 함유 가스의 유량이 상기 처리 가스에서의 상기 산소 함유 가스의 유량보다 많은 것인 기판 처리 방법.
  10. 제8항 또는 제9항에 있어서, 상기 불소 함유 가스는 플루오로카본, 하이드로플루오로카본, 삼불화질소, 및 육불화황으로 이루어지는 군으로부터 선택되는 적어도 하나를 포함하는 것인 기판 처리 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 (b)에서, 상기 기판을 지지하는 기판 지지기의 하부 전극에 전기 바이어스가 부여되는 것인 기판 처리 방법.
  12. 제11항에 있어서, 상기 (a)와 상기 (b)의 교대의 반복에 있어서, 상기 전기 바이어스의 크기가 변경되는 것인 기판 처리 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, (c) 상기 (a)와 상기 (b)의 교대의 반복 후에, 상기 오목부의 깊이를 증가시키도록 상기 기판을 에칭하는 공정을 더 포함하는 기판 처리 방법.
  14. 제13항에 있어서, 각각이 상기 (a)와 상기 (b)의 교대의 반복 및 상기 (c)를 포함하는 제1 사이클 및 제2 사이클이 순서대로 실행되고,
    상기 제1 사이클 내의 상기 (b)에서, 상기 기판을 지지하는 기판 지지기의 하부 전극에 부여되는 전기 바이어스의 크기가 상기 제2 사이클 내의 상기 (b)에서, 상기 하부 전극에 부여되는 상기 전기 바이어스의 크기와 상이한 것인 기판 처리 방법.
  15. 제14항에 있어서, 상기 제2 사이클 내의 상기 (b)에서 이용되는 상기 전기 바이어스의 크기는 상기 제1 사이클 내의 상기 (b)에서 이용되는 상기 전기 바이어스의 크기보다 큰 것인 기판 처리 방법.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서, 상기 (a)와 상기 (b) 사이 및/또는 상기 (b)와 상기 (a) 사이에서, 상기 기판을 그 안에 수용하는 챔버의 내부 공간을 퍼지하는 공정을 더 포함하는 기판 처리 방법.
  17. 챔버와,
    상기 챔버 내에 전구체 가스, 제1 가스, 및 제2 가스를 공급하도록 구성된 가스 공급부와,
    상기 제1 가스 및 상기 제2 가스로부터 플라즈마를 생성하도록 구성된 플라즈마 생성부와,
    상기 가스 공급부와 상기 플라즈마 생성부를 제어하도록 구성된 제어부
    를 구비하고,
    상기 제어부는,
    (a) 상기 챔버 내에 전구체 가스를 공급하도록 상기 가스 공급부를 제어하여, 상기 전구체 가스에 포함되는 전구체를 기판의 측벽면에 흡착시키고,
    (b) 상기 챔버 내에서 제1 가스 및 제2 가스로부터 플라즈마를 생성하도록 상기 가스 공급부 및 상기 플라즈마 생성부를 제어하여, 상기 측벽면 상에서 상기 전구체로부터 막을 형성하는 제1 화학종을 상기 제1 가스로부터 생성하고, 상기 막의 두께의 증가를 억제하는 제2 화학종을 상기 제2 가스로부터 생성하며,
    상기 (a)와 상기 (b)를 교대로 반복하는 것인 플라즈마 처리 장치.
KR1020210114165A 2020-08-28 2021-08-27 기판 처리 방법 및 플라즈마 처리 장치 KR20220029478A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2020144390 2020-08-28
JPJP-P-2020-144390 2020-08-28
JP2021006624A JP2022039910A (ja) 2020-08-28 2021-01-19 基板処理方法及びプラズマ処理装置
JPJP-P-2021-006624 2021-01-19

Publications (1)

Publication Number Publication Date
KR20220029478A true KR20220029478A (ko) 2022-03-08

Family

ID=80356952

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210114165A KR20220029478A (ko) 2020-08-28 2021-08-27 기판 처리 방법 및 플라즈마 처리 장치

Country Status (4)

Country Link
US (1) US20220068629A1 (ko)
KR (1) KR20220029478A (ko)
CN (1) CN114121641A (ko)
TW (1) TW202213517A (ko)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160343580A1 (en) 2014-12-04 2016-11-24 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP2018174858A (ja) 2017-04-19 2018-11-15 株式会社高垣製作所 麺線切出装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010378A (en) * 1985-05-03 1991-04-23 Texas Instruments Incorporated Tapered trench structure and process
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US8956980B1 (en) * 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US11437242B2 (en) * 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160343580A1 (en) 2014-12-04 2016-11-24 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
JP2018174858A (ja) 2017-04-19 2018-11-15 株式会社高垣製作所 麺線切出装置

Also Published As

Publication number Publication date
TW202213517A (zh) 2022-04-01
US20220068629A1 (en) 2022-03-03
CN114121641A (zh) 2022-03-01

Similar Documents

Publication Publication Date Title
CN109427576B (zh) 蚀刻方法
KR102402866B1 (ko) 고 종횡비의 구조체들의 콘택 세정
US10580657B2 (en) Device fabrication via pulsed plasma
KR20150101927A (ko) 플라즈마 처리 장치의 클리닝 방법
US20210151301A1 (en) Method for etching film and plasma processing apparatus
US11355350B2 (en) Etching method, substrate processing apparatus, and substrate processing system
KR20190008227A (ko) 에칭 방법
US20200168468A1 (en) Etching method and substrate processing apparatus
US10811274B2 (en) Etching method and plasma processing apparatus
WO2018212045A1 (ja) 多孔質膜をエッチングする方法
US20220262645A1 (en) Etching method, substrate processing apparatus, and substrate processing system
KR20190079565A (ko) 에칭 방법
KR20220029478A (ko) 기판 처리 방법 및 플라즈마 처리 장치
KR20210097044A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
KR20210097045A (ko) 에칭 방법, 기판 처리 장치, 및 기판 처리 시스템
CN112530799A (zh) 蚀刻氧化硅膜的方法及等离子体处理装置
CN114762091B (zh) 蚀刻方法、等离子体处理装置、基板处理系统以及存储介质
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
JP2019024139A (ja) 多孔質膜をエッチングする方法
CN111725062B (zh) 膜的蚀刻方法和等离子体处理装置
JP2023067443A (ja) プラズマ処理方法及びプラズマ処理装置
TW202245056A (zh) 基板處理方法及基板處理裝置
TW202245051A (zh) 基板處理方法及基板處理裝置
CN112786442A (zh) 等离子体处理方法及等离子体处理装置