KR20110013265A - Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법 - Google Patents

Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법 Download PDF

Info

Publication number
KR20110013265A
KR20110013265A KR1020100072493A KR20100072493A KR20110013265A KR 20110013265 A KR20110013265 A KR 20110013265A KR 1020100072493 A KR1020100072493 A KR 1020100072493A KR 20100072493 A KR20100072493 A KR 20100072493A KR 20110013265 A KR20110013265 A KR 20110013265A
Authority
KR
South Korea
Prior art keywords
gas
substrate
introducing
layer
less
Prior art date
Application number
KR1020100072493A
Other languages
English (en)
Other versions
KR101688231B1 (ko
Inventor
켈빈 진
마사루 니시노
총환 추
얀킥 페르쁘리에르
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20110013265A publication Critical patent/KR20110013265A/ko
Application granted granted Critical
Publication of KR101688231B1 publication Critical patent/KR101688231B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S134/00Cleaning and liquid contact with solids
    • Y10S134/902Semiconductor wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Abstract

마스크층을 제거하고 패터닝된 유전체층에의 손상을 감소시키는 방법을 설명한다. 본 방법은, 기판을 플라즈마 처리 시스템에 배치하는 단계를 포함하며, 상기 기판은 그 위에 형성된 유전체층과, 상기 유전체층을 덮는 마스크층을 포함한다. 패턴은 마스크층에 형성되고, 마스크층에서의 패턴을 유전체층으로 전사하는 데 사용되는 에칭 처리의 결과로서 패턴에 대응하여 유전체층에 피쳐가 형성된다. 피쳐는 에칭 처리로부터 생성된 제1 조도를 갖는 측벽을 포함한다. CO2 및 CO를 함유하는 처리 가스가 플라즈마 처리 시스템에 도입되어, 플라즈마가 형성된다. 마스크층이 제거되고, CO2의 유량에 대한 CO의 유량을 선택함으로써, 제1 조도보다 작은 제2 조도가 생성된다.

Description

CO2/CO계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법{LOW DAMAGE METHOD FOR ASHING A SUBSTRATE USING CO2/CO-BASED PROCESS}
(관련 출원의 교차 참조)
본 출원은 2006년 12월 11일 출원되며, 발명의 명칭이 "METHOD AND APPARATUS FOR ASHING A SUBSTRATE USING CARBON DIOXIDE"(ES-107)인 계류 중인 미국 특허 출원 제11/608,872호에 관한 것이다. 이 출원의 전체 내용은 여기서 그 전체로서 참조용으로 인용되었다.
본 발명은 기판으로부터 잔여물을 제거하기 위한 방법 및 장치에 관한 것이다.
통상적으로, 집적 회로(IC)의 제조시, 반도체 제조 기기는 반도체 기판 상에 패터닝된 미세 라인들을 따른 또는 비아 또는 컨택트 내의 재료를 제거하거나 에칭하기 위한 (건식) 플라즈마 에칭 처리를 사용한다. 성공적인 플라즈마 에칭 처리는, 에칭의 화학정 성질이 실질적으로 다른 재료를 에칭하지 않고, 하나의 재료를 선택적으로 에칭하는 데 적합한 화학 반응물을 포함하는 것을 요한다. 예컨대, 반도체 기판 상에서, 마스크층에 형성된 패턴은 플라즈마 에칭 처리를 이용하여 선택된 재료의 하지층(underlying layer)으로 전사될 수 있다. 마스크층은 리소그래피 처리를 사용하여 그 내에 형성된 패턴을 갖는, 포토레지스트층과 같은 감방사선성(radiation-sensitive) 층을 포함할 수도 있다. 패턴이 패터닝된 마스크층으로부터 하지층으로, 예컨대 건식 플라즈마 에칭을 사용하여 전사되면, 에칭후 잔여물은 물론 잔존하는 마스크층은 애싱(또는 박리) 처리에 의하여 제거된다. 예컨대, 종래의 애싱 처리에서, 잔존하는 마스크층을 갖는 기판은 산소(02)의 도입과 그 이온화/해리화로부터 형성된 산소 플라즈마에 노출된다. 그러나, 패턴 무결성(pattern integrity)(예컨대, CD, 조도 등)을 유지하고 하지층으로의 손상을 최소화하면서, 잔여 보호 마스크층을 제거하는 능력은 대단히 중요하다.
또한, 패턴 전사 동안, 패턴 치수의 변동은 물론, 마스크층에서의 패턴의 에지 프로파일의 기복(undulation) 또는 변동이 하지층들로 진행될 수 있다. 이들 기복 또는 변동은 일부 경우에서 에지 조도 또는 라인 에지 조도(line edge roughness, LER)로서, 또는 다른 경우에서 피팅(pitting)으로서 관찰될 수도 있다. 에지 조도는 감방사선성 재료층에의 손상에 기인하여 발생할 수도 있다. 감방사선성 재료의 도포, 도포 후 베이킹(post-application bake, PAB), 노광 단계, 노광 후 베이킹(post-exposure bake, PEB), 또는 습식 현상 단계, 또는 이들의 임의의 조합 동안, 감방사선성 재료가 손상될 수도 있다. 또한, ARC층 에칭, 하드 마스크 에칭 또는 박막 에칭의 초기 단계동안 손상이 발생할 수도 있다. 다공성 저유전 상수(low-k) 재료 또는 다공성 초저 유전 상수 재료와 같은 다공성 재료에 패턴 전사를 실행할 때 피팅이 발생할 수도 있다.
본 발명은 기판으로부터 잔여물을 제거하기 위한 방법 및 장치에 관한 것이다.
본 발명은 또한, 마스크층을 제거하고, 패터닝된 유전체층에의 손상을 감소하기 위한 방법에 관한 것이다.
일 실시예에 따르면, 기판으로부터 잔여물을 제거하는 방법을 설명한다. 본 발명은, 플라즈마 처리 시스템에 기판을 배치하는 단계를 포함하며, 이 기판은 그 위에 형성된 유전체층과, 이 유전체층을 덮는 마스크층을 갖는다. 패턴이 마스크층에 형성되고, 마스크층에서의 패턴을 유전체층으로 전사하는 데 사용되는 에칭 처리의 결과로서 패턴에 대응하여 유전체층에 피쳐(feature)가 형성된다. 피쳐는 에칭 처리로부터 발생되는 제1 조도를 갖는 측벽을 포함한다. CO2와 CO를 함유하는 처리 가스는 플라즈마 처리 시스템에 도입되어, 플라즈마가 형성된다. 마스크층이 제거되고, CO2의 유량에 관하여 CO의 유량을 선택함으로써 제1 조도보다 작은 제2 조도가 생성된다.
본 발명에 따르면, 기판으로부터 잔여물을 제거하는 방법 및 장치로서, 마스크층을 제거하고, 패터닝된 유전체층에의 손상을 감소시킬 수 있다.
도 1a 내지 도 1c는 실시예에 따라 기판에서의 피쳐 패턴을 에칭하기 위한 과정을 개략적으로 도시한 것이다.
도 2는 실시예에 따라 처리 시스템을 개략적으로 도시한 것이다.
도 3는 실시예에 따라 처리 시스템을 개략적으로 도시한 것이다.
도 4는 다른 실시예에 따라 처리 시스템을 개략적으로 도시한 것이다.
도 5는 다른 실시예에 따라 처리 시스템을 개략적으로 도시한 것이다.
도 6는 다른 실시예에 따라 처리 시스템을 개략적으로 도시한 것이다.
도 7는 다른 실시예에 따라 처리 시스템을 개략적으로 도시한 것이다.
도 8는 다른 실시예에 따라 처리 시스템을 개략적으로 도시한 것이다.
도 9는 실시예에 따라 기판에서의 피쳐를 에칭하는 방법을 도시하는 흐름도를 제공한다.
도 10은 기판으로부터 잔여물을 제거하기 위한 예시적인 데이터를 제공한다.
도 11은 몇몇 상이한 피쳐 패턴들을 도시한다.
다음 설명에서, 제한적이 아닌 설명을 목적으로, 처리 시스템의 특정 기하 구조, 여기서 사용되는 다양한 구성 요소들과 처리들의 설명과 같은 특정한 상세를 설명한다. 그러나, 본 발명은 이들 특정한 상세로부터 벗어나는 다른 실시예들에서 실행될 수도 있다는 것을 이해해야 한다.
마찬가지로, 설명을 위한 것으로, 본 발명의 완전한 이해를 제공하기 위하여, 특정 번호, 재료들 및 구성들을 나타낸다. 그러나, 본 발명은 특정한 상세없이 실행될 수도 있다. 또한, 도면들에 도시된 다양한 실시예들은예시적으로 나타내었으며, 반드시 일정한 비율로 도시된 것은 아니라는 것이 이해되어야 한다.
본 명세서 전체에 걸쳐 "일 실시예" 또는 "실시예" 또는 그 변형에 대한 참조는, 실시예와 연관되어 설명된 특정 피쳐, 구조물, 재료 또는 특징이 본 발명의 적어도 하나의 실시예에 포함된다는 것을 의미하나, 이들이 모든 실시예에서 존재한다는 것을 의미하지는 않는다. 따라서, 본 명세서 전체에 걸쳐 여러 곳에서의 "일 실시예에서" 또는 "실시예에서"와 같은 문구의 출현은 반드시 본 발명의 동일한 실시예를 참조하는 것이 아니다. 또한, 하나 이상의 실시예에서 임의의 적합한 방식으로 특정 피쳐들, 구조물들, 재료들 또는 특징들이 조합될 수도 있다. 다양한 부가적인 층들 및/또는 구조들이 포함될 수도 있고, 및/또는 설명된 피쳐들은 다른 실시예들에서 생략될 수도 있다.
다양한 동작들이 본 발명을 이해하는 데 가장 유용한 방식으로 다수의 개별 동작들로서 차례로 설명될 것이다. 그러나, 설명의 순서는, 이들 동작이 반드시 순서에 의존한다는 것을 의미하는 것으로 간주되어서는 안된다. 특히, 이들 동작들은 제시된 순서로 수행될 필요는 없다. 설명된 동작들은 설명된 실시예와는 상이한 순서로 실행될 수도 있다. 다양한 부가적인 동작들이 실행될 수도 있고, 및/또는 설명된 동작들은 부가적인 실시예들에서 생략될 수도 있다.
여기서 설명된 바와 같은 "기판"은 일반적으로 본 발명에 따라 처리되는 대상에 관한 것이다. 본 기판은 장치, 특히 반도체 또는 다른 전자 장치의 임의의 재료 일부 또는 구조물을 포함할 수도 있고, 예컨대 반도체 웨이퍼와 같은 베이스 기판 구조 또는 박막과 같은 베이스 기판 구조 상의 또는 이 베이스 기판 구조를 덮는 층일 수도 있다. 따라서, 기판은, 임의의 특정한 베이스 구조, 하지층 또는 덮는 층, 패터닝된 것 또는 패터닝되지 않은 것에 제한되고자 하는 것이 아니라, 임의의 이러한 층 또는 베이스 구조, 및 층들 및/또는 베이스 구조들의 임의의 조합을 포함하는 것으로 고려된다. 이하의 설명은 특정 형태의 기판을 참조할 수도 있으나, 예시적인 목적만을 위한 것이고 제한적인 것이 아니다.
패턴 전사 동안, 상술된 바와 같이, 패턴 치수의 변동은 물론, 패턴의 에지 프로파일에서의 기복 또는 변동이 하지층들로 진행될 수 있다. 이들 기복은 피쳐 조도, 예컨대 라인 에지 조도(line edge roughness, LER) 또는 피팅으로서 관찰될 수도 있다. 종래의 처리의 화학적 성질은, 피쳐 조도를 감소시킬 수 없고, 역으로 종종 하지층에서의 피처 조도를 악화시킨다.
따라서, 기판 상의 유전체층을 패터닝하는 방법을 실시예에 따라 설명한다. 도 1a 내지 도 1c에 도시된 바와 같이, 내부에 패턴(2)이 형성된 마스크층(3)(도 1a 참조)은, 패턴(2)에 대응하는, 기판(5) 상의 유전체층(4) 내의 피처(2')(도 1b 참조)를 준비하기 위하여 이용될 수도 있다. 마스크층(3)은 포토레지스트와 같은 감방사선성 재료층을 포함할 수도 있다. 또한, 마스크층(3)은, 소프트 마스크층, 하드 마스크층, 반반사(anti-reflective) 코팅(ARC)층, 평탄화층 등을 포함하는 다중층들을 포함할 수도 있으나, 이들에 제한되는 것은 아니다. 일 실시예에서, 마스크층(3)은 ARC 층을 덮는 포토레지스트층과, 유기 평탄화층(organic planarization layer, OPL)을 포함할 수도 있다. 다른 예에서, 마스크층(3)과 유전체층(4) 사이에 하나 이상의 하드 마스크층들이 배치된다.
패턴(2)은, 네스티드(nested) 패턴 기하 구조(예컨대, 가깝게 배치된 패턴 기하 구조) 또는 아이솔레이티드(isolated) 패턴 기하 구조(예컨대, 멀리 떨어진 패턴 기하 구조), 협소한 패턴 기하 구조(예컨대, 비교적 협소한 임계 치수(critical dimension, CD), 또는 폭이 넓은 패턴 기하 구조(예컨대, 비교적 폭이 넓은 임계 치수(CD)), 또는 그 2 이상의 임의의 조합을 포함하여, 다양한 패턴 기하 구조들을 포함할 수도 있다. 마스크층(3)의 패터닝은 하나 이상의 리소그래피 단계들과, 그 후 하나 이상의 건식 현상 단계들(즉, 건식 에칭 단계들)을 포함할 수도 있다. 예컨대, 리소그래피 동안, 감방사선성 재료층은 마이크로 리소그래피 시스템을 사용하여 전자기(electromagnetic, EM) 방사선의 기하학 패턴에 노광된다. (습식) 현상 처리를 이용하여 감방사선성 재료의 조사 영역이 제거되고(포지티브 포토레지스트의 경우에서와 같이), 또는 비조사 영역이 제거된다(네가티브 레지스트의 경우에서와 같이). 그 후, 감방사선성 재료층에서의 결과적인 패턴은 하나 이상의 건식 에칭 처리들을 사용하여 마스크층(3)의 하지의 서브층들에 전사될 수도 있다(도 1a 참조).
도 1b에 도시된 바와 같이, 패턴(2)은 측벽(6)을 갖는 피쳐(2')를 형성하기 위해 하나 이상의 에칭 처리들을 사용하여 유전체층(4)에 전사된다. 피쳐(2')는, 예컨대 배선 라인 또는 트렌치, 커패시터 트렌치, 비아, 컨택트 등을 포함할 수도 있다.
도 1c에 도시된 바와 같이, 다른 에칭 후 잔여물은 물론, 마스크층(3) 또는 마스크층(3)의 잔존 부분은 측벽(6')을 갖는 피쳐(2")를 남겨두고 애싱 처리에 의하여 제거된다. 상술된 바와 같이, 마스크층(3)은 통상적으로, O2를 함유하는 가스로부터 형성된 플라즈마에 마스크층(3)을 노출시킴으로써 제거된다. 그러나, 본 발명자들은, 이러한 방법은 유전체층(4), 특히 로우-k(저 유전 상수) 유전체막들 및 다공성 로우-k 유전체막을 손상시킬 수 있다는 것을 인식하였다. 이러한 손상은, 유전체층(4)에서 에칭된 피쳐의 임계 치수(CD)에 영향을 미치는 손상(예컨대, 피팅, 바우잉(bowing) 등), 또는 유전체의 유전 상수를 증가시키는 손상일 수도 있다. 예컨대, 이 손상은 측벽(6')에서 관찰될 수도 있다. 부가적으로, 또한 이하에 상세히 설명될 것인 바와 같이, 본 발명자들은, CO와 CO2를 조합한 처리 가스를 사용하여 플라즈마를 형성하는 것과, 각 처리 가스 성분의 적절한 양을 선택하는 것이, 이러한 막들에의 손상을 감소시키고 및/또는 최소화시킬 수 있고, (1) 측벽 손상의 감소; (2) 피팅의 감소; (3) 프로파일 바우잉(또는 언더컷)의 감소; (4) 개선된 프로파일 제어; 및 (5) 개선된 CD 감소(또는 CD 바이어스) 제어를 포함하는(그러나 이들에 제한되지 않는) 프로파일 제어를 개선시킨다는 것을 인식했다.
일 실시예에 따르면, 상술된 프로세스 조건을 수행하도록 구성된 처리 시스템(1a)은, 플라즈마 처리 챔버(10), 처리될 기판(25)이 부착되는 기판 홀더(20), 및 진공 펌핑 시스템(50)을 포함하는 것으로 도 2에 도시되어 있다. 기판(25)은 반도체 기판, 웨이퍼, 평판 디스플레이 또는 액정 디스플레이일 수 있다. 플라즈마 처리 챔버(10)는 기판(25)의 표면 근처의 처리 영역(45)에 플라즈마의 생성을 용이하게 하도록 구성될 수 있다. 이온화가능한 가스 또는 처리 가스의 혼합물이 가스 분배 시스템(40)을 통하여 도입된다. 주어진 처리 가스의 흐름에 대하여, 진공 펌핑 시스템(50)을 사용하여 처리압이 조정된다. 플라즈마는, 미리 결정된 재료들 처리에 특정한 재료들을 생성하고, 및/또는 기판(25)의 노출된 표면으로부터 재료의 제거를 도와주기 위하여 이용될 수 있다. 플라즈마 처리 시스템(1a)은 200mm 기판, 300mm 가판 또는 이보다 큰 기판과 같은 임의의 원하는 크기의 기판들을 처리하도록 구성될 수 있다.
기판(25)은, 기계 클램핑 시스템 또는 전기 클램핑 시스템(예컨대 정전 클램핑 시스템)과 같은, 클램핑 시스템(28)에 의하여 기판 홀더(20)에 부착될 수 있다. 또한, 기판 홀더(20)는, 기판 홀더(20)와 기판(25)의 온도를 조정 및/또는 제어하도록 구성된 가열 시스템(미도시) 또는 냉각 시스템(미도시)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은, 냉각시 기판 홀더(20)로부터 열을 받아 이 열을 열 교환 시스템(미도시)에 전달하고, 또는 가열시 열 교환 시스템으로부터 기판 홀더(20)로 열을 전달하는 열 전달 유체의 재순환 흐름을 포함할 수도 있다. 다른 실시예에서, 저항성 가열 소자와 같은 가열/냉각 소자들 또는 열전기 가열기/냉각기가, 처리 챔버(10)의 챔버 벽과 처리 시스템(1a) 내의 임의의 다른 구성 요소는 물론, 기판 홀더(20)에 포함될 수 있다.
또한, 열 전달 가스는 후면 가스 공급 시스템(26)을 통하여 기판(25)의 후면에 전달되어, 기판(25)과 기판 홀더(20) 간의 가스-갭 열 컨덕턴스를 개선시킬 수 있다. 이러한 시스템은, 기판의 온도 제어가 높은 온도 또는 낮은 온도에서 요구될 때 이용될 수 있다. 예컨대, 후면 가스 공급 시스템은, 헬륨 가스-갭 압력이 기판(25)의 중심과 에지 사이에서 독립적으로 변할 수 있는 투 존(two-zone) 가스 분배 시스템을 포함할 수 있다.
도 2에 도시된 실시예에서, 기판 홀더(20)는 전극(22)을 포함할 수 있고, 이 전극(22)을 통하여 RF 전력이 처리 영역(45)에서 처리 플라즈마에 연결된다. 예컨대, 기판 홀더(20)는, 광학 임피던스 정합 네트워크(32)를 통하여 RF 발생기(30)로부터 기판 홀더(20)로의 RF 전력의 전송에 의하여 RF 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스는 플라즈마를 형성하고 유지하기 위하여 전자들을 가열시키도록 기능할 수 있다. 이 구성에서, 본 시스템은, 챔버와 상부 가스 주입 전극이 접지면으로서 기능하는 반응성 이온 에칭(reactive ion etch, RIE) 반응기로서 동작할 수 있다. RF 바이어스에 대한 통상적인 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있다. 플라즈마 처리용 RF 시스템은 당업자에게 공지되어 있다.
대안적으로, RF 전력은 다중 주파수에서 기판 홀더 전극에 인가된다. 또한, 임피던스 정합 네트워크(32)는 반사된 전력을 감소시킴으로써 RF 전력의 플라즈마 처리 챔버(10) 내의 플라즈마로의 전달을 개선할 수 있다. 정합 네트워크 토폴로지(예컨대, L형, π형, T형 등) 및 자동 제어법은 당업자에게 공지되어 있다.
가스 분배 시스템(40)은 처리 가스들의 혼합물을 도입하기 위한 샤워헤드 설계를 포함할 수도 있다. 대안적으로, 가스 분배 시스템(40)은, 처리 가스들의 혼합물을 도입하고, 기판(25) 위로 처리 가스들의 혼합물의 분배를 조정하기 위한 멀티존(multi-zone) 샤워헤드 설계를 포함할 수도 있다. 예컨대, 멀티존 샤워헤드 설계는 기판(25) 위의 실질적인 중심 영역에의 처리 가스 흐름량 또는 조성에 관한 기판(25) 위의 실질적인 주변 영역에의 처리 가스 흐름 또는 조성을 조정하도록 구성될 수도 있다.
진공 펌핑 시스템(50)은 초당 약 5000리터(및 그보다 큰)까지 펌핑 속도가 가능한 터보 분자 진공 펌프(turbo-molecular vacuum pump, TMP), 및 챔버압을 스로틀(throttle)하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭용으로 이용되는 종래의 플라즈마 처리 장치에서, 초당 1000리터 내지 3000 리터의 TMP가 채용될 수 있다. TMP는 통상적으로 약 50 mTorr 보다 작은 저압 처리용으로 유용하다. 고압 처리(즉, 약 100 mTorr보다 높은)용으로, 기계 부스터 펌프 및 건식 러핑(roughing) 펌프가 사용될 수 있다. 또한, 챔버압을 모니터링하는 장치(미도시)가 플라즈마 처리 챔버(10)에 연결될 수 있다. 압력 측정 장치는, 예컨대 MKS Instruments, Inc.(미국 메사츄세츠주 앤도버)로부터 시판되는 타입 628B 바라트론 절대 커패시턴스 마노미터(Type 628B Baratron absolute capacitance manometer)일 수 있다.
제어기(55)는 마이크로프로세서, 메모리, 및 플라즈마 처리 시스템(1a)으로부터의 출력들을 모니터링하는 것은 물론, 플라즈마 처리 시스템(1a)에의 입력들과 통신하고 이 입력들을 활성화시키는 데 충분한 제어 전압들을 생성할 수 있는 디지털 I/O 포트를 포함한다. 또한, 제어기(55)는, 기판 가열/냉각 시스템(미도시), 후면 가스 전달 시스템(26), 및/또는 정전 클램핑 시스템(28)은 물론, RF 발생기(30), 임피던스 정합 네트워크(32), 가스 분배 시스템(40), 진공 펌핑 시스템(50)과 연결되어 이들과 정보를 교환할 수 있다. 예컨대, 메모리에 기억된 프로그램은, 기판(25) 상의 플라즈마 원조 처리를 수행하기 위하여, 프로세스 레시피에 따라 플라즈마 처리 시스템(1a)의 상술된 구성 요소들에의 입력들을 활성화시키는 데 이용될 수 있다.
제어기(55)는 처리 시스템(1a)에 관하여 국부적으로 위치될 수 있고, 또는 처리 시스템(1a)에 관하여 원격으로 위치될 수 있다. 예컨대, 제어기(55)는 직접 접속, 인트라넷, 및/또는 인터넷을 사용하여 처리 시스템(1a)과 데이터를 교환할 수 있다. 제어기(55)는, 예컨대 고객측(즉, 장치 메이커 등)에서 인트라넷에 접속될 수 있고, 또는 예컨대 판매자측(즉, 기기 제조업체)에서 인트라넷에 접속될 수 있다. 대안적으로 또는 부가적으로, 제어기(55)는 인터넷에 접속될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)는 직접 접속, 인트라넷, 및/또는 인터넷을 통하여 데이터를 교환하기 위하여 제어기(55)에 액세스할 수 있다.
도 3에 도시된 실시예에서, 처리 시스템(1b)은 도 2의 실시예와 유사할 수 있고, 도 2를 참조하여 설명된 이들 구성 요소들 외에, 플라즈마 밀도를 잠재적으로 증가시키고 및/또는 플라즈마 처리 균일성을 개선하기 위하여, 고정된(stationary), 또는 기계적 또는 전기적 회전 자계 시스템(60)을 더 포함할 수 있다. 또한, 제어기(55)는 회전 속도와 자계 강도를 조절하기 위하여 자계 시스템(60)에 연결될 수 있다. 회전 자계의 설계 및 실행은 당업자에게 공지되어 있다.
도 4에 도시된 실시예에서, 처리 시스템(1c)은 도 2 또는 도 3의 실시예와 유사할 수 있고, 상부 전극(70)을 더 포함할 수 있고, 이 상부 전극(70)에 RF 전력이 광학 임피던스 정합 네트워크(74)를 통하여 RF 발생기(72)로부터 연결될 수 있다. 상부 전극에의 RF 전력의 인가를 위한 주파수는 약 0.1 MHz 내지 약 200 MHz의 범위일 수 있다. 부가적으로, 하부 전극으로의 전력의 인가를 위한 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있다. 또한, 제어기(55)는 상부 전극(70)에의 RF 전력의 인가를 제어하기 위하여 RF 발생기(72)와 임피던스 정합 네트워크(74)에 연결되어 있다. 상부 전극의 설계 및 실행은 당업자에게 공지되어 있다. 상부 전극(70)과 가스 분배 시스템(40)은 도시된 바와 같은 동일한 챔버 어셈블리 내에 설계될 수 있다.
도 5에 도시된 실시예에서, 처리 시스템(1c')은 도 4의 실시예와 유사할 수 있고, 기판(25)과 마주보는 상부 전극(70)에 연결된 직류(DC) 전력 공급장치(90)를 더 포함할 수 있다. 상부 전극(70)은 전극판을 포함할 수도 있다. 전극판은 실리콘 함유 전극판을 포함할 수도 있다. 또한, 전극판은 도핑된 실리콘 전극판을 포함할 수도 있다. DC 전력 공급장치(90)는 가변 DC 전력 공급장치를 포함할 수 있다. 또한, DC 전력 공급장치는 바이폴라 DC 전력 공급장치를 포함할 수 있다. DC 전력 공급 장치(90)는 DC 전력 공급 장치(90)의 극성, 전류, 전압 또는 온/오프 상태를 모니터링하고, 조정하고, 또는 제어하는 것 중 적어도 하나를 수행하도록 구성된 시스템을 더 포함할 수 있다. 플라즈마가 형성되면, DC 전력 공급장치(90)는 탄도(ballistic) 전자 빔의 형성을 용이하게 한다. 전기 필터(미도시)는 DC 전력 공급장치(90)로부터의 RF 전력의 접속을 해제하는 데 이용될 수도 있다.
예컨대, DC 전력 공급장치(90)에 의하여 상부 전극(70)에 인가된 DC 전압은 대략 -2000V 내지 대략 1000V의 범위일 수도 있다. 바람직하게는, DC 전압의 절대값은 대략 100V 이상인 값을 가지고, 더욱 바람직하게는, DC 전압의 절대값은 대략 500V 이상의 값을 갖는다. 또한, DC 전압은 음 극성을 갖는 것이 바람직하다. 또한, DC 전압은 상부 전극(70)의 표면 상에 발생된 자기 바이어스(self-bias) 전압보다 큰 절대값을 갖는 음 전압인 것이 바람직하다. 기판 홀더(20)를 마주보는 상부 전극(70)의 표면은 실리콘 함유 재료로 구성될 수도 있다.
도 6에 도시된 실시예에서, 처리 시스템(1d)은 도 2 및 도 3의 실시예들과 유사할 수 있고, 유도 코일(80)을 더 포함할 수 있고, 이 유도 코일(80)에 RF 전력이 광학 임피던스 정합 네트워크(84)를 통하여 RF 발생기(82)에 의하여 연결되어 있다. RF 전력은 유전체 윈도우(미도시)를 통하여 유도 코일(80)로부터 플라즈마 처리 영역(45)에 유도 연결된다. 유도 코일(80)로의 RF 전력의 인가를 위한 주파수는 약 10 MHz 내지 약 100 MHz의 범위일 수 있다. 유사하게, 척 전극으로의 전력의 인가를 위한 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있다. 또한, 유도 코일(80)과 처리 영역(45) 내의 플라즈마 간의 용량성 결합을 감소시키기 위하여 슬롯 패러데이 실드(slotted Faraday shield)(미도시)가 채용될 수 있다. 또한, 제어기(55)는 유도 코일(80)에의 전력의 인가를 제어하기 위하여 RF 발생기(82)와 임피던스 정합 네트워크(84)에 연결될 수 있다.
대안적인 실시예에서, 도 7에 도시된 바와 같이, 처리 시스템(1e)은 도 6의 실시예와 유사할 수 있고, 트랜스포머 결합 플라즈마(transformer coupled plasma, TCP) 반응기에서와 같이 위에서부터 플라즈마 처리 영역(45)과 연통하는 "나선형(spiral)" 코일 또는 "팬케이크(pancake)" 코일인 유도 코일(80')을 더 포함할 수 있다. 유도 결합 플라즈마(inductively coupled plasma, ICP) 소스 또는 트랜스포머 결합 플라즈마(TCP) 소스의 설계 및 실행은 당업자에게 공지되어 있다.
대안적으로, 플라즈마는 전자 사이클로트론 공명(electron cyclotron resonance, ECR)을 사용하여 형성될 수 있다. 또다른 실시예에서, 플라즈마는 헬리콘(Heliocn)파의 개시(launching)로부터 형성된다. 또다른 실시예에서, 플라즈마는 진행하는 표면파로부터 형성된다. 상술된 각 플라즈마 소스는 당업자에게 공지되어 있다.
도 8에 도시된 실시예에서, 처리 시스템(1f)은 도 2의 실시예와 유사할 수 있고, 표면파 플라즈마(surface wave plasma, SWP) 소스(80")를 더 포함할 수 있다. SWP 소스(80")는 라디칼 라인 슬롯 안테나(radical line slot antenna, RLSA)와 같은 슬롯 안테나를 포함할 수 있고, 이 슬롯 안테나에 마이크로파 전력이 광학 임피던스 정합 네트워크(84')를 통해 마이크로파 발생기(82')를 경유하여 연결된다.
다음 논의에서, 기판 상에 내부의 피쳐를 형성하기 위해 유전체층을 패터닝하는 방법이 제시된다. 예컨대, 건식 플라즈마 에칭과 애싱을 수행하기 위한 처리 시스템은, 도 2 내지 도 8에 설명된 바와 같은 다양한 요소들, 및 그 조합을 포함할 수 있다.
이제 도 9를 참조하여, 기판으로부터 잔여물을 제거하기 위한 방법을 설명한다. 본 방법은 기판을 플라즈마 처리 시스템에 배치하는 단계 110에서 시작하는 흐름도(100)을 포함하며, 여기서 기판은 그 위에 형성된, 유전체층과 같은 박막, 및 이 박막을 덮는 마스크층을 갖는다. 마스크층은 단일층 또는 다중층들을 포함할 수도 있다. 예컨대, 마스크층은, 포토레지스트와 같은 감방사선성 재료층, 반반사 코팅(ARC))층, 소프트 마스크층, 하드 마스크층, 또는 평탄화층 또는 이들의 2 이상의 조합을 포함하는 리소그래피층을 포함할 수도 있다. 다른 층들 및/또는 구조들은 박막과 하지 기판 사이, 또는 박막과 덮는 마스크층 사이에 배치될 수도 있다. 기판은 반도체 기판, 웨이퍼, 평판 디스플레이 또는 액정 디스플레이를 포함할 수도 있다.
박막은 도전성층, 비도전성층 또는 반도전성(semi-conductive)층을 포함할 수도 있다. 예컨대, 박막은, 금속, 금속 산화물, 금속 질화물, 금속 산질화물, 금속 실리케이트, 금속 실리사이드, 실리콘, 다결정 실리콘(폴리 실리콘), 도핑된 실리콘, 실리콘 이산화물, 실리콘 질화물, 실리콘 탄화물 또는 실리콘 산질화물 등을 포함하는 재료층을 포함할 수도 있다. 부가적으로, 예컨대, 박막은, 약 4(예컨대 열 실리콘 이산화물에 대한 유전 상수는 3.8 내지 3.9의 범위일 수 있다)인, SiO2의 유전 상수보다 작은 공칭 유전 상수값을 갖는 저유전 상수(즉, 로우-k) 또는 초저유전 상수(즉, 울트라 로우-k) 유전체층을 포함할 수도 있다. 보다 구체적으로는, 박막은 3.7보다 작은 유전 상수 또는 1.6 내지 3.7의 유전 상수를 가질 수도 있다.
이들 유전체층은, 유기, 무기 또는 무기-유기 하이브리드 재료 중 적어도 하나를 포함할 수도 있다. 또한, 이들 유전체층은 다공성 또는 비다공성일 수도 있다.
예컨대, 이들 유전체층은, CVD 기법을 사용하여 증착된, 탄소 도핑된 실리콘 산화물(또는 유기 실록산)과 같은, 무기의 실리케이트계 재료를 포함할 수도 있다. 이러한 막들의 예는, Applied Materials, Inc.로부터 시판되는 Black Diamond
Figure pat00001
CVD 오르가노실리케이트 글래스(organosilicate glass, OSG)막, 또는 Novellus System, Inc.로부터 시판되는 Coral
Figure pat00002
CVD 막들을 포함한다.
대안적으로, 이들 유전체층들은, 작은 보이드들(또는 구멍들)을 생성하기 위하여 경화 또는 증착 처리 동안 막의 완전한 치밀화(densification)를 저해하는 CH3 결합을 갖는 실리콘 산화물계 매트릭스와 같은, 단일상으로 구성된 다공성 무기-유기 하이브리드막들을 포함할 수도 있다. 또한 대안적으로, 이들 유전체층들은, 경화 처리 동안 분해되어 증발되는 유기 재료(예컨대, 포로겐)의 구멍들을 갖는 탄소 도핑된 실리콘 산화물계 매트릭스와 같은, 적어도 2 상으로 구성된 다공성 무기-유기 하이브리드막들을 포함할 수도 있다.
또한, 대안적으로, 이들 유전체층은, SOD(spin-on dielectic) 기법을 사용하여 증착된, 수소 실세스퀴옥산(hydrogen silsesquioxane, HSQ) 또는 메틸 실세스퀴옥산(methyl silsesquioxane, MSQ)와 같은, 무기의 실리케이트계 재료를 포함할 수도 있다. 이러한 막들의 예들은 Dow Corning으로부터 시판되는 FOx
Figure pat00003
HSQ, Dow Corning으로부터 시판되는 XLK 다공성 HSQ, 및 JSR Microelectronics로부터 시판되는 JSR LKD-5109를 포함한다.
또한 대안적으로, 이들 유전체층들은 SOD 기법을 사용하여 증착된 유기 재료를 포함할 수 있다. 이러한 막들의 예들은, Dow Chemical로부터 시판되는 SiL-I, SiLK-J, SiLK-H, SiLK-D 및 다공성 SiLK
Figure pat00004
반도체 유전체 수지, 및 Honeywell로부터 시판되는 GX-3PTM 반도체 유전체 수지를 포함한다.
박막은, Tokyo Electron Limited(TEL)(일본, 도쿄)로부터 시판되는 리디우스(Lithius) 코팅 시스템, ACT 12 SOD, 및 크린 트랙(Clean Track) ACT 8 SOD(spin-on dielectric)에 제공된 것들과 같은, 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD), 원자층 증착(ALD), 플라즈마 강화 ALD(PEALD), 물리적 기상 증착(PVD), 또는 이온화 PVD(iPVD), 또는 스핀 온 기법과 같은 기상 증착법을 사용하여 형성될 수 있다. 크린 트랙 ACT 8(200mm), ACT 12(300mm), 및 리디우스(300mm) 코팅 시스템은 SOD 재료들에 대한 코팅, 베이킹 및 경화 도구를 제공한다. 트랙 시스템은 100mm, 200mm, 300mm 및 그 보다 큰 기판 크기를 처리하기 위하여 구성될 수 있다. 기판 상에 박막을 형성하기 위한 다른 시스템 및 방법은 스핀온 기술 및 기상 증착 기술의 양자의 당업자에게 공지되어 있다.
상술된 바와 같이, 하나 이상의 마스크층들은 리소그래피층을 포함할 수도 있다. 리소그래피층은 포토레지스트와 같은 감방사선성 재료층을 포함할 수도 있다. 포토레지스트층은 248 nm(나노미터) 레지스트, 193 nm 레지스트, 157 nm 레지스트, EUV(극자외선) 레지스트, 또는 감전자빔 레지스트를 포함할 수도 있다. 포토레지스트층은 트랙 시스템을 사용하여 형성될 수 있다. 예컨대, 트랙 시스템은 Tokyo Electron Limited(TEL)로부터 시판되는 리디우스 레지스트 코팅 및 현상 시스템 또는 크린 트랙 ACT 8, ACT 12를 포함할 수 있다. 기판 상에 포토레지스트층을 형성하기 위한 다른 시스템 및 방법은 스핀 온 레지스트 기술의 당업자에게 공지되어 있다.
패턴이 마스크층에 형성되고, 마스크층에서의 패턴을 유전체층에 전사하는 데 사용되는 에칭 처리의 결과로서 패턴에 대응하여 유전체층에 피쳐가 형성된다. 피쳐 패턴은 포토리소그래피, 전자 빔 리소그래피, 임프린트 리소그래피, 습식 에칭 또는 건식 에칭 또는 그 2 이상의 임의의 조합을 사용하여 형성될 수도 있다.
포토리소그래피에서, 리소그래피층은 이미지 패턴으로 촬상된다. 여기서, 리소그래피층은 건식 또는 습식 포토리소그래피 시스템에서 레티클을 통하여 EM 방사선에 노광된다. 이미지 패턴은 임의의 적합한 종래의 스테핑(stepping) 리소그래피 시스템 또는 스캐닝 리소그래피 시스템을 사용하여 형성될 수 있다. 포토리소그래피 시스템은 ASML 네털란드 B.V.(네덜란드, 벨드호번 5504 디알, 드 런 6501), 또는 Canon USA, Inc., Semiconductor Equipment Division (미국 캘리포니아주 95134, 산호세, 노스 퍼스트 스트리트 3300)로부터 시판 가능할 수도 있다. 포토리소그래피가 상술되었지만, 리소그래피층에서 이미지 패턴을 형성하는 데 다른 기술들이 사용될 수도 있다. 다른 기술들은 전자 빔 패터닝 시스템을 포함하는 직접 기록 또는 비직접 기록 기술들을 포함할 수도 있다. 그 후, 이미지 패턴은 리소그래피층에서 현상되어, 제1 임계 치수(CD)를 갖는 피쳐 패턴을 형성한다. 현상 처리는, 트랙 시스템과 같은 현상 시스템에서 현상액에 기판을 노출시키는 단계를 포함할 수 있다. 예컨대, 트랙 시스템은 Tokyo Electron Limited(TEL)로부터 시판되는 리디우스 레지스트 코팅 및 현상 시스템 또는 크린 트랙 ACT 8, ACT 12를 포함할 수 있다.
그 후, 마스크층에 형성된 패턴은 하나 이상의 에칭 처리들을 사용하여 하지 박막에 전사된다. 하나 이상의 에칭 처리들은 하나 이상의 건식 처리들 및/또는 하나 이상의 습식 처리들을 포함할 수도 있다. 예컨대, 하나 이상의 에칭 처리들은 하나 이상의 건식 플라즈마 에칭 처리들을 포함할 수도 있다.
피쳐 패턴은 협소한 라인들 및/또는 비아들, 폭이 넓은 라인들 및/또는 비아들, 네스티드 피쳐들, 아이솔레이티드 피쳐들 등을 포함할 수도 있다. 피쳐는 에칭 처리로부터 생성된 제1 조도를 갖는 측벽을 포함한다. 예컨대, 제1 조도는, 다른 것들 중에서, 마스크층에서의 패턴의 형성으로부터로 여겨질 수도 있고, 여기서 조도는 에칭 동안 하지 박막으로 전파되고, 및/또는 에칭 처리 자체로부터 발생되는 손상으로부터로 여겨질 수도 있다.
제1 조도는, 피쳐의 평균 표면 프로파일에 관한 조도의 산술 평균, 피쳐의 평균 표면 프로파일에 관한 조도의 제곱 평균 편차, 피쳐의 평균 표면 프로파일에 관한 조도의 최대 벨리(velly) 깊이, 피쳐의 평균 표면 프로파일에 관한 조도의 최대 피크 높이, 또는 조도 프로파일의 최대 높이(또는 최소 높이와 최대 높이 간의 범위), 또는 그 2 이상의 임의의 조합을 특징으로 할 수도 있다.
단계 120에서, CO2와 CO를 함유하는 처리 가스는 플라즈마 처리 시스템에 도입되어, 단계 130에서 플라즈마가 형성된다. 처리 가스는 탄화수소 가스(CxHy)를 더 포함할 수도 있고, 여기서 x 및 y는 1 이상의 정수이다. 또한, 처리 가스는 탄화수소 가스, 수소화불화탄소 가스, 탄화플루오르 가스, N2, H2, O2, NO, NO2 또는 N2O 또는 그 2 이상의 조합을 더 포함할 수도 있다. 또한, 처리 가스는 노브 가스(noble gas)와 같은 불활성 가스(inert gas)를 더 포함할 수도 있다. 예컨대, 잔여물을 제거하는 방법은, 첨가 가스를 플라즈마 처리 시스템에 도입하는 단계, 및 처리 가스의 도입을 종료하기 전에 첨가 가스의 도입을 종료하는 단계를 더 포함할 수도 있다. 첨가 가스는, N2, H2, O2, NO, NO2, N2O, 탄화수소 가스, 수소화불화탄소 가스, 탄화플루오르 가스, 및 불활성 가스로 구성된 그룹에서 선택된 하나 이상의 가스들을 포함할 수도 있다.
단계 140에서, 마스크층이 제거된다. 단계 150에서, 제1 조도보다 작은 제2 조도가 CO2의 유량에 대한 CO의 유량을 선택함으로써 생성된다. 일 실시예에서, CO의 유량에 대한 CO2의 유량의 비는 약 1 내지 약 5의 범위일 수도 있다. 일 실시예에서, CO의 유량에 대한 CO2의 유량의 비는 약 1.5 내지 약 3의 범위일 수도 있다. 또다른 실시예에서, CO의 유량에 대한 CO2의 유량의 비는 약 2 내지 약 3의 범위일 수도 있다.
CO2의 양에 관한 CO의 양은 약 5nm(나노미터)보다 작은 제2 조도를 생성하도록 선택될 수도 있다. 대안적으로, CO2의 양에 관한 CO의 양은 약 4nm(나노미터)보다 작은 제2 조도를 생성하도록 선택될 수도 있다. 대안적으로, CO2의 양에 관한 CO의 양은 약 3nm(나노미터)보다 작은 제2 조도를 생성하도록 선택될 수도 있다.
일 실시예에서, 잔여물을 제거하는 방법은, 약 1000 mtorr(millitorr)까지의(예컨대, 약 100 mtorr 까지 또는 약 80 mtorr 까지)의 범위인 챔버 압력, 약 2000 sccm(standard cubic centimeters per minute)까지(예컨대, 약 1000 sccm까지, 또는 약 200 sccm에서 약 1000 sccm 또는 약 500 sccm에서 약 1000 sccm 또는 약 750 sccm)의 범위인 CO2 처리 가스 유량, 약 2000 sccm까지(예컨대, 약 1000 sccm까지 또는 약 100 sccm에서 약 1000 sccm 또는 약 200 sccm에서 약 500 sccm)의 범위인 CO 처리 가스 유량, 약 2000 W(watt)까지(예컨대, 1000 W까지 또는 약 500 W까지)의 범위인 상부 전극(예컨데, 도 4의 소자(70) RF 바이어스, 및 약 1000 W까지(예컨대, 약 600W 까지)의 범위인 하부 전극(예컨대, 도 5의 소자(20)) RF 바이어스를 포함하는 처리 파라미터 스페이스를 포함할 수도 있다. 또한, 상부 전극 바이어스 주파수는 약 0.1 MHz 내지 약 200 MHz의 범위일 수 있고, 예컨대 약 60 MHz일 수 있다. 또한, 하부 전극 바이어스 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있고, 예컨대 약 2 MHz일 수 있다.
또다른 대안적인 실시예에서, RF 전력은 하부 전극이 아니라 상부 전극에 공급된다. 또다른 대안적인 실시예에서, RF 전력은 상부 전극이 아니라 하부 전극에 공급된다.
마스크층 또는 잔여물 또는 양쪽을 제거하고, 제2 조도를 생성하는 시간은 실험 계획법(design of experiment, DOE) 기법을 사용하여 결정될 수 있으나, 또한 종점(endpoint) 검출을 사용하여 결정될 수 있다. 종점 검출의 가능한 하나의 방법은, 기판으로부터 마스크층의 제거와 하지 박막과의 컨택트가 실질적으로 거의 완료한 것에 기인하여 플라즈마 화학 반응에서의 변화가 발생할 때 나타나는 플라즈마 영역으로부터 발광 스펙트럼의 일부를 모니터링하는 것이다. 예컨대, 이러한 변화를 나타내는 스펙트럼의 일부는 482.5nm(CO)의 파장을 포함하고, 광 발광 분광기(optical emission spectroscopy, OED)를 사용하여 측정될 수 있다. 다른 종들은 CO2 및/또는 O 라디칼을 포함할 수도 있다. 특정 임계값에 걸치는 모니터링된 파장에 대응하는 방사 레벨(예컨대, 실질적으로 제로로 떨어짐, 특정 레벨 밑으로 떨어짐, 또는 특정 레벨 위로 올라감) 후에, 종점이 완료된 것으로 간주될 수 있다. 종점 정보를 제공하는 다른 파장이 또한 사용될 수 있다. 또한, 에칭 시간은 오버 애싱(over-ash)의 기간을 포함하도록 연장될 수 있고, 이 오버 애싱 기간은 에칭 처리의 개시와 종점 검출과 연관된 시간 사이의 시간의 분율(즉, 1 내지 100%)을 구성한다.
또다른 실시예에서, 잔여물을 제거하는 방법은, CO2 및 CO를 함유하는 처리 가스를 플라즈마 처리 시스템에 도입하기 전에, 측벽 상에 보호층을 형성하기 위하여 마스크층과 피쳐를 전처리하는(pre-treating) 단계를 더 포함할 수도 있다. 전처리하는 단계는, 전처리 처리 가스를 플라즈마 처리 시스템에 도입하는 단계; 플라즈마 처리 시스템에서 전처리 처리 가스로부터 전처리 플라즈마를 형성하는 단계; 및 마스크층 및 패터닝된 박막을 전처리 플라즈마에 노출하는 단계를 포함할 수도 있다. 대안적으로, 전처리 플라즈마가 형성되지 않고, 마스크층과 패터닝된 박막이 전처리 처리 가스에만 노출된다. 전처리 처리 가스는, 탄화수소 가스, 수소화불화탄소 가스, 탄화플루오르 가스, N2, H2, O2, NO, NO2, N2O, 및 불활성 가스로 구성된 그룹에서 선택된 하나 이상의 가스들을 포함할 수도 있다.
예에서, 패턴을 하지 유전체층으로 전사하기 위하여 건식 에칭 처리후 에칭후 잔여물과 마스크층을 제거하는 방법이 제시된다. 유전체층은 초저유전 상수(ultra-low-k 또는 ULK) 재료를 포함한다. 예컨대, ULK 재료는 플라즈마 강화 화학적 기상 증착(PECVD) 처리를 사용하여 형성된 다공성 SiCOH 막(예컨대, k<2.5)을 포함한다. 유전체층은 덮는 하드 마스크층과 캐핑층(capping layer)을 더 포함할 수도 있다.
유전체층을 패터닝할 때, 마스크층은 유전체층 위에 형성되고, 이 마스크층은 포토레지스트층, ARC 층, 및 OPL을 포함할 수도 있다. 포토레지스트는 리소그래피를 사용하여 패터닝될 수도 있고, 그 내에 형성된 패턴은 상술된 바와 같은 일련의 에칭 처리들을 사용하여 ARC 층, OPL 및 유전체층에 전사될 수도 있다.
예컨대, 플라즈마 강화 화학적 기상 증착(PECVD p-SiCOH)을 사용하여 형성된 다공성 SiCOH 함유 재료는, 상부에서 하부까지, 리소그래피층, Si 함유 ARC층, SiOx 하드 마스크층, 유기 평탄화층(OPL), 다른 SiOx 하드 마스크층, SiCOH 하드 마스크층, PECVD p-SiCOH 층, 및 다중층 에칭 정지층을 갖는 막 스택 내에 삽입된다. 패턴은 다공성 SiCOH 함유 재료에 비아를 형성하기 위한 비아 패턴을 포함할 수도 있다.
이 막 스택을 통하여 피쳐 패턴을 전사하기 위한 과정은 다음과 같다: (1) 처리 단계 1은 리소그래피층의 피쳐 패턴을 하지 ARC 층과 SiOx 하드 마스크층에 전사한다; (2) 처리 단계 2는 ARC층의 피쳐 패턴을 하지 OPL에 전사한다; (3) 처리 단계 3은 OPL의 피쳐 패턴을 하지 SiOx 하드 마스크층에 전사한다; (4) 처리 단계 4는 산소 함유 플래시 처리를 제공한다; (5) 처리 단계 5 및 6은 SiOx 하드 마스크층의 피쳐 패턴을 하지 PECVD p-SiCOH층에 전사한다(즉, 상술된 바와 같은 피쳐 패턴을 박막에 전사하는 주 에칭 처리); (6) 처리 단계 7은 제1 애싱 처리를 제공한다; (7) 처리 단계 8는 실리콘 질화물층을 하지층으로 하는 PECVD p-SiCOH 층을 에칭하기 위한 선형 제거(linear removal, LRM) 처리를 제공한다; (8) 처리 단계 9는 기판과 플라즈마 처리 시스템으로부터 불소(F) 함유 재료를 제거하기 위하여 탈불화 클리닝(defluorination clenaning, DFC) 처리를 제공한다.
애싱 처리는 도 5에 설명된 것과 같은 플라즈마 처리 장치를 사용하여 수행될 수도 있다. 그러나, 논의된 방법들은 본 예시적인 제시에 의한 범위에 제한되지 않는다.
논의된 바와 같이, 본 발명자들은, 플라즈마 애싱 처리에서 CO2와 조합하여 CO를 사용하는 것은, CO2 애싱 처리와 O2 애싱 처리 모두에 비교하여 유전체에의 손상을 감소시킬 수 있다는 것을 발견하였다. 본 발명자들은, 애싱 처리의 특정 양상들을 변화시키는 것은, 유전체에서 에칭된 피쳐들에 대하여 다른 것들 중에서, 피팅을 감소시키고, 바우잉을 감소시키고, 존재하는 측벽 조도를 개선시키고, 측벽 프로파일 제어를 개선시키고, CD 바이어스 제어를 개선시킬 수 있다는 것을 또한 발견하였다.
애싱 조건 압력(mtorr) UEL 전력(W) LEL 전력(W) CO2 유량(sccm) CO 유량(sccm) 시간(초)
참조 50 0 540 750 0 EPD+50% 오버애싱
1

2
50 0 540 750 250 EPD+50% 오버애싱
50 0 540 750 375 EPD+50% 오버애싱
표 1은 종래의 CO2 애싱 처리(즉, "참조"), 제1 CO2/CO 애싱 처리(즉, "1"), 및 제2 CO2/CO 애싱 처리(즉, "2")에 대한 예시적인 처리 레시피를 제공한다. 각 애싱 처리에 대하여, 처리 조건은, 플라즈마 처리 챔버 내의 압력(밀리토르, mtorr), 상부 전극(UEL) 전력(와트, W), 하부 전극(LEL) 전력(와트, W), CO2 유량(standard cubic centimeters per minute, sscm), CO 유량 및 애싱 시간을 포함하여 열겨된다.
표 1에 나열된 처리 레시피 각각에 대한 다른 처리 조건들은, 15 torr(중심) 및 40 torr(에지)의 후면 헬륨 가스 압력; UEL 온도(에컨대, 도 6의 상부 전극(70)) = 60도; 챔버 벽 온도 = 60도; 기판 홀더 온도(예컨대, 도 6의 기판 홀더(20) = 20도; 및 1:1의 중심 대 에지 분포율(또는 50%-중심/50%-에지)를 포함한다.
도 10에서, CO 유량이 변할 때(0 sccm 에서 375 sccm까지) 3개의 애싱 처리들에 대한 결과들이 제공된다. 이 결과는, 애싱 처리 후의 중간 깊이에서의 피쳐, 즉 비아의 임계 치수(CD)(Mid CD(에칭): 꽉찬 다이아몬드로 나타냄), 선형 제거 처리 후의 중간 깊이에서의 피쳐의 CD(Mid CD(클린): 꽉찬 사각형으로 나타냄), 및 선형 제거 처리 후의 측벽 손상(손상/측: 빈 삼각형)을 포함한다.
도 10에 도시된 바와 같이, 약 300 sccm 까지의 CO 유량의 증가는 mid CD 모두에서의 감소를 야기한다. SEM(scanning electron microscope) 단면의 검사는, 피쳐 바우잉의 감소가 관찰될 수도 있다는 것을 나타낸다. 또한, mid CD들 간의 차이는 또한 CO 유량의 증가에 따라 감소한다. 또한, 측벽 손상은 약 300 sccm까지의 CO 유량의 증가에 따라 감소된다. 따라서, 본 발명자들은, CO의 유량에 대한 CO2의 유량의 바람직한 비는 약 2 내지 약 3의 범위일 수도 있다는 것을 판정하였다. 예컨대, CO2의 유량은 약 1000 sccm 이하일 수도 있고, CO의 유량은 약 700 sccm 이하일 수도 있다. 또한, 예컨대, CO2의 유량은 약 750 sccm 이하일 수도 있고, CO의 유량은 약 375 sccm 이하일 수도 있다.
본 발명자들은, 상술된 CO2/CO계 애싱 처리는 마스크층의 제거 동안 비아 피쳐의 측벽 상의 피팅을 감소시킨다는 것을 또한 판정하였다. 또한, 본 발명자들은, 상술된 CO2/CO계 애싱 처리는 약 5 나노미터(nm)보다 작은 비아 피쳐에 대한 임계 치수(CD) 바이어스를 유지할 수 있고, 이 CD 바이어스는 피쳐에 대한 정상 CD와 바닥 CD 간의 차를 나타내고, 편차는 상기 제거로부터 발생되는 CD 바이어스의 변화를 나타낸다는 것을 또한 판정하였다. 또한, 본 발명자들은, 상술된 CO2/CO계 애싱 처리는 약 85도 이상의 프로파일 각을 유지할 수 있다는 것을 또한 판정하였다.
또한, 네스티드 피쳐들(즉, 조밀한 라인들과 같은 가까운 피쳐들), 아이솔레이티드 피쳐들(즉, 아이솔레이티드 라인들과 같은 먼 피쳐들), 및 폭이 넓은 피쳐들(즉, 폭이 넓은 라인들과 같은 비교적 폭넓은 CD)(도 11 참조)에 대한 CD 바이어스에서의 오프셋(또는 차이)은 통상의 애싱 처리에 관하여 유지될 수도 있고, 또는 CO의 첨가에 의하여 감소 및/또는 최소화될 수도 있다.
본 발명의 특정 실시예들만이 상기에 상세히 설명되었으나, 당업자는, 본 발명의 신규한 교시 및 이점들로부터 실질적으로 벗어나지 않고 본 실시예들에서 많은 변형이 가능하는 것을 용이하게 이해할 것이다. 따라서, 이러한 모든 변형들은 본 발명의 범위 내에 포함되고자 한다.
1a : 처리 시스템 10 : 플라즈마 처리 챔버
20 : 기판 홀더 22 : 전극
25 : 기판 26 : 후면 가스 공급 시스템
30 : RF 발생기 32 : 임피던스 정합 네트워크
40 : 가스 분배 시스템 45 : 처리 영역
50 : 진공 펌핑 시스템

Claims (20)

  1. 기판으로부터 잔여물을 제거하는 방법으로서,
    상기 기판을 플라즈마 처리 시스템에 배치하는 단계로서, 상기 기판은 그 위에 형성된 유전체층과, 상기 유전체층을 덮는 마스크층을 포함하고, 상기 마스크층은 그 내부에 형성된 패턴을 포함하고, 상기 유전체층은 상기 마스크층의 상기 패턴을 상기 유전체층에 전사하는 데 사용되는 에칭 처리의 결과로서 그 내부에 형성된 피쳐(feature)를 포함하고, 상기 피쳐는 상기 에칭 처리로부터 생성된 제1 조도를 갖는 측벽을 포함하는 것인 상기 배치하는 단계;
    상기 플라즈마 처리 시스템으로 CO2 및 CO를 함유하는 처리 가스를 도입하는 단계;
    상기 처리 가스로부터 플라즈마를 형성하는 단계;
    상기 기판으로부터 상기 마스크층을 제거하는 단계; 및
    상기 CO2의 유량에 대한 상기 CO의 유량을 선택함으로써 상기 제1 조도보다 작은 제2 조도를 생성하는 단계
    를 포함하는 기판으로부터 잔여물을 제거하는 방법.
  2. 제 1 항에 있어서, 상기 마스크층을 제거하는 단계 동안 상기 피쳐의 상기 측벽 상에 피팅(pitting)을 감소시키는 단계를 더 포함하며, 상기 유전체층은 다공성 유전체층을 포함하는 것인 기판으로부터 잔여물을 제거하는 방법.
  3. 제 1 항에 있어서, 85도 이상의 프로파일 각을 유지하는 단계를 더 포함하는 기판으로부터 잔여물을 제거하는 방법.
  4. 제 1 항에 있어서, 5 나노미터(nm)보다 작은 상기 피쳐에 대한 임계 치수(CD) 바이어스에서의 편차를 유지하는 단계를 더 포함하고, 상기 CD 바이어스는 상기 피쳐에 대한 정상 CD와 바닥 CD 간의 차를 나타내고, 상기 편차는 상기 제거하는 단계로부터 발생하는 상기 CD 바이어스의 변화를 나타내는 것인 기판으로부터 잔여물을 제거하는 방법.
  5. 제 1 항에 있어서, 상기 CO의 상기 유량에 대한 상기 CO2의 상기 유량의 비는 1.5 내지 3의 범위인 것인 기판으로부터 잔여물을 제거하는 방법.
  6. 제 5 항에 있어서, 상기 비는 2 내지 3의 범위인 것인 기판으로부터 잔여물을 제거하는 방법.
  7. 제 1 항에 있어서, 상기 제2 조도는 5 nm보다 작은 것인 기판으로부터 잔여물을 제거하는 방법.
  8. 제 1 항에 있어서, 상기 제2 조도는 4 nm보다 작은 것인 기판으로부터 잔여물을 제거하는 방법.
  9. 제 1 항에 있어서, 상기 제2 조도는 3 nm보다 작은 것인 기판으로부터 잔여물을 제거하는 방법.
  10. 제 1 항에 있어서, 상기 처리 가스를 도입하는 단계는, 탄화수소 가스(CxHy)를 도입하는 단계로서, 여기서 x 및 y는 1 이상의 정수인 것인 상기 탄화수소 가스를 도입하는 단계를 더 포함하는 것인 기판으로부터 잔여물을 제거하는 방법.
  11. 제 1 항에 있어서, 상기 처리 가스를 도입하는 단계는, N2, H2, O2, NO, NO2 또는 N2O 또는 그 2 이상의 조합을 도입하는 단계를 더 포함하는 것인 기판으로부터 잔여물을 제거하는 방법.
  12. 제 1 항에 있어서, 상기 처리 가스를 도입하는 단계는 불활성 가스를 도입하는 단계를 더 포함하는 것인 기판으로부터 잔여물을 제거하는 방법.
  13. 제 1 항에 있어서, 상기 플라즈마 처리 시스템으로 상기 CO2 및 CO를 함유하는 상기 처리 가스를 도입하는 단계 전에, 상기 측벽 상에 보호층을 형성하기 위하여 상기 마스크층 및 피쳐를 전처리하는(pre-treating) 단계를 더 포함하며, 상기 전처리하는 단계는,
    상기 플라즈마 처리 시스템으로 전처리 처리 가스를 도입하는 단계;
    상기 플라즈마 처리 시스템에서 상기 전처리 처리 가스로부터 전처리 플라즈마를 형성하는 단계; 및
    상기 전처리 플라즈마에 상기 마스크층과 상기 유전체층을 노출시키는 단계
    를 포함하는 것인 기판으로부터 잔여물을 제거하는 방법.
  14. 제 13 항에 있어서, 상기 전처리 처리 가스는, 탄화수소 가스, 수소화불화탄소 가스, 탄화플루오르 가스 및 불활성 가스로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 함유하는 것인 기판으로부터 잔여물을 제거하는 방법.
  15. 제 1 항에 있어서,
    상기 플라즈마 처리 시스템에 첨가 가스를 도입하는 단계; 및
    상기 처리 가스를 도입하는 단계를 종료하기 전에, 상기 첨가 가스를 도입하는 단계를 종료하는 단계
    를 더 포함하는 기판으로부터 잔여물을 제거하는 방법.
  16. 제 15 항에 있어서, 상기 첨가 가스는, N2, H2, O2, NO, NO2 , N2O, 탄화수소 가스, 수소화불화탄소 가스, 탄화플루오르 가스 및 불활성 가스로 구성된 그룹으로부터 선택된 하나 이상의 가스들을 함유하는 것인 기판으로부터 잔여물을 제거하는 방법.
  17. 제 1 항에 있어서,
    100 mtorr 이하에서 상기 플라즈마 처리 시스템의 챔버의 압력을 유지하는 단계; 및
    1000 W 이하의 전력 레벨에서 상기 챔버내의 기판 홀더에 RF 전력을 연결하는 단계
    를 더 포함하는 기판으로부터 잔여물을 제거하는 방법.
  18. 제 17 항에 있어서, 상기 압력은 80 mtorr 이며, 상기 전력 레벨은 600 W 보다 작은 것인 기판으로부터 잔여물을 제거하는 방법.
  19. 제 17 항에 있어서, 상기 처리 가스를 도입하는 단계는, 1000 sccm 이하의 유량으로 상기 챔버로 상기 CO2를 흐르게 하는 단계 및 700 sccm 이하의 유량으로 상기 챔버로 상기 CO를 흐르게 하는 단계를 포함하는 것인 기판으로부터 잔여물을 제거하는 방법.
  20. 제 17 항에 있어서, 상기 처리 가스를 도입하는 단계는, 750 sccm 이하의 유량으로 상기 챔버로 상기 CO2를 흐르게 하는 단계 및 375 sccm 이하의 유량으로 상기 챔버로 상기 CO를 흐르게 하는 단계를 포함하는 것인 기판으로부터 잔여물을 제거하는 방법.
KR1020100072493A 2009-07-29 2010-07-27 Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법 KR101688231B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/511,832 US7637269B1 (en) 2009-07-29 2009-07-29 Low damage method for ashing a substrate using CO2/CO-based process
US12/511,832 2009-07-29

Publications (2)

Publication Number Publication Date
KR20110013265A true KR20110013265A (ko) 2011-02-09
KR101688231B1 KR101688231B1 (ko) 2016-12-20

Family

ID=41432915

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100072493A KR101688231B1 (ko) 2009-07-29 2010-07-27 Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법

Country Status (4)

Country Link
US (1) US7637269B1 (ko)
JP (1) JP5608920B2 (ko)
KR (1) KR101688231B1 (ko)
TW (1) TWI417960B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130093038A (ko) * 2012-02-13 2013-08-21 노벨러스 시스템즈, 인코포레이티드 유기 하드마스크들을 에칭하는 방법

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US20110232567A1 (en) 2010-03-25 2011-09-29 Tokyo Electron Limited Method of cleaning the filament and reactor's interior in facvd
JP6096470B2 (ja) * 2012-10-29 2017-03-15 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer
CN106298498B (zh) * 2015-06-11 2018-12-25 中微半导体设备(上海)有限公司 刻蚀形成硅通孔的方法与硅通孔刻蚀装置
US10535566B2 (en) * 2016-04-28 2020-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
KR102451171B1 (ko) 2018-01-25 2022-10-06 삼성전자주식회사 반도체 소자
US10804106B2 (en) 2018-02-21 2020-10-13 International Business Machines Corporation High temperature ultra-fast annealed soft mask for semiconductor devices
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008502146A (ja) * 2004-06-03 2008-01-24 ラム リサーチ コーポレーション ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
JP2008124460A (ja) * 2006-10-31 2008-05-29 Applied Materials Inc レジスト除去及びレジスト下のフィーチャのファセット制御のためのプラズマ

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040018715A1 (en) 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
JP2004071996A (ja) * 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US20050118541A1 (en) 2003-11-28 2005-06-02 Applied Materials, Inc. Maintenance of photoresist adhesion and activity on the surface of dielectric ARCS for 90 nm feature sizes
JP3816080B2 (ja) 2004-02-20 2006-08-30 松下電器産業株式会社 プラズマ処理方法およびプラズマ処理装置
JP4723871B2 (ja) * 2004-06-23 2011-07-13 株式会社日立ハイテクノロジーズ ドライエッチング装置
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7396769B2 (en) 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US20060199370A1 (en) 2005-03-01 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of in-situ ash strip to eliminate memory effect and reduce wafer damage
US7279427B2 (en) * 2005-08-03 2007-10-09 Tokyo Electron, Ltd. Damage-free ashing process and system for post low-k etch
US7964511B2 (en) 2005-09-09 2011-06-21 Tokyo Electron Limited Plasma ashing method
US7790047B2 (en) * 2006-04-25 2010-09-07 Applied Materials, Inc. Method for removing masking materials with reduced low-k dielectric material damage
TWI437633B (zh) * 2006-05-24 2014-05-11 Ulvac Inc Dry etching method for interlayer insulating film
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
KR100845547B1 (ko) * 2006-10-10 2008-07-10 삼성전기주식회사 카메라 모듈과 이의 조립 장치
WO2008054014A1 (fr) * 2006-10-30 2008-05-08 Japan Aviation Electronics Industry Limited Procédé de planarisation de surface solide par faisceau ionique à agglomérat gazeux et appareil de planarisation de surface solide
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008502146A (ja) * 2004-06-03 2008-01-24 ラム リサーチ コーポレーション ガス化学反応および炭化水素付加の周期的変調を用いたプラズマストリッピング方法
JP2008124460A (ja) * 2006-10-31 2008-05-29 Applied Materials Inc レジスト除去及びレジスト下のフィーチャのファセット制御のためのプラズマ

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130093038A (ko) * 2012-02-13 2013-08-21 노벨러스 시스템즈, 인코포레이티드 유기 하드마스크들을 에칭하는 방법

Also Published As

Publication number Publication date
TWI417960B (zh) 2013-12-01
US7637269B1 (en) 2009-12-29
TW201133618A (en) 2011-10-01
JP5608920B2 (ja) 2014-10-22
JP2011035395A (ja) 2011-02-17
KR101688231B1 (ko) 2016-12-20

Similar Documents

Publication Publication Date Title
US8809196B2 (en) Method of etching a thin film using pressure modulation
KR101688231B1 (ko) Co2/co계 처리를 이용하여 기판을 애싱하기 위한 저손상 방법
KR101569938B1 (ko) 임계 치수 바이어스가 감소된 실리콘 함유 반사 방지 코팅층의 에칭 방법
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
US8252192B2 (en) Method of pattern etching a dielectric film while removing a mask layer
US7858270B2 (en) Method for etching using a multi-layer mask
US20060102197A1 (en) Post-etch treatment to remove residues
KR101889107B1 (ko) 저 유전상수 손상을 감소시키도록 노출된 저 유전상수 표면에 SiOCl-함유 층을 형성하는 방법
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US7947609B2 (en) Method for etching low-k material using an oxide hard mask
US7935640B2 (en) Method for forming a damascene structure
US20090246713A1 (en) Oxygen-containing plasma flash process for reduced micro-loading effect and cd bias
US7622390B2 (en) Method for treating a dielectric film to reduce damage

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20191202

Year of fee payment: 4