TW201721713A - 被處理體之處理方法 - Google Patents

被處理體之處理方法 Download PDF

Info

Publication number
TW201721713A
TW201721713A TW105129522A TW105129522A TW201721713A TW 201721713 A TW201721713 A TW 201721713A TW 105129522 A TW105129522 A TW 105129522A TW 105129522 A TW105129522 A TW 105129522A TW 201721713 A TW201721713 A TW 201721713A
Authority
TW
Taiwan
Prior art keywords
gas
film
processing
plasma
treating
Prior art date
Application number
TW105129522A
Other languages
English (en)
Other versions
TWI684201B (zh
Inventor
加藤和也
進藤俊彥
淺子龍一
長畑壽
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201721713A publication Critical patent/TW201721713A/zh
Application granted granted Critical
Publication of TWI684201B publication Critical patent/TWI684201B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Plasma Technology (AREA)

Abstract

本發明提供一種可抑制配線的表面粗糙及金屬遮罩的表面粗糙雙方之被處理體的處理方法。一實施形態,包含:為了蝕刻擴散防止膜直至銅配線露出為止,而產生包含氟碳化物氣體及/或氫氟碳化物氣體之第1處理氣體的電漿之步驟;以及為了在擴散防止膜經蝕刻的狀態之被處理體的表面上形成有機膜,而產生包含含碳氣體之第2處理氣體的電漿之步驟。

Description

被處理體之處理方法
本發明之實施形態,係關於一種被處理體之處理方法,特別是關於包含在多層配線構造的製作中將被處理體搬運往大氣環境前施行之該被處理體的處理之方法。
在電子零件,有使用多層配線構造作為其配線構造之情形。多層配線構造,例如具有第1配線層、擴散防止膜、及第2配線層。第1配線層,包含絕緣膜及銅配線,該銅配線係形成在形成於絕緣膜的溝槽內。擴散防止膜,設置於第1配線層上。第2配線層,設置於擴散防止膜上。第2配線層,包含絕緣膜及銅配線。第2配線層之銅配線係形成在形成於該第2配線層的絕緣膜之溝槽及介層洞內。第2配線層之銅配線,通過形成在擴散防止膜的開口,而與第1配線層之銅配線相連接。
在此等多層配線構造的製作,利用金屬鑲嵌法。例如,雙重金屬鑲嵌法,於被處理體的第1配線層上形成擴散防止膜及絕緣膜。其次,將提供開口之光阻遮罩形成在絕緣膜上。接著,藉由電漿蝕刻,於絕緣膜形成溝槽及介層洞。接著,藉由電漿蝕刻,將開口形成在擴散防止膜。此開口,與介層洞連續,延伸至第1配線層之銅配線的表面為止。在擴散防止膜形成開口後,將被處理體往大氣環境搬運。其後,施行被處理體的濕式清洗。而後,將銅嵌入溝槽及介層洞、與擴散防止膜之開口。
金屬鑲嵌法中之擴散防止膜的電漿蝕刻,一般而言,使用包含氟之處理氣體。使用此處理氣體的電漿蝕刻,使對於擴散防止膜之開口的形成進行,一旦第1配線層之銅配線露出,則該銅配線的表面暴露於氟之活性種。其結果,在第1配線層之銅配線的表面形成氟化銅。氟化銅,若在大氣環境下與水分接觸,則形成水合物。因此,發生銅配線的表面粗糙。
作為處理銅配線的表面粗糙等問題的技術,於專利文獻1,提出在擴散防止膜的電漿蝕刻後,在被處理體之往大氣環境的搬運前,藉由使用氮氣及氫氣之電漿處理,而減少氟化銅的技術。 [習知技術文獻] [專利文獻]
專利文獻1:日本特開2006-156486號公報
[本發明所欲解決的問題] 而前人要求取代多層配線構造的製作中使用之光阻遮罩,而使用金屬遮罩,例如由Ti或TiN形成之遮罩。然則,在擴散防止膜的電漿蝕刻後,若施行使用氮氣及氫氣之電漿處理,而將被處理體往大氣環境搬運,則在金屬遮罩發生表面粗糙。吾人認為金屬遮罩的表面粗糙,亦起因於被處理體與大氣環境中之水分的接觸。因此,必須抑制銅配線的表面粗糙及金屬遮罩的表面粗糙雙方。 [解決問題之技術手段]
在一態樣中,提供一種被處理體之處理方法。該方法包含以下步驟:(i)準備被處理體之步驟,該被處理體包含:具有第1絕緣膜及形成在該絕緣膜之銅配線的配線層、設置於該配線層上的擴散防止膜、設置於該擴散防止膜上的第2絕緣膜、以及提供開口並設置於第2絕緣膜上的金屬遮罩,在從金屬遮罩之開口露出處中第2絕緣膜受到蝕刻;(ii)產生第1處理氣體的電漿之步驟,為了蝕刻擴散防止膜直至銅配線露出為止,而產生包含氟碳化物氣體及/或氫氟碳化物氣體之第1處理氣體的電漿(下稱「第1步驟」);以及(iii)產生第2處理氣體的電漿之步驟,為了在擴散防止膜經蝕刻的狀態之被處理體的表面上形成有機膜,而產生包含含碳氣體之第2處理氣體的電漿(下稱「第2步驟」)。
一態樣之方法,於第1步驟之擴散防止膜的蝕刻後,於第2步驟中在被處理體的表面形成有機膜。在實行此一第2步驟後雖將被處理體往大氣環境搬運,但藉由有機膜,從大氣中之水分保護銅配線的表面及金屬遮罩的表面。因此,依此一方法,則抑制銅配線的表面粗糙及金屬遮罩的表面粗糙雙方。
一實施形態中,含碳氣體可為烴氣。從烴氣形成之有機膜,實質上不包含氟。因此,此有機膜,對於濕式清洗所使用之洗淨液,具有高的潤濕性,即小的接觸角。是故,此有機膜,藉由濕式清洗簡單地去除。
含碳氣體係烴氣之實施形態中,作為第2處理氣體可使用不包含氫氣之處理氣體。氫氣,係具有減少有機膜之效果的氫之活性種的源,但因第2處理氣體不包含氫氣,故有效率地形成有機膜。
一實施形態中,含碳氣體可為氟碳化物氣體,第2處理氣體可更包含氫氣。此一實施形態,氟碳化物氣體作為有機膜之碳源而作用,氫氣具有減少有機膜中的氟之功能。因此,此一實施形態,形成含氟量少之有機膜。此一有機膜,相對於濕式清洗所使用之洗淨液,具有高的潤濕性,即小的接觸角,故可藉由濕式清洗簡單地剝離。
第2處理氣體包含氟碳化物氣體及氫氣之實施形態,相對於第2處理氣體所含的氟碳化物氣體之流量,氫氣之流量可為5倍以上,20倍以下之流量。依此一實施形態,則形成相對於濕式清洗所使用之洗淨液具有更高的潤濕性之有機膜。
一實施形態,在第2步驟中可將被處理體之溫度保持為60℃以下之溫度。例如在如300℃以上之高溫環境下雖發生有機膜的熱分解,但第2步驟將被處理體之溫度保持為60℃以下之溫度。因此,有效率地形成有機膜。
一實施形態之第2步驟,可形成具有2nm以上之膜厚的有機膜。依此一膜厚的有機膜,則可實質上防止大氣中之水分透過該有機膜。
一實施形態中,第2處理氣體所含的氟碳化物氣體,可包含C4 F8 氣體、C4 F6 氣體、及C5 F8 氣體中之一種以上的氣體。此外,第1處理氣體,可包含CF4 氣體、CHF3 氣體、C4 F8 氣體、C4 F6 氣體、CH2 F2 氣體、及CH3 F氣體中之一種以上的氣體。此外,擴散防止膜,可為由SiC、SiCN、或SiN形成之單層膜,抑或為包含分別由SiC、SiCN、或SiN形成的複數膜之多層膜。此外,絕緣膜,可為由SiOCH形成之單層膜、包含由SiO2 形成的膜與低介電常數膜之多層膜、抑或包含複數低介電常數膜之多層膜。此外,金屬遮罩,可由Ti或TiN形成。
一實施形態中,可涵蓋實行第1步驟的期間及實行第2步驟的期間,維持將被處理體收納於單一電漿處理裝置之處理容器內的狀態。 [本發明之效果]
如同上述說明,抑制配線的表面粗糙及金屬遮罩的表面粗糙雙方。
以下,參考圖面,對各種實施形態詳細地予以說明。另,對於各圖面中同一或相當的部分給予同一符號。
圖1為,顯示一實施形態的被處理體之處理方法的流程圖。圖1所示之方法MT,特別是,包含在多層配線構造的製作中將被處理體搬運往大氣環境前施行之被處理體的處理之方法。
圖2為,顯示係圖1所示之方法的應用對象之被處理體的一例之剖面圖。圖2所示之被處理體(下稱「晶圓W」),係在使用雙重金屬鑲嵌法製作多層配線構造之中途獲得的被處理體。晶圓W,具有配線層WL。配線層WL,包含第1絕緣膜IS1、金屬阻障層膜BL、及銅配線CW。此外,晶圓W,進一步具有擴散防止膜DL、第2絕緣膜IS2、氧化膜OX、金屬遮罩MK、有機層OL、反射防止膜AL、及光阻遮罩RM。
第1絕緣膜IS1,由絕緣材料及/或低介電常數材料構成。第1絕緣膜IS1,例如可為由SiOCH形成之單層膜、包含由SiO2 形成的膜與低介電常數膜之多層膜、或包含複數低介電常數膜之多層膜。於此第1絕緣膜IS1形成溝槽。銅配線CW,嵌入至第1絕緣膜IS1之溝槽。金屬阻障層膜BL,例如由Ta等金屬構成,在第1絕緣膜IS1中設置於區畫溝槽的面與銅配線CW之間。
擴散防止膜DL,設置於配線層WL上。擴散防止膜DL,例如可由SiC、SiCN、或SiN等構成。此外,擴散防止膜DL,可為包含分別由SiC、SiCN、或SiN形成的複數膜之多層膜。
第2絕緣膜IS2,設置於擴散防止膜DL上。第2絕緣膜IS2,由絕緣材料及/或低介電常數材料構成。例如,第2絕緣膜IS2,可為由SiOCH形成之單層膜、包含由SiO2 形成的膜與低介電常數膜之多層膜、或包含複數低介電常數膜之多層膜。
氧化膜OX,設置於第2絕緣膜IS2上。氧化膜OX,例如可為由TEOS形成之氧化矽膜。金屬遮罩MK,設置於氧化膜OX之上。金屬遮罩MK,具有轉印至第2絕緣膜IS2的圖案。亦即,於金屬遮罩MK,形成與形成在第2絕緣膜IS2之溝槽相對應的開口。此金屬遮罩MK,例如可由鈦(Ti)或氮化鈦(TiN)構成。
有機層OL,設置為覆蓋金屬遮罩MK,且填埋金屬遮罩MK之開口。反射防止膜AL設置於有機層OL上。光阻遮罩RM,設置於有機層OL上。光阻遮罩RM,具有轉印至第2絕緣膜IS2的圖案。亦即,於光阻遮罩RM,形成與形成在第2絕緣膜IS2之介層洞相對應的開口。
圖3為,概略示意可使用在圖1所示之方法的實施之基板處理系統的一例之圖。圖3所示之基板處理系統110,具備裝載模組112、真空預備模組141、真空預備模組142、轉移模組116、及複數處理模組181~184。
裝載模組112,係在大氣壓環境下搬運基板之裝置。於裝載模組112,安裝複數架台120。於複數架台120上,分別搭載可收納複數晶圓之前開式晶圓盒(Front Opening Unified Pod,FOUP)122。此等前開式晶圓盒122內,在大氣環境下保管晶圓。
裝載模組112,於其內部之搬運腔室122c具有搬運機械臂112r。於裝載模組112,連接真空預備模組141及真空預備模組142。搬運機械臂112r,可在前開式晶圓盒122與真空預備模組141之間,或在前開式晶圓盒122與真空預備模組142之間搬運基板。
真空預備模組141及真空預備模組142,分別具有用於預備減壓之腔室141c及腔室142c。於真空預備模組141及真空預備模組142,連接轉移模組116。轉移模組116,提供可減壓的搬運腔室116c,於該搬運腔室116c內具有搬運機械臂116r。於此轉移模組116,連接複數處理模組181~184。轉移模組之搬運機械臂116r,可在真空預備模組141及真空預備模組142之任一與複數處理模組181~184之任一之間,以及複數處理模組181~184中之任意二個處理模組之間搬運基板。
各個複數處理模組181~184,係用於施行對於基板之專用處理的基板處理裝置。在處理模組181~184中之一個,使用圖4所示之電漿處理裝置10。
圖4為,概略示意可使用在圖1所示之方法的實施之電漿處理裝置的一例之圖。圖4所示之電漿處理裝置10,係電容耦合型電漿處理裝置,具備略圓筒狀的處理容器12。處理容器12,例如由其內壁面施行過陽極氧化處理的鋁構成。此處理容器12為安全接地。
於處理容器12之底部上,設置略圓筒狀的支持部14。支持部14,例如由絕緣材料構成。支持部14,在處理容器12內中,從處理容器12之底部起往鉛直方向延伸。此外,於處理容器12內,設置載置台PD。載置台PD,係藉由支持部14支持。
載置台PD,構成為於其頂面中保持晶圓W。載置台PD,具有下部電極LE及靜電吸盤ESC。下部電極LE,包含第1板18a及第2板18b。第1板18a及第2板18b,例如由鋁等金屬構成,呈略圓盤形狀。第2板18b,設置於第1板18a上,與第1板18a電性連接。
於第2板18b上,設置靜電吸盤ESC。靜電吸盤ESC,具有將係導電膜之電極配置在一對絕緣層或絕緣片間的構造。靜電吸盤ESC之電極,通過開關23而與直流電源22電性連接。此靜電吸盤ESC,藉由以來自直流電源22之直流電壓產生的庫侖力等靜電力而吸附晶圓W。藉此,靜電吸盤ESC,可保持晶圓W。
於第2板18b之邊緣部上,以包圍晶圓W的邊緣及靜電吸盤ESC之方式配置對焦環FR。對焦環FR,係為了提高對於晶圓之電漿處理的均一性而設置。對焦環FR,由因應電漿處理而適宜選擇的材料構成,例如可由石英構成。
於第2板18b之內部,設置冷媒流路24。冷媒流路24,構成溫調機構。於冷媒流路24,從設置於處理容器12之外部的急冷器單元起通過配管26a而供給冷媒。供給至冷媒流路24的冷媒,通過配管26b而返回急冷器單元。如此地,在冷媒流路24與急冷器單元之間,使冷媒循環。藉由控制此冷媒之溫度,而控制以靜電吸盤ESC支持的晶圓W之溫度。
此外,於電漿處理裝置10,設置氣體供給線28。氣體供給線28,將來自熱傳氣體供給機構之熱傳氣體,例如He氣體,對靜電吸盤ESC的頂面與晶圓W的背面之間供給。
此外,電漿處理裝置10,具備上部電極30。上部電極30,設置於載置台PD之上方。在上部電極30與載置台PD之間,提供用於對晶圓W施行電漿處理的處理空間S。
上部電極30,隔著絕緣性遮蔽構件32,而被支持在處理容器12之上部。上部電極30,可包含頂板34及支持體36。頂板34面向處理空間S,於該頂板34設置複數氣體噴出孔34a。此頂板34,在一實施形態中由矽構成。
支持體36,以可任意裝卸的方式支持頂板34,可由例如鋁等導電性材料構成。此支持體36,可具有水冷構造。於支持體36之內部,設置氣體擴散室36a。從此氣體擴散室36a起,與氣體噴出孔34a連通的複數氣體流通孔36b往下方延伸。此外,於支持體36,形成將處理氣體往氣體擴散室36a引導的氣體導入口36c,於此氣體導入口36c,連接氣體供給管38。
於氣體供給管38,通過閥群42及流量控制器群44,而與氣體源群40相連接。氣體源群40,包含複數氣體源。在一例中,氣體源群40,包含一個以上之氟碳化物氣體的源、一個以上之氫氟碳化物氣體的源、烴氣的源、稀有氣體的源、氮氣(N2 氣體)的源、氫氣(H2 氣體)的源、及一個以上之含氧氣體的源。一個以上之氟碳化物氣體的源,在一例中,可包含C4 F8 氣體的源、CF4 氣體的源、C4 F6 氣體、及C5 F8 氣體的源。一個以上之氫氟碳化物氣體的源,在一例中,可包含CHF3 氣體的源、CH2 F2 氣體的源、及CH3 F氣體的源。烴氣的源,在一例中,可包含CH4 氣體、C2 H2 氣體、C2 H4 氣體、C2 H6 氣體、C3 H4 氣體、C3 H6 氣體、C3 H8 氣體、C4 H4 氣體、C4 H6 氣體、C4 H8 氣體、或C4 H10 氣體的源。稀有氣體的源,可為He氣體、Ne氣體、Ar氣體、Kr氣體、Xe氣體等任意稀有氣體的源,在一例中,係Ar氣體的源。此外,一個以上之含氧氣體的源,在一例中,包含氧氣(O2 氣體)的源。此外,一個以上之含氧氣體的源,可更包含CO氣體的源及/或CO2 氣體的源。
閥群42包含複數閥,流量控制器群44包含質量流量控制器等複數流量控制器。氣體源群40之複數氣體源,分別通過閥群42之對應的閥及流量控制器群44之對應的流量控制器,而與氣體供給管38相連接。
此外,電漿處理裝置10中,沿著處理容器12之內壁以可任意裝卸的方式設置防沉積遮蔽件46。防沉積遮蔽件46,亦設置於支持部14之外周。防沉積遮蔽件46,防止蝕刻副產物附著於處理容器12之內壁面等壁面,可藉由在鋁材被覆Y2 O3 等陶瓷而構成。
在處理容器12之底部側,且在支持部14與處理容器12的側壁之間,設置具有複數貫通孔的排氣板48。排氣板48被覆,例如可藉由在鋁材被覆Y2 O3 等陶瓷而構成。於此排氣板48之下方,且於處理容器12,設置排氣口12e。於排氣口12e,通過排氣管52而與排氣裝置50相連接。排氣裝置50,具有渦輪分子泵等真空泵,可將處理容器12內的空間減壓至期望的真空度為止。此外,於處理容器12之側壁設置晶圓W之搬出入口12g,此搬出入口12g可藉由閘閥54開閉。
此外,電漿處理裝置10,進一步具備第1高頻電源62及第2高頻電源64。第1高頻電源62,係製造用於電漿產生之第1高頻的電源,例如製造27~100MHz之頻率的高頻。第1高頻電源62,通過匹配器66而與下部電極LE相連接。匹配器66,具有用於將第1高頻電源62之輸出阻抗與負載側之阻抗匹配的電路。另,第1高頻電源62,可通過匹配器66而與上部電極30相連接。
第2高頻電源64,係製造用於將離子導入晶圓W之,即偏壓用之第2高頻的電源,例如製造400kHz~13.56MHz的範圍內之頻率的第2高頻。第2高頻電源64,通過匹配器68而與下部電極LE相連接。匹配器68,具有用於將第2高頻電源64之輸出阻抗與負載側之阻抗匹配的電路。
此外,電漿處理裝置10,進一步具備電源70。電源70,與上部電極30相連接。電源70,將用於往頂板34導入存在於處理空間S內之正離子的電壓,對上部電極30施加。在一例中,電源70,係製造負的直流電壓之直流電源。在另一例中,電源70,可為製造較低頻率的交流電壓之交流電源。
此外,一實施形態中,電漿處理裝置10,可進一步具備控制部Cnt。此控制部Cnt,係具備處理器、記憶部、輸入裝置、顯示裝置等之電腦,控制電漿處理裝置10之各部。此一控制部Cnt,可使用輸入裝置施行操作者用於管理電漿處理裝置10之指令的輸入操作等,此外,藉由顯示裝置,可將電漿處理裝置10之運作狀況視覺化地顯示。進一步,於控制部Cnt記憶部,收納用於藉由處理器控制在電漿處理裝置10實行之各種處理的控制程式、及用於因應處理條件使電漿處理裝置10之各部實行處理的程式,亦即,收納處理配方。
以下,再度參考圖1,對方法MT詳細地予以說明。另,以下說明,對於使用具備圖4所示之電漿處理裝置10作為一個處理模組之基板處理系統110,處理圖2所示之晶圓W的例子予以說明。此外,以下說明中,參考圖6~圖13。圖6~圖13為,顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。
首先,方法MT,將圖2所示之晶圓W,從前開式晶圓盒122起,通過裝載模組112、真空預備模組141或真空預備模組142之任一、及轉移模組116,而往處理模組搬入,亦即,往電漿處理裝置10之處理容器12內搬入。將搬入至處理容器12內的晶圓W載置於載置台PD上,藉由該載置台PD保持。
方法MT,接著,實行步驟ST1。步驟ST1,準備後述步驟ST2之處理所應用的晶圓。步驟ST1,蝕刻反射防止膜AL、有機層OL、氧化膜OX、及第2絕緣膜IS2。以下,對步驟ST1詳細地予以說明。圖5為,顯示步驟ST1之細節的流程圖。
如圖5所示,步驟ST1,包含步驟ST1a~步驟ST1f。步驟ST1,首先,實行步驟ST1a。步驟ST1a,在從光阻遮罩RM之開口MO露出處中蝕刻反射防止膜AL。為此,步驟ST1a,從氣體源群40之複數氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,例如可包含氟碳化物氣體、氫氟碳化物氣體、及氧氣。作為氟碳化物氣體,例如可使用CF4 氣體。此外,作為氫氟碳化物氣體,例如可使用CHF3 氣體。此外,步驟ST1a,使排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST1a,對下部電極LE供給來自第1高頻電源62之第1高頻、及來自第2高頻電源64之第2高頻。
步驟ST1a,產生處理氣體的電漿,在從光阻遮罩RM之開口MO露出處中,蝕刻反射防止膜AL。其結果,如圖6所示,將反射防止膜AL之全領域中,從光阻遮罩RM之開口MO露出的部分去除,於該反射防止膜AL形成開口MO1。另,步驟ST1a中之上述電漿處理裝置10的各部之動作可藉由控制部Cnt控制。
接著,步驟ST1,實行步驟ST1b。步驟ST1b,蝕刻有機層OL。為此,步驟ST1b,從氣體源群40之複數氣體源中選出的氣體源對處理容器12內供給處理氣體。一例之步驟ST1b,對處理容器12內供給包含氧氣及一氧化碳氣體之處理氣體,接著,對處理容器12內供給包含氫氣及氮氣之處理氣體。此外,步驟ST1b,使排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST1b,從第1高頻電源62對下部電極LE供給第1高頻。
步驟ST1b,產生處理氣體的電漿,在從開口MO1露出處中蝕刻有機層OL。此外,亦蝕刻光阻遮罩RM。此一結果,如圖7所示,將有機層OL的全領域中,從開口MO1露出的部分去除,於該有機層OL形成開口MO2。另,步驟ST1b中之上述電漿處理裝置10的各部之動作可藉由控制部Cnt控制。
接著,步驟ST1,實行步驟ST1c。步驟ST1c,蝕刻氧化膜OX及第2絕緣膜IS2。為此,從氣體源群40之複數氣體源中選出的氣體源對處理容器12內供給處理氣體。一例之步驟ST1c,對處理容器12內供給包含氟碳化物氣體之處理氣體,接著,對處理容器12內供給包含氫氟碳化物氣體、氮氣、及氧氣之處理氣體。作為氟碳化物氣體,例如可使用CF4 氣體及C4 F8 氣體。此外,作為氫氟碳化物氣體,例如可使用CH2 F2 氣體。此外,步驟ST1c,使排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST1c,對下部電極LE供給來自第1高頻電源62之第1高頻及來自第2高頻電源64之第2高頻。
步驟ST1c,產生處理氣體的電漿,蝕刻氧化膜OX及第2絕緣膜IS2。另,第2絕緣膜IS2被蝕刻至其膜厚方向之中途為止。此外,步驟ST1c,亦蝕刻反射防止膜AL。此一結果,如圖8所示,將氧化膜OX的全領域及第2絕緣膜IS2的全領域中,從開口MO2露出的部分去除,於氧化膜OX及第2絕緣膜IS2形成開口MO3。此外,在步驟ST1c中,去除反射防止膜AL,有機層OL之膜厚略減少。另,步驟ST1c中之上述電漿處理裝置10的各部之動作可藉由控制部Cnt控制。
接著,步驟ST1中,實行步驟ST1d。步驟ST1d,去除有機層OL。為此,從氣體源群40之複數氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,可包含二氧化碳氣體。此外,步驟ST1d,使排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST1d,從第1高頻電源62對下部電極LE供給第1高頻。
步驟ST1d,產生處理氣體的電漿,施行有機層OL之灰化。此一結果,如圖9所示,去除有機層OL,露出金屬遮罩MK。金屬遮罩MK,提供具有較開口MO3的寬度更寬之寬度的開口TO。另,步驟ST1d中之上述電漿處理裝置10的各部之動作可藉由控制部Cnt控制。
接著,步驟ST1,實行步驟ST1e。步驟ST1e,蝕刻氧化膜OX。為此,從氣體源群40之複數氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,可包含氟碳化物氣體、氫氟碳化物氣體、及稀有氣體。作為氟碳化物氣體,例如可使用CF4 氣體。此外,作為氫氟碳化物氣體,例如可使用CHF3 氣體。此外,步驟ST1e,使排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST1e,對下部電極LE供給來自第1高頻電源62之第1高頻、及來自第2高頻電源64之第2高頻。
步驟ST1e,產生處理氣體的電漿,蝕刻氧化膜OX的全領域中,從開口TO露出的部分。此一結果,如圖10所示,去除從開口TO露出的部分之氧化膜OX。另,步驟ST1e中之上述電漿處理裝置10的各部之動作可藉由控制部Cnt控制。
接著,步驟ST1中,實行步驟ST1f。步驟ST1f,進一步蝕刻第2絕緣膜IS2。為此,從氣體源群40之複數氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,可包含氟碳化物氣體、稀有氣體、氮氣、及氧氣。作為氟碳化物氣體,例如可使用CF4 氣體及C4 F8 氣體。此外,步驟ST1f,使排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST1f,對下部電極LE供給來自第1高頻電源62之第1高頻、及來自第2高頻電源64之第2高頻。
步驟ST1f,產生處理氣體的電漿,蝕刻第2絕緣膜IS2。具體而言,在從開口TO露出處、及從開口MO3露出處中,使第2絕緣膜IS2之蝕刻進行。此一結果,如圖11所示,於第2絕緣膜IS2形成溝槽TR及介層洞VH。另,步驟ST1f中之上述電漿處理裝置10的各部之動作可藉由控制部Cnt控制。
再度參考圖1。步驟ST1結束後,方法MT,實行步驟ST2。步驟ST2,為了蝕刻擴散防止膜DL直至銅配線CW露出為止,而產生包含氟碳化物氣體及/或氫氟碳化物氣體之處理氣體(第1處理氣體)的電漿。為此,從氣體源群40之複數氣體源中選出的氣體源對處理容器12內供給處理氣體。此處理氣體,可包含CF4 氣體、CHF3 氣體、C4 F8 氣體、C4 F6 氣體、CH2 F2 氣體、及CH3 F氣體中之一種以上的氣體。此外,此處理氣體,可包含稀有氣體、氮氣、及氧氣。例如,此處理氣體,包含CF4 氣體及C4 F8 氣體、Ar氣體、氮氣、及氧氣。此外,步驟ST2,使排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。進一步,步驟ST2,對下部電極LE供給來自第1高頻電源62之第1高頻、及來自第2高頻電源64之第2高頻。
步驟ST2,產生處理氣體的電漿,蝕刻擴散防止膜DL。此一結果,如圖12所示,介層洞VH延長至銅配線CW的表面為止。在實行步驟ST2後,存在於銅配線CW之表面的銅變質為氟化銅。此外,於金屬遮罩MK上,沉積反應產生物,例如由SiF4 構成之反應產生物。另,步驟ST2中之上述電漿處理裝置10的各部之動作可藉由控制部Cnt控制。
接著,方法MT,實行步驟ST3。步驟ST3,產生包含含碳氣體之處理氣體(第2處理氣體)的電漿。為此,從氣體源群40之複數氣體源中選出的氣體源對處理容器12內供給處理氣體。此外,步驟ST3,使排氣裝置50作動,將處理容器12內的壓力設定為既定壓力。此外,步驟ST3,從第1高頻電源62起對下部電極LE供給第1高頻,且從第2高頻電源64起對下部電極LE供給第2高頻。
步驟ST3,產生處理氣體的電漿,如圖13所示,於晶圓W的表面上形成有機膜OM。此外,步驟ST3,減少上述氟化銅及金屬遮罩MK上之反應產生物的量。另,步驟ST3中之上述電漿處理裝置10的各部之動作可藉由控制部Cnt控制。
接著,方法MT,實行步驟ST4。步驟ST4,將晶圓W往大氣環境下搬出。為此,將晶圓W藉由轉移模組116內之搬運機械臂116r,從處理容器12內往真空預備模組141或真空預備模組142搬運。其後,將晶圓W藉由裝載模組112內之搬運機械臂112r,往前開式晶圓盒122內搬運。
接著,方法MT,實行步驟ST5。步驟ST5對晶圓W施行濕式清洗。為此,將晶圓W往濕式清洗裝置210(參考圖3)搬運。步驟ST5,例如使用有機溶劑及/或酸溶液作為洗淨液。步驟ST5,藉由濕式清洗,剝離形成在晶圓W的表面上之有機膜OM。此外,去除上述氟化銅及/或金屬遮罩MK上之反應產生物。緊接著此步驟ST5後,施行往該介層洞VH及溝槽TR之銅的嵌入。
依此一方法MT,則在步驟ST2之擴散防止膜DL的蝕刻後,在步驟ST3中於晶圓W的表面形成有機膜OM。在實行此一步驟ST3後雖將晶圓W往大氣環境搬運,但藉由有機膜OM,從大氣中之水分保護銅配線CW的表面及金屬遮罩MK的表面。因此,依方法MT,則抑制銅配線CW的表面粗糙及金屬遮罩MK的表面粗糙雙方。
以下,對於在步驟ST3中使用的處理氣體(第2處理氣體)予以例示。步驟ST3中可使用的第1例之處理氣體,作為含碳氣體,包含烴氣。作為烴氣,例如使用甲烷氣體(CH4 氣體)。此外,第1例之處理氣體可更包含稀有氣體。此第1例之處理氣體,包含烴氣作為含碳氣體,故在從該第1例之處理氣體形成的有機膜OM,實質上不包含氟。因此,此有機膜OM,相對於步驟ST5的濕式清洗所使用之洗淨液,具有高的潤濕性,即小的接觸角。是故,此有機膜OM,藉由濕式清洗簡單地去除。
此外,第1例之處理氣體,不包含氫氣。氫氣係具有減少有機膜OM之效果的氫之活性種的源,但此第1例之處理氣體不包含氫氣,故效率良好地形成有機膜OM。
步驟ST3中所使用的第2例之處理氣體,包含作為含碳氣體的氟碳化物氣體及氫氣。作為第2例之處理氣體所含的氟碳化物氣體,可使用C4 F8 氣體、C4 F6 氣體、及C5 F8 氣體中之一種以上的氣體。此外,第2例之處理氣體,可更包含稀有氣體。從氟碳化物氣體形成含有氟及碳的膜,但此膜中之氟的量,因從氫氣產生的氫之活性種而減少。因此,依第2例之處理氣體,則於晶圓W的表面上形成含氟量少的有機膜OM。
第2例之處理氣體,相對於氟碳化物氣體之流量,可使氫氣之流量為5倍以上,20倍以下之流量。藉由將氫氣之流量設定在此一範圍內,而形成含氟量更少的有機膜OM。
一實施形態,在實行步驟ST3的期間中,將晶圓W之溫度保持為60℃以下之溫度。為此,從設置於處理容器12之外部的急冷器單元起,對冷媒流路24供給控制為該溫度之冷媒。在如300℃以上之溫度的高溫環境下可能發生有機膜OM之熱分解,但藉由將晶圓W之溫度保持為60℃以下而抑制有機膜OM之熱分解。因此,依此一實施形態,則可效率良好地形成有機膜OM。
此外,一實施形態中,調整步驟ST3的處理條件,例如處理時間,以使有機膜OM之膜厚成為2nm以上之膜厚。依具有2nm以上之膜厚的有機膜OM,則可實質上防止大氣中之水分透過該有機膜OM。
此外,一實施形態,亦可涵蓋實行步驟ST2的期間及實行步驟ST3的期間,維持將晶圓W收納於單一電漿處理裝置10之處理容器12內的狀態。另,步驟ST2及步驟ST3,亦可使用分別的電漿處理裝置實行。
以上,雖對各種實施形態予以說明,但並未限定於上述實施形態而可構成各種變形態樣。例如,在方法MT之步驟ST1~步驟ST3的實施,亦可如同電感耦合型之電漿處理裝置、或藉由微波等表面波產生電漿的電漿處理裝置地,使用任意電漿處理裝置。
以下,對於為了評價方法MT而施行之實驗予以說明。另,本發明並未限定於下述說明的實驗。
[包含使用第1例之處理氣體的步驟ST3之方法MT的評價實驗]
此一實驗中,準備與圖2所示之晶圓W相同構造的三片晶圓。各晶圓之擴散防止膜DL係由SiCN形成,其膜厚為35nm。第2絕緣膜IS2,係由SiOCH形成,其膜厚為150nm。氧化膜OX係由TEOS形成之氧化矽膜,其膜厚為20nm。金屬遮罩MK係由TiN形成之遮罩,其膜厚為35nm。有機層OL之膜厚為230nm。反射防止膜AL之膜厚為35nm。此外,光阻遮罩RM之膜厚為75nm。而此實驗,使用電漿處理裝置10實行步驟ST1,從三片晶圓準備與圖11所示之晶圓W相同構造的試樣1~3。
接著,對試樣1使用電漿處理裝置10實行步驟ST2。對試樣2,使用電漿處理裝置10實行步驟ST2,對實行該步驟ST2後之試樣2應用使用氮氣及氫氣的電漿處理。對試樣3,使用電漿處理裝置10實行步驟ST2及步驟ST3。而後,將試樣1~3在前開式晶圓盒122內保管24小時。其後,使用電子顯微鏡觀察金屬遮罩MK的表面狀態,求出相對於金屬遮罩MK的表面之全面積,在該表面中發生表面粗糙的部分之面積的比例(%)(下稱「金屬遮罩MK之表面粗糙的比例」)。
此外,作為試樣4~6,準備具有一樣厚度之銅層的毯覆式晶圓。對試樣4,使用電漿處理裝置10實行步驟ST2。對試樣5,在使用電漿處理裝置10實行步驟ST2後,對實行該步驟ST2後之試樣5應用使用氮氣及氫氣的電漿處理。對試樣6,使用電漿處理裝置10實行步驟ST2及步驟ST3。而後,將試樣4~6在前開式晶圓盒122內保管24小時。其後,使用電子顯微鏡觀察試樣4~6的表面狀態,求出相對於試樣4~6的各自之銅層的表面之全面積,在該表面中發生表面粗糙的部分之面積的比例(%)(下稱「銅層之表面粗糙的比例」)。
此外,作為試樣7~9,準備由SiOCH形成的具有一樣厚度之絕緣膜的毯覆式晶圓。對試樣7,使用電漿處理裝置10實行步驟ST1f及步驟ST2。對試樣8,在使用電漿處理裝置10實行步驟ST1f及步驟ST2後,對該試樣8應用使用氮氣及氫氣的電漿處理。對試樣9,在使用電漿處理裝置10實行步驟ST1f及步驟ST2後,進一步實行步驟ST3。而後,將試樣7~9在前開式晶圓盒122內保管24小時。其後,將試樣7~9分別破斷為二片斷片,將二片斷片中的一方之斷片以0.1%的氫氟酸處理1分鐘。而後,求出一方之斷片的絕緣膜之膜厚與另一方之斷片的絕緣膜之膜厚的差(下稱「損傷量」),以作為評價絕緣膜之損傷的參數。另,若該膜厚的差,即損傷量大,則可評價為絕緣膜之損傷大。
此外,作為試樣10~12,準備矽晶圓。對試樣10,使用電漿處理裝置10僅實行步驟ST2。對試樣11,在使用電漿處理裝置10實行步驟ST2後,應用使用氮氣及氫氣的電漿處理。對試樣12,在使用電漿處理裝置10實行步驟ST2後,進一步實行步驟ST3。而後,將試樣10~12在前開式晶圓盒內保管24小時。其後,量測試樣10~12之對於水的接觸角。
以下,顯示實驗中之各步驟的處理條件。 <步驟ST1f> ・處理容器12內的壓力:70mTorr(9.333Pa) ・處理氣體 C4 F8 氣體:40sccm、CF4 氣體:50sccm、Ar氣體:1000sccm、N2 氣體:35sccm、O2 氣體:15sccm ・第1高頻的電力:264W ・第2高頻的電力:106W <步驟ST2> ・處理容器12內的壓力:70mTorr(9.333Pa) ・處理氣體 C4 F8 氣體:40sccm、CF4 氣體:50sccm、Ar氣體:1200sccm、N2 氣體:40sccm、O2 氣體:15sccm ・第1高頻的電力:422W ・第2高頻的電力:53W <步驟ST3> ・處理容器12內的壓力:50mTorr(6.666Pa) ・處理氣體 CH4 氣體:20sccm、Ar氣體:400sccm ・第1高頻的電力:200W ・第2高頻的電力:0W <使用氮氣及氫氣的電漿處理> ・處理容器12內的壓力:50mTorr(6.666Pa) ・處理氣體 N2 氣體:200sccm、H2 氣體:100sccm ・第1高頻的電力:400W ・第2高頻的電力:100W
以下,對實驗結果予以說明。試樣1的金屬遮罩MK之表面粗糙的比例為0%。此外,試樣4的銅層之表面粗糙的比例為100%。從此一結果,確認在實行步驟ST2後未施行任何處理而在大氣環境下保管晶圓,則發生銅的表面粗糙。
此外,試樣2的金屬遮罩MK之表面粗糙的比例為80%。此外,試樣5的銅層之表面粗糙的比例為0%。從此一結果,確認藉由在實行步驟ST2後施行N2 氣體及H2 氣體的電漿處理,雖可抑制銅層的表面粗糙,但發生金屬遮罩MK的表面粗糙。
此外,試樣3的金屬遮罩MK之表面粗糙的比例為0%。此外,試樣6的銅層之表面粗糙的比例為0%。從此一結果,確認藉由在實行步驟ST2後實行步驟ST3,而可抑制銅層的表面粗糙及金屬遮罩MK的表面粗糙雙方。
另,測定在步驟ST3中形成的有機膜OM之膜厚,結果該膜厚為2nm。因此,確認若有機膜OM之膜厚為2nm以上,則大氣中所含的水分不透過該有機膜OM,銅層及金屬遮罩MK受到保護。
此外,試樣7~9各自的損傷量為3.0nm、8.2nm、1.7nm。藉由此一結果,確認因步驟ST3而給予絕緣膜的損傷少。
此外,試樣10~12各自的表面之對於水的接觸角為73.1°、53.1°、65.4°。從試樣12的表面中之對於水的接觸角相較於90°相當小,而確認藉由步驟ST3形成之有機膜OM具有高的潤濕性。
[對於步驟ST3之處理條件的評價實驗]
此一實驗,準備與圖2所示之晶圓W相同構造的四片晶圓。各晶圓之擴散防止膜DL係由SiCN形成,其膜厚為35nm。第2絕緣膜IS2,由SiOCH形成,其膜厚為150nm。氧化膜OX係由TEOS形成的氧化矽膜,其膜厚為20nm。金屬遮罩MK係由TiN形成,其膜厚為35nm。有機層OL之膜厚為230nm。反射防止膜AL之膜厚為35nm。此外,光阻遮罩RM,具有75nm之膜厚,具有線與空間(line and space)圖案。而後,使用電漿處理裝置10實行步驟ST1,從四片晶圓準備與圖11所示之晶圓W相同構造的試樣13~16。而後,分別對試樣13~16使用電漿處理裝置10實行步驟ST2及步驟ST3。其後,將試樣13~16在前開式晶圓盒122內保管24小時。
此外,作為試樣17~20,準備具有一樣厚度之銅層的毯覆式晶圓,分別對此等試樣17~20使用電漿處理裝置10實行步驟ST1f、步驟ST2、及步驟ST3。其後,將試樣17~20在前開式晶圓盒122內保管24小時。此外,作為試樣21~24,準備由SiOCH形成的具有一樣厚度之絕緣膜的毯覆式晶圓,分別對此等試樣21~24使用電漿處理裝置10實行步驟ST1f、步驟ST2、及步驟ST3。其後,將試樣21~24在前開式晶圓盒122內保管24小時。
以下,顯示步驟ST1f、步驟ST2、及步驟ST3的處理條件。 <步驟ST1f> ・處理容器12內的壓力:70mT ・處理氣體 C4 F8 氣體:40sccm、CF4 氣體:50sccm、Ar氣體:1000sccm、N2 氣體:35sccm、O2 氣體:15sccm ・第1高頻的電力:264W ・第2高頻的電力:106W <步驟ST2> ・處理容器12內的壓力:70mTorr(9.333Pa) ・處理氣體 C4 F8 氣體:40sccm、CF4 氣體:50sccm、Ar氣體:1200sccm、N2 氣體:40sccm、O2 氣體:15sccm ・第1高頻的電力:422W ・第2高頻的電力:53W <步驟ST3> ・處理氣體 CH4 氣體:20sccm、Ar氣體:400sccm
另,對試樣13、試樣17、及試樣21施行之步驟ST3,將處理容器12內的壓力設定為100mTorr(13.33Pa),將第1高頻的電力設定為200W,將第2高頻的電力設定為0W。此外,對試樣14、試樣18、及試樣22施行的步驟ST3,將處理容器12內的壓力設定為200mTorr(26.66Pa),將第1高頻的電力設定為200W,將第2高頻的電力設定為0W。此外,對試樣15、試樣19、及試樣23施行的步驟ST3,將處理容器12內的壓力設定為100mTorr(13.33Pa),將第1高頻的電力設定為100W,將第2高頻的電力設定為0W。此外,對試樣16、試樣20、及試樣24施行的步驟ST3,將處理容器12內的壓力設定為100mTorr(13.33Pa),將第1高頻的電力設定為400W,將第2高頻的電力設定為0W。
而後,求出試樣13~16的金屬遮罩MK之表面粗糙的比例後,在任一試樣中皆未發生金屬遮罩MK的表面粗糙。因此,確認步驟ST3,並未取決於其處理條件,而可抑制金屬遮罩MK的表面粗糙。
此外,求出試樣17~20的銅層之表面粗糙的比例。其結果,在試樣17~19的銅層並未發生表面粗糙。另,如同上述,對試樣17~19實行之步驟ST3,將第1高頻的電力設定為200W以下的電力。另一方面,試樣20的銅層之表面粗糙的比例(%),雖係小比例但為15%。另,如同上述,對試樣20實行之步驟ST3,將第1高頻的電力設定400W。因此,確認步驟ST3,宜將第1高頻的電力設定為200W以下。
此外,求出試樣21~24之絕緣膜的損傷量。其結果,試樣21~24之絕緣膜的損傷量,分別為1.7nm、7.0nm、3.7nm、4.0nm。另,如同上述,對試樣21、試樣23、及試樣24實行之步驟ST3,將處理容器12內的壓力設定為100mTorr以下的壓力。此外,對試樣22實行之步驟ST3,將處理容器12內的壓力設定為200mTorr的壓力。從此一結果,確認步驟ST3,宜將處理容器12內的壓力設定為100mTorr(13.33Pa)以下。
[包含使用第2例之處理氣體的步驟ST3之方法MT的評價實驗]
此一實驗,準備與圖2所示之晶圓W相同構造的三片晶圓。各晶圓之擴散防止膜DL係由SiCN形成,其膜厚為35nm。第2絕緣膜IS2,係由SiOCH形成,其膜厚為150nm。氧化膜OX係由TEOS形成之氧化矽膜,其膜厚為20nm。金屬遮罩MK係由TiN形成,其膜厚為35nm。有機層OL之膜厚為230nm。反射防止膜AL之膜厚為35nm。此外,光阻遮罩RM之膜厚為75nm。而後,使用電漿處理裝置10實行步驟ST1,由三片晶圓準備與圖11所示之晶圓W相同構造的試樣25~27。而後,分別對試樣25~27使用電漿處理裝置10實行步驟ST2及步驟ST3。其後,將試樣25~27在前開式晶圓盒122內保管24小時。
此外,作為試樣28~30,準備具有一樣厚度之銅層的毯覆式晶圓,分別對此等試樣28~30使用電漿處理裝置10實行步驟ST1f、步驟ST2、及步驟ST3。其後,將試樣28~30在前開式晶圓盒122內保管24小時。此外,作為試樣31~33,準備由SiOCH形成的具有一樣厚度之絕緣膜的毯覆式晶圓,分別對此等試樣31~33使用電漿處理裝置10實行步驟ST1f、步驟ST2、及步驟ST3。其後,將試樣31~33在前開式晶圓盒122內保管24小時。此外,作為試樣34~36,準備矽晶圓。分別對此等試樣34~36使用電漿處理裝置10實行步驟ST2及步驟ST3。其後,將試樣34~36在前開式晶圓盒122內保管24小時。
以下,顯示步驟ST1f、步驟ST2、及步驟ST3的處理條件。 <步驟ST1f> ・處理容器12內的壓力:70mT ・處理氣體 C4 F8 氣體:40sccm、CF4 氣體:50sccm、Ar氣體:1000sccm、N2 氣體:35sccm、O2 氣體:15sccm ・第1高頻的電力:264W ・第2高頻的電力:106W <步驟ST2> ・處理氣體 C4 F8 氣體:40sccm、CF4 氣體:50sccm、Ar氣體:1200sccm、N2 氣體:40sccm、O2 氣體:15sccm ・第1高頻的電力:422W ・第2高頻的電力:53W <步驟ST3> ・處理容器12內的壓力:50mTorr(6.666Pa) ・第1高頻的電力:200W ・第2高頻的電力:0W
另,對試樣25、試樣28、試樣31、及試樣34施行之步驟ST3,將C4 F8 氣體之流量設定為20sccm,將Ar氣體之流量設定為400sccm,將氫氣(H2 氣體)之流量設定為0sccm。此外,對試樣26、試樣29、試樣32、及試樣35施行之步驟ST3,將C4 F8 氣體之流量設定為20sccm,將Ar氣體之流量設定為400sccm,將氫氣之流量設定為100sccm。此外,對試樣27、試樣30、試樣33、及試樣36施行之步驟ST3,將C4 F8 氣體之流量設定為20sccm,將Ar氣體之流量設定為400sccm,將氫氣之流量設定為200sccm。
而後,求出試樣25~27的金屬遮罩MK之表面粗糙的比例後,在任一試樣中皆未發生金屬遮罩MK的表面粗糙。因此,確認使用包含氟碳化物氣體之處理氣體的步驟ST3,可抑制金屬遮罩MK的表面粗糙。
此外,求出試樣28~30的銅層之表面粗糙的比例。其結果,試樣28~30銅層並未發生表面粗糙。因此,確認使用包含氟碳化物氣體之處理氣體的步驟ST3,可抑制銅層的表面粗糙。
此外,求出試樣31~33之絕緣膜的損傷量。其結果,試樣31~33之絕緣膜的損傷量,分別為0.3nm、0.7nm、3.7nm。從此一結果,確認使用包含氟碳化物氣體之處理氣體的步驟ST3,抑制絕緣膜之損傷。
此外,量測試樣34~36之對於水的接觸角。其結果,試樣34~36各自之對於水的接觸角,為100.3°、69.6°、56.6°。從此一結果,確認藉由使步驟ST3中使用的處理氣體包含氫氣,而可提高以該步驟ST3形成之有機膜OM的潤濕性。此外,確認相對於氟碳化物氣體之流量,宜將氫氣之流量,設定為5倍以上,20倍以下之流量。
10‧‧‧電漿處理裝置
12‧‧‧處理容器
12e‧‧‧排氣口
12g‧‧‧搬出入口
14‧‧‧支持部
18a‧‧‧第1板
18b‧‧‧第2板
22‧‧‧直流電源
23‧‧‧開關
24‧‧‧冷媒流路
26a、26b‧‧‧配管
28‧‧‧氣體供給線
30‧‧‧上部電極
32‧‧‧絕緣性遮蔽構件
34‧‧‧頂板
34a‧‧‧氣體噴出孔
36‧‧‧支持體
36a‧‧‧氣體擴散室
36b‧‧‧氣體流通孔
36c‧‧‧氣體導入口
38‧‧‧氣體供給管
40‧‧‧氣體源群
42‧‧‧閥群
44‧‧‧流量控制器群
46‧‧‧防沉積遮蔽件
48‧‧‧排氣板
50‧‧‧排氣裝置
52‧‧‧排氣管
54‧‧‧閘閥
62‧‧‧第1高頻電源
64‧‧‧第2高頻電源
66、68‧‧‧匹配器
70‧‧‧電源
110‧‧‧基板處理系統
112‧‧‧裝載模組
112r‧‧‧搬運機械臂
116‧‧‧轉移模組
116c‧‧‧搬運腔室
116r‧‧‧搬運機械臂
120‧‧‧架台
122‧‧‧前開式晶圓盒
122c‧‧‧搬運腔室
141‧‧‧真空預備模組
141c‧‧‧腔室
142‧‧‧真空預備模組
142c‧‧‧腔室
181~184‧‧‧處理模組
210‧‧‧濕式清洗裝置
AL‧‧‧反射防止膜
BL‧‧‧金屬阻障層膜
Cnt‧‧‧控制部
CW‧‧‧銅配線
DL‧‧‧擴散防止膜
ESC‧‧‧靜電吸盤
FR‧‧‧對焦環
IS1‧‧‧第1絕緣膜
IS2‧‧‧第2絕緣膜
LE‧‧‧下部電極
MK‧‧‧金屬遮罩
MO、MO1、MO2、MO3‧‧‧開口
OL‧‧‧有機層
OM‧‧‧有機膜
OX‧‧‧氧化膜
PD‧‧‧載置台
RM‧‧‧光阻遮罩
S‧‧‧處理空間
TO‧‧‧開口
TR‧‧‧溝槽
VH‧‧‧介層洞
W‧‧‧晶圓
WL‧‧‧配線層
圖1係顯示一實施形態的被處理體之處理方法的流程圖。 圖2係例示係圖1所示之方法的應用對象之被處理體的剖面圖。 圖3係概略顯示可使用在圖1所示之方法的實施之基板處理系統及洗淨裝置的一例之圖。 圖4係概略顯示可使用在圖1所示之方法的實施之電漿處理裝置的一例之圖。 圖5係顯示圖1所示之步驟ST1的細節之流程圖。 圖6係顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。 圖7係顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。 圖8係顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。 圖9係顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。 圖10係顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。 圖11係顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。 圖12係顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。 圖13係顯示圖1所示之方法的實施之中途階段的被處理體其一部分之放大剖面圖。
MT‧‧‧方法
ST1~ST5‧‧‧步驟

Claims (13)

  1. 一種被處理體之處理方法,包含以下步驟: 準備被處理體之步驟,該被處理體包含:具有第1絕緣膜及形成在該絕緣膜之銅配線的配線層、設置於該配線層上的擴散防止膜、設置於該擴散防止膜上的第2絕緣膜、以及提供開口並設置於該第2絕緣膜上的金屬遮罩,在從該開口露出處中該第2絕緣膜受到蝕刻; 產生第1處理氣體的電漿之步驟,為了蝕刻該擴散防止膜直至該銅配線露出為止,而產生包含氟碳化物氣體及/或氫氟碳化物氣體之該第1處理氣體的電漿;以及 產生第2處理氣體的電漿之步驟,為了在該擴散防止膜已受蝕刻的狀態之該被處理體的表面上形成有機膜,而產生包含含碳氣體之該第2處理氣體的電漿。
  2. 如申請專利範圍第1項之被處理體之處理方法,其中, 該含碳氣體係烴氣。
  3. 如申請專利範圍第2項之被處理體之處理方法,其中, 該第2處理氣體,不包含氫氣。
  4. 如申請專利範圍第1項之被處理體之處理方法,其中, 該含碳氣體係氟碳化物氣體; 該第2處理氣體,更包含氫氣。
  5. 如申請專利範圍第4項之被處理體之處理方法,其中, 相對於該第2處理氣體所含的該氟碳化物氣體之流量,該氫氣之流量為5倍以上20倍以下之流量。
  6. 如申請專利範圍第4或5項之被處理體之處理方法,其中, 該第2處理氣體係:作為該第2處理氣體所含的該氟碳化物氣體,包含C4 F8 氣體、C4 F6 氣體、及C5 F8 氣體中之一種以上的氣體。
  7. 如申請專利範圍第1至5項中任一項之被處理體之處理方法,其中, 在產生第2處理氣體的電漿之該步驟中,將該被處理體之溫度保持為60℃以下之溫度。
  8. 如申請專利範圍第1至5項中任一項之被處理體之處理方法,其中, 在產生第2處理氣體的電漿之該步驟中,形成具有2nm以上之膜厚的該有機膜。
  9. 如申請專利範圍第1至5項中任一項之被處理體之處理方法,其中, 該第1處理氣體,包含CF4 氣體、CHF3 氣體、C4 F8 氣體、C4 F6 氣體、CH2 F2 氣體、及CH3 F氣體中之一種以上的氣體。
  10. 如申請專利範圍第1至5項中任一項之被處理體之處理方法,其中, 該擴散防止膜,係由SiC、SiCN、或SiN形成之單層膜,抑或包含分別由SiC、SiCN、或SiN形成的複數膜之多層膜。
  11. 如申請專利範圍第1至5項中任一項之被處理體之處理方法,其中, 該絕緣膜,係由SiOCH形成之單層膜、包含由SiO2 形成的膜與低介電常數膜之多層膜、抑或包含複數低介電常數膜之多層膜。
  12. 如申請專利範圍第1至5項中任一項之被處理體之處理方法,其中, 該金屬遮罩,係由Ti或TiN形成。
  13. 如申請專利範圍第1至5項中任一項之被處理體之處理方法,其中, 涵蓋實行產生第1處理氣體的電漿之該步驟的期間及實行產生第2處理氣體的電漿之該步驟的期間,維持將該被處理體收納於單一電漿處理裝置之處理容器內的狀態。
TW105129522A 2015-09-18 2016-09-12 被處理體之處理方法 TWI684201B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015185167A JP2017059750A (ja) 2015-09-18 2015-09-18 被処理体を処理する方法
JP2015-185167 2015-09-18

Publications (2)

Publication Number Publication Date
TW201721713A true TW201721713A (zh) 2017-06-16
TWI684201B TWI684201B (zh) 2020-02-01

Family

ID=58283176

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105129522A TWI684201B (zh) 2015-09-18 2016-09-12 被處理體之處理方法

Country Status (3)

Country Link
US (1) US9780037B2 (zh)
JP (1) JP2017059750A (zh)
TW (1) TWI684201B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109390274A (zh) * 2017-08-03 2019-02-26 东京毅力科创株式会社 对被处理体进行处理的方法

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3047605B1 (fr) * 2016-02-09 2018-03-02 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de realisation de connexions d'une puce electronique
KR20210033581A (ko) * 2019-09-18 2021-03-29 삼성디스플레이 주식회사 표시 장치 및 표시 장치의 제조 방법
TW202205433A (zh) * 2020-06-19 2022-02-01 日商東京威力科創股份有限公司 蝕刻方法、基板處理裝置及基板處理系統
KR20230154175A (ko) * 2022-04-28 2023-11-07 주식회사 히타치하이테크 에칭 방법

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380096B2 (en) * 1998-07-09 2002-04-30 Applied Materials, Inc. In-situ integrated oxide etch process particularly useful for copper dual damascene
US6211092B1 (en) * 1998-07-09 2001-04-03 Applied Materials, Inc. Counterbore dielectric plasma etch process particularly useful for dual damascene
US6693038B1 (en) * 1999-02-05 2004-02-17 Taiwan Semiconductor Manufacturing Company Method for forming electrical contacts through multi-level dielectric layers by high density plasma etching
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6372636B1 (en) * 2000-06-05 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6503840B2 (en) * 2001-05-02 2003-01-07 Lsi Logic Corporation Process for forming metal-filled openings in low dielectric constant dielectric material while inhibiting via poisoning
JP2003023072A (ja) * 2001-07-06 2003-01-24 Hitachi Ltd 半導体装置の製造方法および半導体装置の製造装置
JP2006156486A (ja) 2004-11-25 2006-06-15 Tokyo Electron Ltd 基板処理方法および半導体装置の製造方法
US20070254476A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning porous low-k material in the formation of an interconnect structure
TWI353036B (en) * 2007-01-12 2011-11-21 United Microelectronics Corp Damascene interconnection structure and dual damas
TW201001539A (en) * 2008-06-19 2010-01-01 United Microelectronics Corp Method of etching a dielectric layer
US20120064713A1 (en) * 2010-09-10 2012-03-15 Tokyo Electron Limited Ultra-low-k dual damascene structure and method of fabricating
US8906810B2 (en) * 2013-05-07 2014-12-09 Lam Research Corporation Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109390274A (zh) * 2017-08-03 2019-02-26 东京毅力科创株式会社 对被处理体进行处理的方法
CN109390274B (zh) * 2017-08-03 2023-09-05 东京毅力科创株式会社 对被处理体进行处理的方法

Also Published As

Publication number Publication date
US9780037B2 (en) 2017-10-03
TWI684201B (zh) 2020-02-01
US20170084542A1 (en) 2017-03-23
JP2017059750A (ja) 2017-03-23

Similar Documents

Publication Publication Date Title
US20220415661A1 (en) Plasma processing apparatus and plasma processing method
TWI697046B (zh) 蝕刻方法
TWI684201B (zh) 被處理體之處理方法
CN104882360B (zh) 等离子体处理装置的清洁方法
JP2014086500A (ja) 銅層をエッチングする方法、及びマスク
JP2010205967A (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
TWI766866B (zh) 蝕刻方法
TWI716378B (zh) 蝕刻方法
TWI694531B (zh) 蝕刻方法
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
TW201724252A (zh) 蝕刻方法
JP2007273866A (ja) エッチング方法、プラズマ処理装置、記憶媒体
TWI745590B (zh) 蝕刻多孔質膜之方法
KR102361775B1 (ko) 플라즈마 처리 방법
US11244804B2 (en) Etching method, plasma processing apparatus, and processing system
US11404282B2 (en) Method of etching film and plasma processing apparatus
TW201903900A (zh) 被加工物之處理方法
WO2022059440A1 (ja) エッチング方法、プラズマ処理装置、及び基板処理システム
TW202213517A (zh) 基板處理方法及電漿處理裝置
TW202027225A (zh) 清潔膜堆疊中之氧化物層以消除下游處理期間之電弧放電之方法