KR101106882B1 - 높은―k 물질 게이트 구조물을 고온 에칭하는 방법 - Google Patents

높은―k 물질 게이트 구조물을 고온 에칭하는 방법 Download PDF

Info

Publication number
KR101106882B1
KR101106882B1 KR1020080061782A KR20080061782A KR101106882B1 KR 101106882 B1 KR101106882 B1 KR 101106882B1 KR 1020080061782 A KR1020080061782 A KR 1020080061782A KR 20080061782 A KR20080061782 A KR 20080061782A KR 101106882 B1 KR101106882 B1 KR 101106882B1
Authority
KR
South Korea
Prior art keywords
etching
layer
substrate
chamber
etch
Prior art date
Application number
KR1020080061782A
Other languages
English (en)
Other versions
KR20080114626A (ko
Inventor
웨이 리우
에이치 마츠슈
메이후아 셴
샤샨크 데시무크
요헤이 카와세
안-키트 퀴앙 판
데이비드 파라가시비리
마이클 디. 윌워쓰
종 아이. 신
바렛트 핀치
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080114626A publication Critical patent/KR20080114626A/ko
Application granted granted Critical
Publication of KR101106882B1 publication Critical patent/KR101106882B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Non-Volatile Memory (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

고온에서 높은-k 물질을 에칭하기 위한 방법이 제공된다. 일 실시예에서, 기판 상에 높은-k 물질을 에칭하는 방법은, 에칭 챔버로 기판 위에 높은-k 물질층이 배치된 기판을 제공하는 단계, 에칭 챔버로 적어도 할로겐 함유 가스를 포함하는 에칭 가스 혼합물로부터 플라즈마를 형성하는 단계, 플라즈마의 존재 하에서 높은-k 물질층을 에칭하면서 약 100℃ 초과의 온도로 상기 에칭 챔버의 내부면의 온도를 유지시키는 단계, 및 플라즈마의 존재 하에서 높은-k 물질층을 에칭하면서 약 100℃ 내지 약 250℃의 기판 온도를 유지시키는 단계를 포함할 수 있다.

Description

높은―k 물질 게이트 구조물을 고온 에칭하는 방법 {METHODS FOR HIGH TEMPERATURE ETCHING A HIGH―K MATERIAL GATE STRUCTURE}
일반적으로 본 발명의 실시예는 높은-k 물질의 고온 에칭을 위한 방법에 관한 것이고, 특히 게이트 구조물의 제작 동안 고온-k 물질을 고온 에칭하기 위한 방법에 관한 것이다.
신뢰성 있게 서브 하프 마이크론 및 그보다 작은 피쳐를 만드는 방법은, 반도체 소자의 초고밀도 직접 회로(VLSI) 및 극초고밀도 직접 회로(ULSI)의 다음 세대를 위한 중요한 기술 중의 하나이다. 그러나, 회로 기술의 한계가 푸쉬 됨에 따라, VLSI 및 ULSI 기술에서의 상호연결부의 수축 치수가 프로세싱 능력에 대해 추가적인 요구를 하였다. 게이트 패턴의 신뢰성 있는 형성은 VLSI 및 ULSI 성공에 있어 중요하고 계속된 노력에 의해 개별적인 기판 및 다이의 품질 및 회로 밀도를 증가시킨다. 일반적으로, 게이트 구조물은 게이트 유전체층 위에 배치된 게이트 전극을 포함한다. 게이트 구조물은 게이트 유전체층 아래의 드레인 및 소스 영역 사이에 형성된 채널 영역에서 전하 캐리어의 유동을 제어하도록 이용된다.
높은-k 물질(예를 들어 4보다 큰 유전 상수를 갖는 물질)은 게이트 구조물 이용에서 게이트 유전체층으로서 널리 이용되어 왔다. 높은-k 게이트 유전체 물질은 낮은 등가 산화물 두께(EOT) 및 감소된 게이트 누수를 제공한다. 대부분의 높은-k 물질은 대기 온도에서 비교적 안정하지만, 이러한 물질은 게이트 구조물 제조 순서 동안 에칭하기 어려운 것으로 판명되었다. 또한, 종래의 에칭제는 게이트 전극 및/또는 하부 물질과 같은 게이트 구조물에 존재하는 다른 물질보다 높은-k 물질을 에칭하는데 낮은 선택도를 가지고, 이에 의해 다른 물질 위에 높은-k 물질의 계면 상에 실리콘 리세스, 풋, 또는 다른 연관된 결함을 남긴다.
따라서, 게이트 구조물의 제작 동안 높은-k 물질을 에칭하기 위한 향상된 방법에 대한 요구가 이 기술 분야에서 있다.
게이트 구조물에 배치된 높은-k 물질을 에칭하기 위한 방법이 본 발명에서 제공된다. 일 실시예에서, 높은-k 물질을 에칭하는 방법은 에칭 챔버로 그 위에 높은-k 물질층을 갖는 기판을 제공하는 단계, 에칭 챔버로 적어도 할로겐 함유 가스를 포함하는 에칭 가스 혼합물로부터 플라즈마를 형성하는 단계, 및 플라즈마의 존재 하에서 높은-k 물질층을 에칭하면서 약 100℃ 내지 약 250℃의 기판 온도를 유지시키는 단계를 포함할 수 있다.
다른 실시예에서, 게이트 구조물을 형성하기 위해 필름 스택을 에칭하는 방 법은 에칭 챔버에서 그 위에 형성된 필름 스택을 갖는 기판을 제공하는 단계, 기판 상에 제 1 폴리실리콘층을 에칭하여 높은-k 물질을 노출하는 트렌치를 형성하는 단계, 트렌치의 측벽 상에 보호층을 형성하는 단계, 약 100℃ 내지 약 250℃의 온도에서 할로겐 함유 가스에 의해 보호된 층을 통해 높은-k 물질을 에칭하는 단계, 및 기판 상에 배치된 제 2 폴리실리콘층을 에칭하는 단계를 포함할 수 있고, 필름 스택은 제 1 및 제 2 폴리실리콘층 사이에 샌드위치된 높은-k 물질을 포함한다.
또 다른 실시예에서, 게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법은 에칭 챔버에서 그 위에 형성된 필름 스택을 갖는 기판을 제공하는 단계, 및 약 100℃ 내지 약 250℃의 온도로 기판을 유지하면서 에칭 챔버에서 제 1 폴리실리콘층, 하프늄 산화물 함유층 및 제 2 폴리실리콘층을 순차적으로 에칭하는 단계를 포함하고, 이 경우 필름 스택은 제 1 및 제 2 폴리실리콘층 사이에 샌드위치된 하프늄 산화물 함유층을 포함한다.
또 다른 실시예에서, 게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법은, 에칭 챔버로 기판 상에 형성된 높은-k 물질층 상에 배치된 금속 게이트 전극을 갖는 기판을 제공하는 단계, 높은-k 물질을 노출하는 트렌치를 형성하기 위해 금속 게이트 전극층을 에칭하는 단계, 및 약 100℃ 내지 약 250℃의 온도에서 할로겐 함유 가스에 의해 트렌치를 통해 높은-k 물질을 에칭하는 단계를 포함할 수 있다.
일 실시예에서, 기판 상에 높은-k 물질을 에칭하는 방법은, 에칭 챔버로 그 위에 배치된 높은-k 물질층을 갖는 기판을 제공하는 단계, 에칭 챔버로 적어도 할 로겐 함유 가스를 포함하는 에칭 가스 혼합물로부터 플라즈마를 형성하는 단계, 플라즈마의 존재 하에서 높은-k 물질층을 에칭하면서 약 100℃를 초과하는 온도로 에칭 챔버의 내부면의 온도를 유지시키는 단계, 및 플라즈마의 존재 하에서 높은-k 물질층을 에칭하면서 약 100℃ 내지 약 250℃의 기판 온도를 유지시키는 단계를 포함할 수 있다.
본 발명은 일반적으로 게이트 구조물 제작에 적절한 필름 스택의 일부인 높은-k 물질을 에칭하기 위한 방법에 관한 것이다. 일 실시예에서, 게이트 구조물 필름 스택의 높은-k 물질이 약 100℃ 내지 약 250℃의 온도에서 에칭된다. 다른 실시예에서, 인접한 게이트 전극층과 함께 높은-k 물질이 약 100℃ 내지 약 250℃의 유사 온도에서 모두 에칭된다. 여기서 설명된 프로세스는 서브미크론의 임계 치수를 갖는 높은-k를 포함하는 게이트 구조물 이용에 적절하다. 또한, 이 프로세스는 성형된 게이트 구조물의 매끄럽고 수직이며 풋-프리(foot-free), 제로 실리콘 리세스 및 직선 프로파일을 유지한다. 이 에칭 프로세스는 단일 에칭 챔버에서 순차적으로 수행될 수 있다.
여기서 설명된 에칭 프로세스는 적절한 플라즈마 에칭 챔버에서 수행될 수 있고, 이는 예를 들어 디커플드 플라즈마 소스(DPS), DPS-II, DPS-II AdvantEdge HT, DPS Plus, 또는 DPS DT, HART, HART TS 에칭 반응기와 같은 것이고, 이들 모두는 미국 캘리포니아 산타클라라의 어플라이드 머티어리얼스사로부터 구입 가능하다. 또한, 본 발명은 다른 제조자로부터 구입 가능한 적절한 플라즈마 에칭 반응기에서도 실행될 수 있다.
도 1은 본 발명의 적어도 하나의 실시예를 실행하기에 적절한 예시적인 에칭 프로세스 챔버(100)의 일 실시예의 개략도를 도시한다. 이 챔버(100)는 뚜껑(120)을 지지하는 전도성 챔버벽(130)을 포함한다. 이 벽(130)은 전기적 그라운드(134)로 연결된다. 이 뚜껑(120)은 평평한 시일링, 돔 형태의 시일링 또는 프로세스 챔버(100)의 내부 부피를 위한 상부 경계를 형성하는 다른 기하구조의 시일링을 제공할 수 있다.
적어도 하나의 유도성 코일 안테나 세그먼트(112)는 매칭 네트워크(matching network; 119)를 통해 라디오-주파수(RF) 소스(118)에 연결된다. 안테나 세그먼트(112)는 뚜껑(120)에 대해 외부에 위치하고 챔버 내의 프로세스 가스로부터 형성된 플라즈마(155)를 유지시키는데 이용된다. 일 실시예에서, 유도성 코일 안테나(112)에 가해진 소스 RF 전력은 약 50kHz 내지 약 13.56MHz의 주파수에서 약 0와트 내지 약 2500와트의 범위에 있다. 다른 실시예에서, 유도성 코일 안테나(112)에 가해진 소스 RF 전력은 약 800와 같이 약 200와트 내지 약 2000와트의 범위에 있다.
뚜껑(120)은 프로세싱 동안 뚜껑(120)의 내부면(162)의 온도를 제어하기에 적절한 하나 이상의 온도 제어 요소를 포함할 수 있다. 일 실시예에서, 온도 제어 요소는 하나 이상의 도관(164)을 포함하고, 이를 통해 유체가 유동하도록 구성된다. 도관(164)을 통해 순환하는 유체의 온도는 예정된 온도를 유지하는데 필요하도록 뚜껑(120)을 선택적으로 가열하거나 또는 냉각함에 의해 뚜껑(120)의 내부면(162)의 온도를 제어하는 방식으로 조정될 수 있다. 대안적으로, 온도 요소는 저항성 히터, 램프 및/또는 냉각 소자일 수 있다.
또한, 프로세스 챔버(100)는 제 2 (바이어싱) RF 소스(122)에 연결된 기판 지지 페데스탈(116)(바이어싱 요소)을 포함하고, 이 제 2 (바이어싱) RF 소스는 약 13.56MHz 주파수에서 약 1500 와트 또는 그 미만의 바이어스 전력(예를 들어 바이어스 전력이 없음)을 생성하기 위한 RF 신호를 만들 수 있다. 바이어싱 소스(122)는 매칭 네트워크(123)를 통해 기판 지지 페데스탈(116)에 연결된다. 기판 지지 페데스탈(116)에 가해지는 바이어스 전력은 DC 또는 RF 일 수 있다.
작동시, 기판(114)은 기판 지지 페데스탈(116) 상에 놓이고 전자기 처킹(chucking), 진공 또는 기계적 클램핑(clamping)과 같은 종래 기술에 의해 그 위에서 유지된다. 가스 성분은 가스상 혼합물(150)을 형성하기 위해 입구 포트를 통해 가스 패널(138)로부터 프로세스 챔버(100)로 공급된다. 플라즈마(155)는 가스상 혼합물(150)로부터 형성된다. 일 실시예에서, 입구 포트는 프로세스 챔버(100)의 뚜껑(120)에 위치한 노즐(170)에 포함된다. 노즐(170)은 기판(114)의 중앙을 향해 아래 방향을 향해 제 1 가스상 성분을 공급하기 위한 적어도 하나의 제 1 배출구(172)와 프로세스 챔버(100)의 벽(130)을 향해 방사상으로 외부를 향해 제 2 기체상 성분을 공급하기 위한 적어도 하나의 제 2 배출구(174)를 포함한다. 이러한 방식으로, 가스 혼합물의 제 1 및 제 2 기체상 성분의 분배가 기판의 중앙 및 에지 사이에서 방사상으로 제어될 수 있다. 이 혼합물(150)로부터 형성된 플라 즈마는 RF 소스(118, 122)로부터 각각 안테나(112) 및 기판 지지 페데스탈(116)로 RF 전력을 가함에 의해 처리 챔버(100)에서 유지된다. 에칭 챔버(100)의 내부의 압력은 챔버(100) 및 진공 펌프(136) 사이에 위치한 스로틀 밸브(127)를 이용하여 제어된다. 챔버 벽(130) 표면의 온도는 챔버(100)의 벽(130)에 위치한 액체 함유 도관(미도시)을 이용하여 제어된다.
기판(114)의 온도는 지지 페데스탈(116)의 온도를 안정화시키고 페데스탈 표면 상의 그루브(미도시) 및 기판(114)의 뒷면 사이에 형성된 채널로 소스(148)로부터 도관(149)을 통해 열 전다르 가스를 유동시킴에 의해 제어된다. 헬륨 가스는 기판(114) 및 기판 지지 페데스탈(116) 사이에서 열 전달을 촉진시키기 위해 열 전달 가스로서 이용될 수 있다. 에칭 프로세스 동안, 기판(114)은 DC 전력 소스(124)를 통해 정상 상태 온도로 기판 지지 페데스탈(116) 내에 배치된 저항성 히터(125)에 의해 가열된다. 페데스탈(116) 및 기판(114) 사이에 배치된 헬륨은 기판(114)의 균일한 가열을 촉진시킨다. 뚜껑(120) 및 기판 지지 페데스탈(116) 모두의 열적 제어를 이용하여, 기판(114)은 약 100℃ 내지 약 500℃의 온도에서 유지될 수 있다.
당업자는 에칭 챔버의 다른 형태가 본 발명을 실행하는데 이용될 수 있다는 것을 이해할 것이다. 예를 들면, 원격 플라즈마 소스를 구비한 챔버, 마이크로웨이브 플라즈마 챔버, 전자 사이클로트론 공명(electron cyclotron resonance, ECR) 플라즈마 챔버, 및 이와 유사한 것이 본 발명을 실행하는데 이용될 수 있다.
중앙 처리 유닛(CPU)(144), 메모리(142), 및 CPU(144)를 위한 지지 회로(146)를 포함하는 제어기(140)가 DPS 에칭 프로세스 챔버(100)의 다양한 구성요소에 연결되고, 이에 의해 에칭 프로세스의 제어를 촉진한다. 상기에서 설명된 것과 같이 챔버의 제어를 촉진하기 위해, CPU(144)는 일반적인 목적의 컴퓨터 프로세서의 어떠한 형태 중 하나일 수 있고, 이 컴퓨터 프로세서는 다양한 챔버 및 하위 프로세서를 제어하기 위한 산업적 설정에서 이용될 수 있다. 메모리(142)는 CPU(144)에 결합된다. 메모리(142) 또는 컴퓨터로 읽을 수 있는 수단은 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 로컬 혹은 원격의 디지탈 저장의 다른 어떠한 형태와 같은 하나 이상의 쉽게 이용 가능한 메모리일 수 있다. 지지회로(146)는 종래의 방식으로 프로세서를 지지하기 위해 CPU(144)에 결합된다. 이 회로는 캐쉬, 전력 서플라이, 시계 회로, 입력/출력 회로 및 하위 시스템 그리고 이와 유사한 것을 포함한다. 여기서 설명된 에칭 프로세스는 소프트웨어 루틴(software routine)으로서 메모리(142)에 대개 저장되어 있다. 또한, 소프트웨어 루틴은 제 2 CPU(미도시)에 의해 저장되고 및/또는 실행될 수 있으며, 이 제 2 CPU는 CPU(144)에 의해 제어되는 하드웨어로부터 멀리 위치한다.
도 2는 도시된 챔버(100) 또는 다른 적절한 프로세싱 챔버에서 실행될 수 있는 에칭 프로세스(200)의 일 실시예의 흐름도이다. 이 프로세스(200)는 도 1에서 도시된 것과 같은 예시적 프로세스 챔버(100)와 같은 에칭 프로세스 챔버로 기판(114)을 수송함(예를 들어 제공함)에 의해 블록(202)에서 시작한다. 기판(114)은 높은-k 물질 유전체층을 함유하며 그 위에 배치된 필름 스택(film stack)을 갖는다. 일 실시예에서, 높은-k 물질은 하프늄 디옥사이드(HfO2), 지르코늄 디옥사이드(ZrO2), 하프늄 실리케이트 산화물(HfSiO4), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리케이트 산화물(ZrSiO4), 탄탈륨 디옥사이드(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 디옥사이드, 비스무트 스트론튬 티타늄(BST), 또는 플래티늄 지르코늄 티타늄(PZT) 중 적어도 하나이다. 높은-k 물질 유전체층의 일부는 패턴화된 마스킹층을 통해 노출된다. 기판(114)은 반도체 기판, 실리콘 웨이퍼, 글래스 기판 및 이와 유사한 것 중 하나일 수 있다.
블록(204)에서, 노출된 높은-k 물질층은 고온 환경에서 패턴화된 마스킹층에 의해 형성된 개구를 통해 플라즈마 에칭된다. 필름 스택의 하부층의 윗면이 노출될 때까지 높은-k 물질층이 에칭된다. 높은-k 물질층은 고온 환경에서 에칭된다. 특히, 챔버 구성요소의 적어도 일부는 높은-k 물질층의 에칭 동안 약 100℃보다 높은 온도에서 유지된다. 상온에서 수행되는 종래의 높은-k 에칭 프로세스 동안, 에칭 동안 생성된 에칭된 높은-k 물질 부산물은 챔버 밖으로 펌프될 수 있는 휘발성 가스를 형성하기 보다는 비교적 차가운 챔버 표면 상에서 응축되는 경향이 있다. 일 실시예에서, 뚜껑(120)은 약 100℃ 내지 약 250℃의 온도에서 에칭 동안 유지되고 이에 의해 에칭 프로세스를 구동하는데 이용되는 플라즈마로의 전력의 커플링을 방해하는 전기적으로 절연인 높은-k 에칭 부산물이 뚜껑(120) 상에서 응축되지 아니한다. 따라서, 거의 깨끗한 조건에서 뚜껑(120)을 유지시킴에 의해, 더욱 균일하고 정밀한 플라즈마 제어가 실행되고, 이에 의해 더욱 예상 가능하고 균일하며 정확한 에칭 성능을 초래한다.
또한, 에칭되는 높은-k 물질층의 온도는 약 80℃보다 높은 온도에서 유지된다. 일 실시예에서, 높은-k 물질층의 온도는 가열된 기판 지지대를 이용하여 약 100℃ 내지 약 250℃, 예를 들어 약 130℃ 내지 약 220℃, 예를 들어 150℃와 같은 온도에서 유지된다. 상기에서 언급된 것처럼, 약 100℃ 내지 약 250℃의 온도로 에칭 온도를 제어함에 의해, 높은-k 에칭 부산물은 휘발성 물생성으로서 쉽게 형성될 수 있고, 챔버로부터 밖으로 펌프되고 제거될 수 있으며, 이에 의해 더욱 정확한 프로파일 및 패턴 전달을 초래한다. 또한, 휘발성 물생성의 형성은 챔버로부터 잔여물 배출을 향상시키고 이에 의해 기판(114) 상에 깨끗한 에칭후 표면을 남긴다. 또한, 높은-k 물질층을 에칭하기 위해 제어된 기판 온도는 종래 기술보다 거의 높기 때문에, 바이어스 전력이 알맞은 높은-k 물질 에칭 속도를 유지하는데 필요하지 않을 수 있고, 이에 의해 기판 및/또는 하부층에 표면 손상 또는 바람직하지 못한 리세스를 만들 수 있는 충돌을 피하게 된다.
높은-k 물질층은 프로세스 가스 혼합물로부터 형성된 플라즈마의 존재 상태에서 에칭된다. 일 실시예에서, 프로세스 가스 혼합물은 적어도 할로겐 함유 가스를 포함한다. 예시적 실시예에서, 할로겐 함유 가스는 염소 함유 가스일 수 있다. 할로겐 함유 가스의 적절한 예는 BCl3, Cl2 및 이와 유사한 것을 포함한다. 할로겐 함유 가스에 제공된 할로겐 성분은 높은-k 물질층을 에칭하고 기판(114)으로부터 높은-k 물질층을 제거한다. 높은-k 물질층이 하프늄을 함유하는 실시예에서, 프로세스 가스로부터 방출된 염소 성분은 하프늄 함유 물질로부터 방출된 하프늄 성분과 반응하고, 이에 의해 프로세스 챔버로부터 밖으로 쉽게 펌프되는 휘발성 부산물인 하프늄 크로르(HfCl4)를 형성한다. 고온의 높은-k 에칭 프로세스가 부산물 휘발성을 증가시키기 때문에 에칭 부산물은 기판 지지대 및 뚜껑(또는 다른 고온 챔버 표면)으로부터 효과적으로 제거될 수 있고, 이에 의해 에칭 프로세스 이후 잔여물이 없고 깨끗한 표면을 남긴다.
선택적으로, 탄화수소 가스가 프로세스 가스에 공급될 수 있다. 탄화수소 가스는 에칭 프로세스 동안 에칭된 높은-k 물질층 및 마스킹층의 측벽 상에 증착하는 중합체 물질을 제공한다. 이는 임계 치수 및 프로파일 제어를 향상시킨다. 탄화수소 가스의 예는 CH4, CHF3, CH2F2, 및 이의 조합물을 포함한다.
다수의 프로세스 파라미터는 높은-k 물질층을 에칭하면서 조정될 수 있다. 일 실시예에서, 높은-k 에칭 프로세스 가스의 존재 하에서 챔버 압력은 예를 들어 약 20mTorr와 같이 약 2mTorr 내지 약 500mTorr로 조정된다. RF 소스 전력은 높은-k 에칭 프로세스 가스로부터 형성된 플라즈마를 유지하도록 가해질 수 있다. 예를 들면, 약 0와트 내지 약 1500와트의 전력이 에칭 챔버 내부에서 플라즈마를 유지하기 위해 유도적으로 결합된 안테나 소스로 가해질 수 있다. RF 바이어스 전력은 예를 들어 약 250와트와 같이 약 0 와트 내지 약 1000와트로 가해질 수 있다. 일 실시예에서, 바이어스 전력이 이용되지 아니하여 이온 충돌을 감소시키고, 따라서 게이트 밑의 드레인과 실리콘 소스의 바람직하지 못한 리세스의 발생을 크게 감 소시킨다. 대안적으로 바이어스 전력은 필요에 따라 제거될 수 있다. 높은-k 에칭 프로세스 가스는 약 0sccm 내지 약 500sccm의 속도로 챔버로 유동될 수 있다. 예를 들면, 할로겐 함유 가스는 약 30sccm 내지 약 100sccm와 같이 약 5sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 탄화수소 가스는 약 0sccm 내지 약 10sccm과 같이 약 0sccm 내지 약 100sccm의 유동 속도로 공급될 수 있다. 비활성 가스는 약 0sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 기판 온도는 예를 들어 약 150℃와 같이 약 120℃보다 큰 것과 같이 약 80℃ 보다 크게 유지된다.
도 3은 예시적 챔버(100) 또는 다른 적절한 프로세싱 챔버에서 실행될 수 있는 에칭 프로세스(250)의 일 실시예의 흐름도이다. 도 4A-4D는 이 프로세스(250)의 다양한 단계에 대응하는 복합 기판(composite substrate)의 일부의 개략적인 단면도이다. 프로세스(250)는 도 4A-4D에서 게이트 구조물을 형성하기 위해 도시되었지만, 프로세스(250)는 다른 구조물을 제작하는데 유리하게 이용될 수 있다.
프로세스(250)는 도 1에서 도시된 것과 같은 예시적 프로세스 챔버(100)와 같은 에칭 프로세스 챔버로 기판을 수송함(즉, 제공함)에 의해 블록(252)에서 시작된다. 도 4A에서 도시된 실시예에서, 기판(114)은 게이트 구조물을 제작하기에 적절하며 그 위에 배치된 높은-k 물질 유전체층(304)을 함유한 필름 스택(300)을 갖는다. 기판(114)은 반도체 기판, 실리콘 웨이퍼, 글래스 기판 및 이와 유사한 것 중 하나일 수 있다. 필름 스택(300)을 포함하는 층은 원자층 증착(ALD), 물리 기상 증착(PVD), 화학 기상 증착(CVD), 플라즈마 강화 CVD(PECVD) 및 이와 유사한 것 과 같은 하나 이상의 종래의 증착 기술을 이용하여 형성될 수 있다.
일 실시예에서, 필름 스택(300)은 높은-k 유전체 물질층(304)(높은-k 물질은 4.0보다 큰 유전 상수를 갖는다)을 샌드위치하는 적어도 하나 또는 그 초과의 층(302, 306)을 포함한다. 필름 스택(300)은 기판(114) 상이 직접 또는 유전체층(미도시) 상에 배치될 수 있다. 유전체층의 적절한 예는 산화물층, 질화물층, 티타늄 질화물층, 산화물 및 질화물층의 복합물, 질화물층을 샌드위치하는 적어도 하나 또는 그 초과의 산화물층, 및 이의 조합을 포함하나 이에 제한되는 것은 아니다. 예를 들어 하드 마스크, 포토레지스트 마스크, 또는 이의 조합과 같은 패턴화된 마스크(308)는 그 위에 피쳐를 에칭하기 위해 개구(310)를 통해 필름 스택(300)의 일부를 노출시키는 에칭 마스크로서 이용될 수 있다.
도 4A에서 도시된 실시예에서, 높은-k 물질층(304)은 하프늄 디옥사이드(HfO2), 지르코늄 디옥사이드(ZrO2), 하프늄 실리케이트 산화물(HfSiO4), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리케이트 산화물(ZrSiO4), 탄탈륨 디옥사이드(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 디옥사이드, 비스무트 스트론튬 티타늄(BST), 또는 플래티늄 지르코늄 티타늄(PZT)의 층일 수 있다. 예시적 실시예에서, 높은-k 물질은 하프늄 알루미늄 산화물(HfAlO)다. 높은-k 물질층(304)은 예를 들어 약 150Å과 같이 약 50Å 내지 약 300Å와 같은 약 10Å 내지 약 500Å의 두께를 갖는다.
제 1 층(306)은 높은-k 물질층(304) 위에 배치되고 하나 이상의 층들을 포함 할 수 있다. 일 실시예에서, 제 1 층(306)은 게이트 구조물에서 게이트 전극층으로서 이용되는 폴리실리콘층이다. 대안적으로, 제 1 층(306)은 게이트 전극을 위해 이용되는 금속 물질일 수 있다. 금속 게이트 전극의 예는 텅스텐(W), 텅스텐 실리사이드(WSi), 텅스텐 폴리실리콘(W/poly), 텅스텐 합금, 탄탈륨(Ta), 탄탈륨 질화물(TaN), 탄탈륨 실리콘 질화물(TaSiN), 티타늄 질화물(TiN)을 포함한다. 또 다른 실시예에서, 게이트 전극층(306)은 금속 물질 상에 배치된 폴리실리콘층을 포함하는 복합 필름일 수 있다. 이 특별한 실시예에서, 게이트 전극층(306)은 티타늄 질화물(TiN)층 상에 배치된 폴리실리콘층일 수 있다. 도 4A에서 도시된 실시예에서, 높은-k 물질층(304) 상에 배치된 제 1 층(306)은 폴리실리콘층이다. 제 1 층(306)은 예를 들어 약 1650Å, 약 800Å 내지 약 2500Å과 같이 약 500Å 내지 약 4000Å의 두께를 가질 수 있다.
제 2 층(302)은 높은-k 물질층(304) 아래에 배치되고 또한 하나 이상의 층들을 포함할 수 있다. 일 실시예에서, 제 2 층(302)은 폴리실리콘층, 실리콘 산화물층, 실리콘 질화물층, 실리콘 옥시니트리드 또는 이의 조합과 같은 유전체층일 수 있다. 제 2 층(302)이 존재하지 않는 실시예에서, 필름 스택(300)의 높은-k 물질층(304)은 기판(114) 상에 직접 배치될 수 있다. 도 4A에서 도시된 실시예에서, 제 2 층(302)은 폴리실리콘층이고, 예를 들어 약 500Å, 약 100Å 내지 약 1000Å과 같이 약 50Å 내지 약 2000Å의 두께를 갖는다.
블록(254)에서, 에칭 프로세스는 패턴화된 마스크(308)에 의해 형성된 개구(310)를 통해 기판(114) 상으로 제 1 층(306)을 에칭하도록 수행되고, 이에 의 해, 제 1 층(306)의 트렌치(trench, 320)를 형성하며, 이는 도 4B에서 도시된 것과 같다. 블록(254)에서 수행되는 에칭 프로세스는 제 1 층(306)의 서로 다른 부분을 에칭하기 위해 하나 이상의 에칭 단계를 가질 수 있다. 일 실시예에서, 에칭 프로세스는 단일 단계 에칭 프로세스일 수 있고, 일 단계는 높은-k 물질층(304)이 노출될 때까지 제 1 층(306)을 에칭한다. 다른 실시예에서, 에칭 프로세스는 다수의 단계를 포함할 수 있고, 이에 의해 기판(114) 상의 제 1 층(306)의 서로 다른 부분을 에칭한다.
예시적 실시예에서, 다수의 에칭 단계가 수행될 수 있고 이에 의해 제 1 층(306)을 에칭하고 필름 스택(300)의 높은-k 물질층(304)을 노출시킨다. 돌파(breakthrough) 에칭이 최초에 수행될 수 있고 이에 의해 제 1 층(306)의 예를 들어 네이티브 산화물(native oxide)과 같은 윗면을 에칭한다. 돌파 에칭을 위한 에칭 가스 혼합물은 플루오르 및 탄소 가스를 포함한다. 가스 혼합물로부터 형성된 플라즈마로부터 생성된 반응종은 실리콘 산화물과 같은 네이티브 산화물과 반응하고 이에 의해 SiF4 및 CO2와 같은 휘발성 반응 물생성을 형성하며, 이는 프로세싱 챔버로부터 밖으로 펌프된다. 돌파 에칭을 위한 에칭 가스 혼합물의 적절한 예는 CF4, CHF3, CH2F2, CH3F, C4F8 및 이와 유사한 것을 포함한다. 선택적으로, 비활성 가스가 에칭 가스 혼합물에 공급된다. 비활성 가스의 적절한 예는 Ar, He, Kr, Ne, 및 이와 유사한 것을 포함한다.
돌파 에칭 동안 에칭 가스 혼합물이 존재하는 경우의 챔버 압력이 조정된다. 일 예시적 실시예에서, 에칭 챔버의 프로세스 압력은 약 2mTorr 내지 약 100mTorr, 예를 들어 약 4mTorr의 압력으로 조정된다. RF 소스 전력은 에칭 가스 혼합물로부터 형성된 플라즈마를 유지하기 위해 가해질 수 있다. 예를 들면, 약 300와트와 같은, 약 100와트 내지 약 1500와트의 전력이 유도적으로 결합된 안테나 소스에 가해질 수 있고 이에 의해 에칭 챔버 내부에 플라즈마를 유지시킨다. RF 바이어스 전력은 약 100와트와 같이 약 100와트 내지 약 1500와트로 가해질 수 있다. 돌파 에칭을 위한 에칭 가스 혼합물은 약 50sccm 내지 약 1000sccm의 속도로 챔버로 유동될 수 있다. 예를 들면, 플루오르 및 탄소 가스는 100sccm과 같이 약 5sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 비활성 가스는 약 0sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 기판 온도는 약 30℃ 내지 약 500℃에서 유지된다. 다른 실시예에서, 기판 온도는 예를 들어 약 150℃와 같이, 약 130℃ 내지 약 220℃와 같이 약 100℃ 내지 약 250℃와 같이, 약 80℃보다 높게 유지된다.
네이티브 산화물이 돌파된 이후, 메인 에칭이 수행되고 이에 의해 제 1 층(306)의 메인부분을 에칭한다. 제 1 층(306)은 패턴화된 마스크(308)를 통해 에칭되고 이에 의해 하부 높은-k 물질층(304)의 윗면이 노출될 때까지 제 1 층(306)에서 트렌치(320)를 형성한다. 돌파 및 메인 에칭은 에칭 챔버로부터 기판(114)을 제거하지 않은 채 연속적으로 수행될 수 있다. 메인 에칭 단계를 위한 에칭 가스 혼합물은 적어도 할로겐 함유 가스와 플루오르 및 탄소 가스를 포함한다. 할로겐 함유 가스의 적절한 예는 HBr, HCl, Cl2, Br2, NF3, 이의 조합 및 이와 유사한 것을 포함한다. 플루오르 및 탄소 가스의 적절한 예는 CF4, CHF3, CH2F2, CH3F, C4F8, 이의 조합, 및 이와 유사한 것을 포함한다. 선택적으로, O2, N2, 이의 조합 및 이와 유사한 것과 같은 캐리어 가스 및 비활성 가스가 에칭 가스 혼합물로 공급될 수 있다. 비활성 가스의 적절한 예는 Ar, He, Kr, 및 이와 유사한 것을 포함한다. 특별한 실시예에서, 메인 에칭 가스 혼합물은 HBr 가스, NF3 가스, CF4 가스, O2 가스, N2 가스 및 He 가스를 포함할 수 있다.
일 실시예에서, 돌파 동안 제 1 층(306)을 에칭하는데 이용되는 프로세스 파라미터 및 메인 에칭은 거의 유사한 방식으로 제어될 수 있다. 다른 실시예에서, 돌파 에칭 동안 제 1 층(306)을 에칭하는데 이용되는 프로세스 파라미터 및 메인 에칭은 서로 다른 프로세스 요구에 따라 변경될 수 있다. 또한, 또 다른 실시예에서, 제 1 층(306)의 메인 에칭 동안 프로세스 파라미터는 필요에 따라 변경될 수 있고, 이에 의해 메인 에칭 프로세스가 둘 이상의 단계의 에칭 프로세스로 되게 한다.
일 실시예에서, 메인 에칭 가스 혼합물의 챔버 압력은 제 1 층(306)의 에칭 동안 조정된다. 일 예시적 실시예에서, 에칭 챔버의 프로세스 압력은 예를 들어 약 7mTorr와 같이 약 2mTorr 내지 약 100mTorr로 조정된다. RF 소스 전력은 에칭 가스 혼합물로부터 형성된 플라즈마를 유지하도록 가해질 수 있다. 예를 들면, 약 300와트와 같이 약 100와트 내지 약 1500와트의 전력이 유도적으로 결합된 안테나 소스에 가해질 수 있고 이에 의해 에칭 챔버 내부에서 플라즈마를 유지시킨다. RF 바이어스 전력은 약 150 내지 약 250와트와 같이 약 100와트 내지 약 1000와트로 가해질 수 있다. 메인 에칭 동안 에칭 가스 혼합물은 약 50sccm 내지 약 1000sccm의 속도로 챔버로 유동될 수 있다. 예를 들면, 할로겐 함유 가스는 170sccm과 같이 약 5sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 플루오르 및 탄소 가스는 약 40 내지 약 70sccm과 같이 약 5sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 비활성 가스는 약 0sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 기판 온도는 약 30℃ 내지 약 500℃로 유지된다. 다른 실시예에서, 기판 온도는 예를 들어 약 150℃, 약 120℃보다 큰 온도와 같이 약 80℃를 넘는 온도로 유지된다.
트렌치(320)가 제 1 기판(306)에서 형성된 이후, 도 4B에서 도시된 것처럼, 오버 에칭 프로세스(over-etching process)가 수행될 수 있고 이에 의해 에칭된 제 1 층(306)의 측벽(322)의 보호층을 증착시킬뿐만 아니라 기판(114) 상에 남아 있는 제 1 층(306)의 표면 형태(topography)를 에칭한다. 노출된 측벽(322) 상에 배치된 보호층은 기판(114) 상에 남아 있는 제 1 층(306)의 표면 형태를 에칭하는 동안 및/또는 이후의 에칭 프로세스 동안 측벽이 영향받는(attacked) 것을 방지하고, 이로써 패턴 변형 및 프로파일 제어의 손실을 방지한다.
일 실시예에서, 블록(254)에서 제 1 층(306)을 에칭하는 메인 에칭 프로세스에 이용되는 가스 혼합물에 추가하여, 실리콘 및 할로겐 함유 가스가 기판(114) 상 에 남아 있는 제 1 층(306)의 표면 형태를 에칭하도록 추가될 수 있다. 가스 혼합물로 추가된 가스의 적절한 예는 SiCl4 및 이와 유사한 것을 포함한다. 실리콘 및 할로겐 함유 가스로부터 생성된 할로겐 반응종은 에칭 잔여물을 제거하고 기판 표면으로부터 남아 있는 제 1 층(306)을 제거한다. 또한, 실리콘 및 할로겐 함유 가스로부터 생성된 실리콘 반응종은 제 1 층(306)과 반응하고, 이로써 에칭된 표면 상에 실리콘 측벽 보호층을 형성하며, 이에 의해 강건한 측벽 보호를 제공한다. 이 강건한 측벽 보호는 이후의 높은-k 물질층(304)의 에칭 및 하부 제 2 층(302) 에칭 프로세스 동안 제 1 층(306)이 영향받는 것을 보호한다.
블록(256)에서, 높은-k 물질층(304)의 노출된 윗면(312)은 패턴화된 마스크(308) 및 트렌치(320)에 의해 형성된 개구(310)를 통해 고온 환경에서 에칭된다. 높은-k 물질층(304)은 하부 제 2 층(302)의 윗면(314)이 노출될 때까지 에칭되고, 이는 도 4C에서 도시된다. 일 실시예에서, 고온 환경은 약 120℃와 같이 약 100 내지 약 150℃로 프로세스 챔버(100)의 뚜껑(120)을 유지시킴에 의해 제공된다.
높은-k 물질층(304)을 에칭하는데 이용되는 높은-k 에칭 가스 혼합물은 하부 제 2 층(302) 및 제 1 층(306)의 측벽(322)을 덮는 실리콘 보호층 위의 높은-k 물질층(304)을 위해 높은 선택도를 갖도록 선택된다. 제 1 층(306)의 측벽(322)은 강건한 보호층에 의해 보호되기 때문에, 비교적 높은 프로세스 온도, 예를 들어 종래 기술에서 이용된 상온 프로세스보다 높은 온도가 제 1 층(306)에 형성된 트렌치 프로파일을 불리하게 손상시키지 않은 채 높은-k 물질층(304)을 에칭하는데 이용될 수 있다. 일 실시예에서, 높은-k 물질층(304)은 예를 들어 약 150℃와 같이 약 130℃ 내지 약 220℃와 같이, 약 100℃ 내지 약 250℃와 같은 약 80℃를 넘는 온도에서 에칭된다. 상온에서 수행되는 종래의 높은-k 에칭 프로세스 동안, 에칭 동안 생성되는 에칭된 높은-k 물질 부산물은 챔버 밖으로 펌프될 수 있는 휘발성 반응 물생성을 형성하기 보다는 기판 표면 상에 축적되는 경향이 있고, 이에 의해 열ㄹ등한 패턴 전달을 초래한다. 따라서, 약 100℃ 내지 약 250℃의 온도에서 에칭 온도를 제어함에 의해, 높은-k 에칭 부산물이 휘발성 생성물로서 쉽게 형성될 수 있고 챔버 밖으로 펌프되고 제거될 수 있으며, 이에 의해 더욱 정확한 프로파일 및 패턴 전달을 초래한다. 또한, 휘발성 생성물의 형성은 챔버로부터 잔여물을 비우는 것을 촉진시키고 이에 의해 기판(114) 상의 에칭 후 표면을 깨끗하게 남긴다. 또한, 높은-k 물질층(304)을 에칭하기 위해 제어된 기판 온도가 종래의 기술보다 거의 높기 때문에, 합리적인 높은-k 물질 에칭 속도를 유지하는데 바이어스 전력이 필요하지 않을 수 있고, 이에 의해 하부층 및/또는 기판에 표면 손상 또는 바람직하지 못한 리세스를 만들 수 있는 충돌을 피하게 된다.
일 실시예에서, 높은-k 물질층(304)을 에칭하도록 공급된 높은-k 가스 혼합물은 적어도 할로겐 함유 가스를 포함한다. 예시적 실시예에서, 할로겐 함유 가스는 염소 함유 가스일 수 있다. 할로겐 함유 가스의 적절한 예는 BCl3, Cl2 및 이와 유사한 것을 포함한다. 할로겐 함유 가스에 공급된 할로겐 성분은 높은-k 물질층(304)을 에칭하고 기판(114)으로부터 높은-k 물질층(304)을 제거한다. 할로겐 함유 가스가 BCl3인 실시예에서, 할로겐 함유 가스는 물질(304) 및 하부 제 1 층(306) 사이에 높은 선택도를 제공한다. 예를 들면, 높은-k 물질층(304)이 하프늄 및 산화물 함유 물질인 실시예에서, 에칭 플라즈마 분해 동안 BCl3 가스로부터 방출되는 붕소 성분은 하프늄 및 산화물 함유 물질로부터 방출된 산소 성분과 반응하고, 이에 의해 기판의 노출 표면 상에 BxOy 보호층을 형성한다. 에칭 프로세스 동안 형성된 BxOy 보호층은 실리콘 표면, 예를 들어 에칭된 윗면 또는 일찍 노출된 하부면을 패시베이트(passivate)하고, 이에 의해 에칭 동안 기판 상에 에칭된 바람직하지 못한 다른 영역이 영향받는 것을 막으며, 이는 기판 상에 리세스 또는 결함을 초래한다. BCl3 가스로부터 방출된 염소 성분은 하프늄 및 산화물 함유 물질로부터 방출된 하프늄 성분과 반응하고, 이에 의해 챔버로부터 밖으로 펌핑되는 하프늄 클로르(HfCl4) 휘발성 부산물을 형성한다. 고온 높은-k 에칭 프로세스가 부산물의 휘발성을 증가시키기 때문에, 에칭 부산물은 기판으로부터 효과적으로 제거될 수 있고, 이에 의해 에칭 프로세스 이후 기판 상에 에칭 후 깨끗하고 잔여물이 없는 표면을 남긴다. 따라서, 인접한 층에 높은 선택도 및 수직이고 곧으며 결함이 없는(리세스가 없고 풋(foot)이 없음) 구조물을 제공할 수 있는 높은-k 에칭 프로세스가 얻어진다.
선택적으로, 탄화수소 가스가 가스 혼합물에 공급될 수 있다. 탄화수소 가스는 중합 물질을 제공하고, 에칭 프로세스 동안 플라즈마 분해 동안 이 중합 물질 은 도 4C에서 도시된 것처럼 에칭된 높은-k 물질층(304)의 측벽(324) 및 제 1 층(306)의 측벽(322) 상에 증착된다. 탄화수소 가스의 예는 CH4, CHF3, CH2F2, 및 이의 조합을 포함한다.
일 실시예에서, 높은-k 물질(304)은 상부 제 1 층(306)을 에칭하는 동일한 챔버에서 에칭될 수 있고, 이에 의해 단일 챔버에 필름 스택(300)의 에칭을 일체화시킨다. 블록(254 및 256)에서의 에칭 프로세스는 프로세싱 챔버(100)로부터 기판(114)을 제거하지 아니한 채 연속적으로 수행될 수 있다. 제 1 층(306)을 에칭하도록 선택된 온도는 높은-k 물질(304)을 에칭하도록 구성된 온도와 거의 동일한 온도로 제어될 수 있고, 이에 의해 변화되는 것을 기다릴 필요 없이 안정된 기판 온도에서 기판(114)을 효과적으로 에칭한다. 일 실시예에서, 블록(256)에서 높은-k 물질 에칭 프로세스 및 블록(254)에서 제 1 층(306)의 에칭은 약 100℃ 내지 약 200℃와 같이 80℃보다 큰 유사한 온도에서 수행될 수 있다. 일 실시예에서, 제 1 층(306) 및 높은-k 물질(304)을 모두 에칭하도록 구성된 온도는 예를 들어 약 150℃와 같이 약 130℃ 내지 약 220℃, 약 100℃ 내지 약 250℃와 같이 약 80℃보다 큰 온도로 제어된다.
다수의 프로세스 파라미터는 높은-k 물질층(304)을 에칭하는 동안 조정될 수 있다. 블록(254)에서 조정되는 프로세스 파라미터는 블록(256)에서 조정된 프로세스 파라미터로 매끄럽게 변할 수 있다. 일 실시예에서, 높은-k 에칭 가스 혼합물의 존재 하에서 챔버 압력은 예를 들어 약 20mTorr와 같이 약 2mTorr 내지 약 500mTorr로 조정된다. RF 소스 전력은 높은-k 에칭 가스 혼합물로부터 형성된 플라즈마를 유지하도록 가해질 수 있다. 예를 들면, 약 0와트 내지 약 1500와트의 전력이 유도적으로 결합된 안테나 소스에 가해질 수 있고, 이에 의해 에칭 챔버 내부에서 플라즈마를 유지시킨다. RF 바이어스 전력은 약 250와트와 같이 약 0와트 내지 약 1000와트로 가해질 수 있다. 일 실시예에서, 이온 충돌을 감소시키도록 바이어스 전력이 이용되지 아니하고, 따라서 게이트 밑의 드레인 그리고 실리콘 소스에서 바람직하지 못한 리세스의 발생을 크게 감소시킨다. 대안적으로, 바이어스 전력은 필요에 따라 제거될 수 있다. 높은-k 에칭 가스 혼합물은 약 0sccm 내지 약 500sccm의 속도로 챔버로 유동될 수 있다. 예를 들면, 할로겐 함유 가스는 약 30sccm 내지 약 100sccm과 같이 약 5sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 탄화수소 가스는 약 0sccm 내지 약 10sccm과 같이 약 0sccm 내지 약 100sccm의 유동 속도로 공급될 수 있다. 비활성 가스는 약 0sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 기판 온도는 예를 들어 약 150℃, 약 120℃보다 큰 온도와 같이 약 80℃보다 큰 온도로 유지된다.
선택적으로, 산소 가스 플래쉬 프로세스(oxygen gas flash process)가 블록(256)에서 에칭 챔버로 높은-k 가스 혼합물을 공급하기 이전에 수행될 수 있다. 산소 가스 플래쉬 프로세스는 제 1 층(306)의 에칭된 측벽(322) 상에 산화층의 형성을 돕고, 이에 의해 에칭된 표면 상에 표면 패시베이션층을 제공한다. 제 1 층(306)은 실리콘 함유 물질일 수 있기 때문에, 챔버로 공급된 산소 가스는 제 1 층(306)의 실리콘 성분과 반응하고, 이에 의해 측벽 실리콘 산화물 보호층을 형성한다. 산소 가스 플래쉬 프로세스에서 수행되는 프로세스 파라미터가 조정된다. 일 실시예에서, 챔버 압력은 예를 들어 약 20mTorr와 같이 약 2mTorr 내지 약 500mTorr로 조정된다. 약 200와트 내지 약 2000와트의 RF 소스 전력은 유도적으로 결합된 안테나 소스에 가해질 수 있고 이에 의해 에칭 챔버 내부에서 플라즈마를 유지시킨다. 산소 가스는 약 50sccm 내지 약 150sccm과 같이 약 20sccm 내지 약 500sccm의 유동 속도로 제공될 수 있다. 대안적으로, He 또는 Ar과 같은 비활성 가스가 약 0sccm 내지 약 500sccm의 유동 속도로 공급될 수 있다. 기판 온도는 예를 들어 약 150℃와 같이 약 130℃ 내지 약 220℃, 약 100℃ 내지 약 250℃와 같이 약 80℃보다 큰 온도로 유지된다.
블록(258)에서, 필름 스택(300)의 제 2 층(302)은 패턴화된 마스크(308) 및 트렌치(320)에 의해 형성된 개구(310)를 통해 에칭된다. 에칭 프로세스는 도 4D에서 도시된 것처럼 기판(114)의 윗면(316)이 노출될 때까지 제 2 층(302)을 에칭한다. 블록(258)에서 수행되는 에칭 프로세스는 제 1 층(306)을 에칭하기 위해 블록(254)에서 수행되는 에칭 프로세스와 유사하게 제 2 층(302)의 서로 다른 부분을 에칭하도록 하나 이상의 에칭 단계를 가질 수 있다. 일 실시예에서, 에칭 프로세스는 단일 단계 에칭 프로세스일 수 있고, 하부 기판(114)의 윗면(316)이 노출될 때까지 제 2 층(302)을 에칭한다. 다른 실시예에서, 에칭 프로세스는 다중 단계를 포함할 수 있고, 이에 의해 기판(114) 상에 제 2 층(302)의 서로 다른 부분을 에칭한다.
예시적 실시예에서, 두 단계 에칭 프로세스가 필름 스택(300)의 제 2 층(306)을 에칭하기 위해 블록(258)에서 수행될 수 있다. 먼저, 돌파 에칭이 제 2 층(302)의 표면으로 에칭되도록 최초에 수행될 수 있다. 돌파 에칭을 위해 이용되는 에칭 가스 혼합물은 플루오르 및 탄소 가스를 포함한다. 블록(258)에서 제 2 층(302)을 돌파하는데 이용되는 돌파 가스 혼합물은 상기에서 설명된 것처럼 블록(254)에서 제 1 층(306)을 돌파하는데 이용되는 돌파 가스 혼합물과 거의 동일할 수 있다. 선택적으로, 비활성 가스는 에칭 가스 혼합물과 함께 공급될 수 있다. 비활성 가스의 적절한 예는 Ar, He, Kr, Ne, 및 이와 유사한 것을 포함한다.
돌파 이후, 메인 에칭이 수행되고 이에 의해 마스크 개구(310)를 통해 노출된 제 2 층(306)의 남아있는 부분을 에칭한다. 돌파 및 메인 에칭은 에칭 챔버로부터 기판(114)을 제거하지 아니한 채 연속적으로 수행될 수 있다. 제 1 및 제 2 층(306, 302) 모두가 폴리실리콘층인 실시예에서, 제 1 및 제 2 층(306, 302)의 메인 부분을 에칭하는데 이용되는 가스 혼합물 및 프로세스 파라미터는 거의 동일할 수 있다. 메인 에칭을 위한 에칭 가스 혼합물은 적어도 할로겐 함유 가스 및 플루오르 탄소 가스를 포함한다. 할로겐 함유 가스의 적절한 예는 HBr, HCl, Cl2, Br2, NF3, 이의 조합 및 이와 유사한 것을 포함한다. 플루오르 탄소 가스의 적절한 예는 CF4, CHF3, CH2F2, CH3F, C4F8, 이의 조합 및 이와 유사한 것을 포함한다. 선택적으로, O2, N2, N2O, NO2, 이의 조합 및 이와 유사한 것과 같은 캐리어 가스가 에칭 가스 혼합물에 제공될 수 있다. 비활성 가스의 적절한 예는 Ar, He, Kr, Ne, 및 이 와 유사한 것을 포함한다. 일 실시예에서, 메인 에칭 단계를 위한 에칭 가스 혼합물은 HBr 가스, NF3, 가스, CF4 가스, O2 가스, N2 가스 및 He 가스를 포함할 수 있다. 블록(258)에서 조정되는 프로세스 파라미터는 블록(254)에서 설명된 것과 거의 유사하게 제어될 수 있다.
필름 스택(300)에서 제 2 층(302)은 높은-k 물질(304) 및 상부 제 1 층(306)을 에칭하는 동일한 챔버에서 에칭될 수 있다. 제 1 층(306), 높은-k 물질(304) 및 제 2 층(302)은 유사한 온도에서 에칭되기 때문에, 각각의 층을 에칭하는데 이용되는 프로세스를 위한 안정한 프로세싱 온도로 기판을 도달시키는데 필요한 시간이 더 적기 때문에 처리량이 향상된다.
다른 높은-k 에칭 프로세스가 고온 환경(예를 들어 약 100℃보다 큰 온도에서 뚜껑(120)과 같은 챔버 포면을 유지시킴)에서 높은-k 물질층을 에칭하는 것으로부터 이익을 얻을 수 있도록 이루어질 수 있다. 제한 없이, 2006년 3월 21일 출원된 미국 특허출원 제11/386,054호, 2007년 7월 12일 출원된 미국 특허출원 제11/777,259호, 2007년 4월 17일 출원된 미국 특허출원 제11/736,562호, 2007년 7월 6일 출원된 미국 특허가출원 제60/948,376호, 및 2002년 3월 6일 출원된 미국 특허 제6,806,095호에서 설명된 높은-k 에칭 프로세스는 상기에서 설명된 챔버 표면의 온도의 조정을 포함하도록 변경될 수 있고, 이에 의해 고온 에칭 환경을 제공한다.
도 5A-C는 상기에서 설명된 높은-k 에칭 프로세스를 이용하여 유리하게 에칭 될 수 있는 서로 다른 필름 스택과 대응하는 복합 기판의 일부의 개략 단면도를 도시한다. 예를 들면, 도 5A는 높은-k 유전체층(504)을 갖는 필름 스택(510)을 도시한다. 높은-k 유전체층(504)은 Hf2O, HfSiO, HfSiN 및 이와 유사한 것과 같은 하프늄 함유 물질이다. 높은 이동성 계면층(502)은 높은-k 유전체층(504) 및 기판(114) 사이에 배치된다. 높은 이동성 계면층(502)은 일반적으로 얇은 SiON 층이다. 높은 이동성 계면층(502)의 SION 물질은 이 층(502)의 화학량론(stoichiometry)이 전체 스택을 위한 높은 네트(net) k를 제공하기 위해 SION과 함께 Hf를 혼합하는 단계를 포함할 수 있다는 점에서 그레이드될(graded) 수 있다. 적절한 계면층의 예는 2003년 4월 4일 출원된 미국 특허출원 제10/407,930호에서 설명된다. 금속 게이트층(512)은 높은-k 유전체층(504) 상에 배치된다. 낮은 저항층(514)은 금속 게이트층(512) 상에 배치된다.
다른 실시예에서, 도 5B는 높은-k 유전체층(504)을 갖는 필름 스택(530)을 도시한다. 높은 이동성 계면층(502)이 기판(114) 및 높은-k 유전체층(504) 사이에 배치된다. 얇은 유전체 캡층(532)이 높은-k 유전체층(504) 상에 배치된다. 금속 게이트층(534)은 유전체 캡층(532) 상에 배치된다. 폴리실리콘과 같은 낮은 저항층(536)은 금속 게이트층(534) 상에 배치된다.
다른 실시예에서, 도 5C는 예를 들어 TANOS 구조물과 같은 전하-트랩(charge-trap) 플래쉬 메모리 소자에 적절한 필름 스택(550)을 도시한다. 필름 스택(550)은 기판(114) 상에 배치된 터널 산화물층(552)을 포함한다. 높은-k 유전체층(504)은 전하 트랩 질화물층(554) 상에 배치된다. 높은 WP 금속층(556)은 높은-k 유전체층(504) 상에 배치된다. PVD 금속층과 같은 낮은 저항층(558)은 높은 WP 금속층(556) 상에 배치된다.
따라서, 본 발명은 고온 에칭 프로세스에 의해 높은-k 물질층을 에칭하기 위한 향상된 방법을 제공한다. 고온 에칭 프로세스는 패턴 전달의 손실 없이 높은-k 물질을 효과적으로 에칭하고, 이에 의해 결함이 없고, 깨끗한 에칭후 표면, 원하는 프로파일 및 치수를 갖는 구조물을 유리하게 형성한다.
이전의 내용은 본 발명의 실시예에 대한 것이고 본 발명의 다른 그리고 추가적인 실시예가 그 기본 범위로부터 벗어나지 아니한 채 고안될 수 있으며, 그 범위는 이하의 청구 범위에 의해 결정된다.
본 발명의 설명은 첨부된 도면과 함께 이하의 상세한 설명을 고려하여 쉽게 이해될 수 있다.
도 1은 본 발명의 일 실시예에 따라 처리되는 에칭을 수행하는데 이용되는 플라즈마 처리 장치의 개략도이다.
도 2는 본 발명의 일 실시예를 가진 방법을 도시하는 처리 흐름도이다.
도 3은 본 발명의 다른 실시예를 가진 방법을 도시하는 처리 흐름도이다.
도 4A-4D는 위에 게이트 구조물을 형성하도록 구성된 필름 스택의 단면도를 도시하는 도면이다.
도 5A-5C는 게이트 구조물을 형성하도록 구성된 서로 다른 필름 스택의 대안적인 단면도를 도시하는 도면이다.
이해를 돕기 위해, 가능한 동일한 도면 번호가 도면에서 공통된 동일한 구성요소를 지칭하기 위해 이용되었다. 일 실시예의 구성요소 및 특징은 추가적인 인용 없이도 다른 실시예에서 유리하게 통합될 수 있다.
첨부된 도면은 본 발명의 예시적 실시예만을 도시하는 것이고 범위를 제한하는 것으로 이해되어서는 안되며, 본 발명은 다른 동등하게 효과적인 실시예들을 허용할 수 있다.
* 도면 부호 설명
100 에칭 프로세스 챔버 112 유도성 코일 안테나 세그먼트
114 기판 116 기판 지지 페데스탈
118 RF 소스 119 매칭 네트워크
120 뚜껑 122 제 2 (바이어싱) RF 소스
123 매칭 네트워크 124 DC 전력 소스
127 스로틀 밸브 130 전도성 챔버벽
134 전기 그라운드 136 진공 펌프
138 가스 페인(pane) 140 제어기
142 메모리 144 CPU
146 지지 회로 148 소스
150 가스상 혼합물 155 플라즈마
162 내부 표면 뚜껑 164 온도 제어 성분
170 노즐 172 제 1 배출구
174 제 2 배출구 200 프로세스
202 수송 기판 204 에칭 제 1 층
206 에칭 높은-k 물질 250 유동 다이어그램
252 수송 기판 254 에칭 제 1 폴리실리콘층
256 에칭 높은-k 층 258 에칭 제 2 폴리실리콘층
300 필름 스택 302 (제 2) 층
304 높은-k 유전체층 306 (제 1) 층
308 패턴화된 마스크 310 일부분
312 높은-k 물질의 상부면 314 제 2 층의 상부면
320 트렌치 322 측벽(제 1 층)
324 측벽 (높은-k 층) 502 높은 이동성 계면층
504 높은-k 유전체층 510 필름 스택
512 금속 게이트층 514 낮은 저항층
530 필름 스택 532 얇은 유전체 캡층
534 금속 게이트층 536 낮은 저항층
550 필름 스택 552 터널 산화물층
554 전하 트랩 질화물층 556 높은 WP 금속층
558 낮은 저항층

Claims (15)

  1. 높은-k 물질을 에칭하는 방법으로서,
    기판 위에 높은-k 물질층이 상부에 배치된 기판을 에칭 챔버 내로 제공하는 단계로서, 상기 높은-k 물질층이 하프늄 디옥사이드(HfO2), 지르코늄 디옥사이드(ZrO2), 하프늄 실리케이트 산화물(HfSiO4), 하프늄 알루미늄 산화물(HfAlO), 지르코늄 실리케이트 산화물(ZrSiO4), 탄탈륨 디옥사이드(TaO2), 알루미늄 산화물, 알루미늄 도핑된 하프늄 디옥사이드, 비스무트 스트론튬 티타늄(BST), 및 플래티늄 지르코늄 티타늄(PZT)으로 이루어진 그룹으로부터 선택되는, 기판을 에칭 챔버 내로 제공하는 단계;
    상기 에칭 챔버로 적어도 할로겐 함유 가스를 포함하는 에칭 가스 혼합물로부터 플라즈마를 형성하는 단계; 및
    상기 플라즈마의 존재 하에서 상기 높은-k 물질층을 에칭하는 동안, 기판 온도를 100℃ 내지 250℃로 그리고 에칭 챔버의 내부면을 100℃ 초과로 유지하는 단계를 포함하는,
    높은-k 물질을 에칭하는 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 높은-k 물질이 하프늄 알루미늄 산화물인,
    높은-k 물질을 에칭하는 방법.
  4. 제 1 항에 있어서,
    상기 가스 혼합물을 공급하는 단계가 상기 에칭 챔버로 염소 함유 가스를 공급하는 단계를 추가로 포함하는,
    높은-k 물질을 에칭하는 방법.
  5. 제 4 항에 있어서,
    상기 염소 함유 가스가 BCl3 및 Cl2 중 하나 이상을 포함하는,
    높은-k 물질을 에칭하는 방법.
  6. 제 4 항에 있어서,
    상기 염소 함유 가스를 공급하는 단계가 상기 에칭 챔버로 탄소 수소 가스를 상기 염소 함유 가스와 함께 공급하는 단계를 추가로 포함하는,
    높은-k 물질을 에칭하는 방법.
  7. 제 1 항에 있어서,
    상기 플라즈마의 존재 하에서 높은-k 물질층을 에칭하는 동안, 100℃를 초과하는 온도로 상기 에칭 챔버의 내부면을 유지시키는 단계를 추가로 포함하는,
    높은-k 물질을 에칭하는 방법.
  8. 게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법으로서,
    에칭 챔버에서 필름 스택이 상부에 형성된 기판을 제공하는 단계로서, 상기 필름 스택이 제 1 및 제 2 폴리실리콘층 사이에서 샌드위치되는 높은-k 물질을 포함하고, 상기 높은-k 물질이 하프늄 산화물층 또는 하프늄 알루미늄 산화물층인, 기판 제공 단계;
    상기 높은-k 물질을 노출시키는 트렌치를 형성하도록 상기 기판 상의 제 1 폴리실리콘층을 에칭하는 단계;
    상기 트렌치의 측벽 상에 보호층을 형성하는 단게;
    100℃ 내지 250℃의 온도에서 할로겐 함유 가스에 의해 상기 보호되는 트렌치를 통해 상기 높은-k 물질을 에칭하는 단계;
    플라즈마의 존재 하에서 상기 높은-k 물질의 층을 에칭하는 동안, 100℃를 초과하는 온도로 상기 에칭 챔버의 내부면을 유지시키는 단계; 및
    상기 기판 상에 배치된 제 2 폴리실리콘층을 에칭하는 단계를 포함하고,
    게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법.
  9. 삭제
  10. 제 8 항에 있어서,
    상기 제 1 폴리실리콘층을 에칭하는 단계가,
    상기 제 1 폴리실리콘층을 에칭하기 위해 제 1 가스 혼합물을 공급하는 단계; 및 상기 제 1 폴리실리콘층을 오버 에칭하기 위해 제 2 가스 혼합물을 공급하는 단계를 추가로 포함하고,
    상기 제 2 가스 혼합물을 공급하는 단계가 상기 제 2 가스 혼합물을 가진 실리콘 함유 가스를 공급하는 단계를 추가로 포함하는,
    게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법.
  11. 제 10 항에 있어서,
    상기 실리콘 함유 가스가 SiCl4를 포함하고, 상기 할로겐 함유 가스가 BCl3 및 Cl2중 하나 이상을 포함하는,
    게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법.
  12. 제 8 항에 있어서,
    상기 제 1 및 제 2 폴리실리콘층을 에칭하기 위한 온도가 상기 높은-k 물질을 에칭하기 위한 온도와 거의 동일하게 제어되고, 상기 스택된 필름이 단일 챔버에서 에칭되며, 상기 제 1 폴리실리콘층의 측벽을 보호하는 단계가 산소 플래쉬(flash) 프로세스를 수행하는 단계를 추가로 포함하는,
    게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법.
  13. 게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법으로서,
    에칭 챔버에서 필름 스택이 상부에 형성된 기판을 제공하는 단계로서, 상기 필름 스택이 제 1 및 제 2 폴리실리콘층 사이에 샌드위치된 하프늄 산화물 함유층을 포함하는, 기판을 제공하는 단계;
    100℃ 내지 250℃의 온도로 상기 기판을 유지하면서, 상기 에칭 챔버에서 제 1 폴리실리콘층, 하프늄 산화물 함유층, 및 제 2 폴리실리콘층을 순차적으로 에칭하는 단계로서, 상기 하프늄 산화물 함유층을 에칭하기 이전에 상기 에칭된 제 1 폴리실리콘층의 측벽 상에 보호층을 형성하도록 SiCl4 가스를 공급하는 단계를 추가로 포함하는, 상기 제 1 폴리실리콘층, 상기 하프늄 산화물 함유층 및 상기 제 2 폴리실리콘층을 순차적으로 에칭하는 단계; 및
    플라즈마의 존재 하에서 높은-k 물질층을 에칭하는 동안, 100℃ 내지 150℃의 온도로 상기 에칭 챔버의 내부면을 유지시키는 단계를 포함하는,
    게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법.
  14. 제 13 항에 있어서,
    상기 제 1 폴리실리콘층, 상기 하프늄 산화물 함유층 및 상기 제 2 폴리실리콘층을 순차적으로 에칭하는 단계가 상기 하프늄 산화물 함유층을 에칭하기 위해 할로겐 함유 가스를 공급하는 단계를 추가로 포함하고,
    상기 할로겐 함유 가스가 BCl3 및 Cl2 중 하나 이상을 포함하는,
    게이트 구조물을 형성하기 위한 필름 스택을 에칭하는 방법.
  15. 높은-k 물질을 에칭하는 방법으로서,
    높은-k 물질층이 상부에 형성되고 금속 게이트 전극이 상부에 배치된 기판을 에칭 챔버 내로 제공하는 단계;
    상기 금속 게이트 전극층을 에칭하여 상기 높은-k 물질을 노출시키는 트렌치를 형성하는 단계; 및
    100℃ 내지 250℃의 온도에서 할로겐 함유 가스에 의해 상기 트렌치를 통해 상기 높은-k 물질을 에칭하는 단계를 포함하는,
    높은-k 물질을 에칭하는 방법.
KR1020080061782A 2007-06-27 2008-06-27 높은―k 물질 게이트 구조물을 고온 에칭하는 방법 KR101106882B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US94658107P 2007-06-27 2007-06-27
US60/946,581 2007-06-27
US98715907P 2007-11-12 2007-11-12
US60/987,159 2007-11-12

Publications (2)

Publication Number Publication Date
KR20080114626A KR20080114626A (ko) 2008-12-31
KR101106882B1 true KR101106882B1 (ko) 2012-01-25

Family

ID=39683533

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080061782A KR101106882B1 (ko) 2007-06-27 2008-06-27 높은―k 물질 게이트 구조물을 고온 에칭하는 방법

Country Status (6)

Country Link
US (2) US8501626B2 (ko)
EP (1) EP2009681A3 (ko)
JP (1) JP2009021584A (ko)
KR (1) KR101106882B1 (ko)
CN (1) CN102610515B (ko)
TW (1) TWI479562B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8304349B2 (en) * 2008-08-18 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method to integrate gate etching as all-in-one process for high K metal gate
US8679962B2 (en) 2008-08-21 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US7776755B2 (en) * 2008-09-05 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process
JP5377993B2 (ja) * 2009-01-30 2013-12-25 株式会社日立ハイテクノロジーズ プラズマ処理方法
JPWO2011030721A1 (ja) * 2009-09-09 2013-02-07 株式会社アルバック 基板処理装置の運転方法
US8313661B2 (en) * 2009-11-09 2012-11-20 Tokyo Electron Limited Deep trench liner removal process
US8501628B2 (en) * 2010-03-23 2013-08-06 Tokyo Electron Limited Differential metal gate etching process
US20120244693A1 (en) * 2011-03-22 2012-09-27 Tokyo Electron Limited Method for patterning a full metal gate structure
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6163446B2 (ja) * 2014-03-27 2017-07-12 株式会社東芝 半導体装置の製造方法
US9852923B2 (en) * 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
JP6679642B2 (ja) * 2018-03-27 2020-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20210044271A (ko) * 2018-08-15 2021-04-22 에바텍 아크티엔게젤샤프트 저-입자 플라즈마 에칭을 위한 방법 및 장치
US11658040B2 (en) 2019-06-26 2023-05-23 Hitachi High-Tech Corporation Plasma processing method
JP7390134B2 (ja) * 2019-08-28 2023-12-01 東京エレクトロン株式会社 エッチング処理方法およびエッチング処理装置
WO2023067786A1 (ja) 2021-10-22 2023-04-27 株式会社日立ハイテク プラズマ処理方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060028636A (ko) * 2003-05-30 2006-03-30 동경 엘렉트론 주식회사 고-k 유전성 재료 에칭 방법 및 시스템

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0612767B2 (ja) * 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
JPH0383335A (ja) 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
JP3127454B2 (ja) 1990-08-08 2001-01-22 ソニー株式会社 シリコン系被エッチング材のエッチング方法
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JP3198586B2 (ja) 1992-02-14 2001-08-13 ソニー株式会社 ドライエッチング方法
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
JP3246788B2 (ja) * 1993-03-18 2002-01-15 株式会社日立製作所 マイクロ波プラズマエッチング装置
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5674782A (en) 1993-12-31 1997-10-07 Samsung Electronics Co., Ltd. Method for efficiently removing by-products produced in dry-etching
US5776356A (en) * 1994-07-27 1998-07-07 Sharp Kabushiki Kaisha Method for etching ferroelectric film
US5705433A (en) * 1995-08-24 1998-01-06 Applied Materials, Inc. Etching silicon-containing materials by use of silicon-containing compounds
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6323143B1 (en) 2000-03-24 2001-11-27 Taiwan Semiconductor Manufacturing Company Method for making silicon nitride-oxide ultra-thin gate insulating layers for submicrometer field effect transistors
US6184072B1 (en) 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6511872B1 (en) * 2001-07-10 2003-01-28 Agere Systems Inc. Device having a high dielectric constant material and a method of manufacture thereof
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US20060252265A1 (en) * 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US6855643B2 (en) 2002-07-12 2005-02-15 Padmapani C. Nallan Method for fabricating a gate structure
US7140374B2 (en) * 2003-03-14 2006-11-28 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US20040209468A1 (en) * 2003-04-17 2004-10-21 Applied Materials Inc. Method for fabricating a gate structure of a field effect transistor
TWI304230B (en) 2003-05-30 2008-12-11 Tokyo Electron Ltd Method and system for etching a high-k dielectric material
US6955964B2 (en) * 2003-11-05 2005-10-18 Promos Technologies, Inc. Formation of a double gate structure
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
JP4836112B2 (ja) * 2004-12-24 2011-12-14 国立大学法人京都大学 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法
JP4554461B2 (ja) * 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US7964512B2 (en) * 2005-08-22 2011-06-21 Applied Materials, Inc. Method for etching high dielectric constant materials
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7780862B2 (en) 2006-03-21 2010-08-24 Applied Materials, Inc. Device and method for etching flash memory gate stacks comprising high-k dielectric
US8722547B2 (en) 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060028636A (ko) * 2003-05-30 2006-03-30 동경 엘렉트론 주식회사 고-k 유전성 재료 에칭 방법 및 시스템

Also Published As

Publication number Publication date
TW200908144A (en) 2009-02-16
KR20080114626A (ko) 2008-12-31
US20130344701A1 (en) 2013-12-26
EP2009681A2 (en) 2008-12-31
US20090004870A1 (en) 2009-01-01
EP2009681A3 (en) 2010-07-28
CN102610515A (zh) 2012-07-25
US8501626B2 (en) 2013-08-06
TWI479562B (zh) 2015-04-01
CN102610515B (zh) 2014-10-15
JP2009021584A (ja) 2009-01-29

Similar Documents

Publication Publication Date Title
KR101106882B1 (ko) 높은―k 물질 게이트 구조물을 고온 에칭하는 방법
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US7431795B2 (en) Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US20090004875A1 (en) Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
US20080057729A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
JP2005129906A (ja) エッチングプロセスの精度及び反復性を制御する装置及び方法
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
US6855643B2 (en) Method for fabricating a gate structure
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
US7910488B2 (en) Alternative method for advanced CMOS logic gate etch applications
US20060060565A9 (en) Method of etching metals with high selectivity to hafnium-based dielectric materials
US11127599B2 (en) Methods for etching a hardmask layer
KR102092760B1 (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
CN101339903A (zh) 用于高温蚀刻高-k材料栅结构的方法
US20050176191A1 (en) Method for fabricating a notched gate structure of a field effect transistor
TWI837338B (zh) 基板處理方法及基板處理裝置
TW202242953A (zh) 用於半導體圖案化應用之氧化錫及碳化錫材料

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee