US7776755B2 - Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process - Google Patents
Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process Download PDFInfo
- Publication number
- US7776755B2 US7776755B2 US12/338,615 US33861508A US7776755B2 US 7776755 B2 US7776755 B2 US 7776755B2 US 33861508 A US33861508 A US 33861508A US 7776755 B2 US7776755 B2 US 7776755B2
- Authority
- US
- United States
- Prior art keywords
- layer
- applying
- substrate
- etching process
- remove
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related, expires
Links
- 238000000034 method Methods 0.000 title claims abstract description 153
- 229910052751 metal Inorganic materials 0.000 title claims abstract description 76
- 239000002184 metal Substances 0.000 title claims abstract description 76
- 238000005530 etching Methods 0.000 title claims abstract description 49
- 238000007598 dipping method Methods 0.000 title description 13
- 229920000642 polymer Polymers 0.000 title description 9
- 239000000758 substrate Substances 0.000 claims abstract description 62
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims abstract description 47
- 239000004065 semiconductor Substances 0.000 claims abstract description 44
- QPJSUIGXIBEQAC-UHFFFAOYSA-N n-(2,4-dichloro-5-propan-2-yloxyphenyl)acetamide Chemical compound CC(C)OC1=CC(NC(C)=O)=C(Cl)C=C1Cl QPJSUIGXIBEQAC-UHFFFAOYSA-N 0.000 claims abstract description 31
- 239000003989 dielectric material Substances 0.000 claims abstract description 29
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims abstract description 25
- 229920005591 polysilicon Polymers 0.000 claims abstract description 25
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 claims abstract description 19
- 238000001039 wet etching Methods 0.000 claims abstract description 17
- 238000004140 cleaning Methods 0.000 claims abstract description 16
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 claims abstract description 9
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 claims abstract description 6
- QOSATHPSBFQAML-UHFFFAOYSA-N hydrogen peroxide;hydrate Chemical compound O.OO QOSATHPSBFQAML-UHFFFAOYSA-N 0.000 claims abstract description 6
- IXCSERBJSXMMFS-UHFFFAOYSA-N hcl hcl Chemical compound Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 claims abstract description 5
- MRELNEQAGSRDBK-UHFFFAOYSA-N lanthanum(3+);oxygen(2-) Chemical compound [O-2].[O-2].[O-2].[La+3].[La+3] MRELNEQAGSRDBK-UHFFFAOYSA-N 0.000 claims description 26
- QAOWNCQODCNURD-UHFFFAOYSA-N Sulfuric acid Chemical compound OS(O)(=O)=O QAOWNCQODCNURD-UHFFFAOYSA-N 0.000 claims description 13
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 11
- 239000000463 material Substances 0.000 claims description 11
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 11
- 229920002120 photoresistant polymer Polymers 0.000 claims description 8
- 229910003468 tantalcarbide Inorganic materials 0.000 claims description 8
- 229910052721 tungsten Inorganic materials 0.000 claims description 7
- 239000010937 tungsten Substances 0.000 claims description 7
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 6
- NFFIWVVINABMKP-UHFFFAOYSA-N methylidynetantalum Chemical compound [Ta]#C NFFIWVVINABMKP-UHFFFAOYSA-N 0.000 claims description 5
- 235000011149 sulphuric acid Nutrition 0.000 claims description 5
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims description 4
- 238000000059 patterning Methods 0.000 claims description 4
- -1 tantalum carbide nitride Chemical class 0.000 claims description 4
- GPBUGPUPKAGMDK-UHFFFAOYSA-N azanylidynemolybdenum Chemical compound [Mo]#N GPBUGPUPKAGMDK-UHFFFAOYSA-N 0.000 claims description 3
- 239000004020 conductor Substances 0.000 claims description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 claims description 3
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 claims description 2
- 239000000243 solution Substances 0.000 description 17
- 238000001312 dry etching Methods 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 229910052710 silicon Inorganic materials 0.000 description 7
- 239000010703 silicon Substances 0.000 description 7
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 6
- 229910052731 fluorine Inorganic materials 0.000 description 6
- 239000011737 fluorine Substances 0.000 description 6
- 239000000460 chlorine Substances 0.000 description 5
- 238000002955 isolation Methods 0.000 description 5
- 238000000206 photolithography Methods 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 3
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 3
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 229910052801 chlorine Inorganic materials 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000005516 engineering process Methods 0.000 description 3
- 238000011049 filling Methods 0.000 description 3
- 239000007789 gas Substances 0.000 description 3
- 238000004519 manufacturing process Methods 0.000 description 3
- 150000004767 nitrides Chemical class 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 125000006850 spacer group Chemical group 0.000 description 3
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 2
- 230000004075 alteration Effects 0.000 description 2
- 235000011114 ammonium hydroxide Nutrition 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 2
- 230000005669 field effect Effects 0.000 description 2
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 239000012212 insulator Substances 0.000 description 2
- 238000005468 ion implantation Methods 0.000 description 2
- 238000004377 microelectronic Methods 0.000 description 2
- 239000011259 mixed solution Substances 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 150000002978 peroxides Chemical class 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 238000006467 substitution reaction Methods 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- KZBUYRJDOAKODT-UHFFFAOYSA-N Chlorine Chemical compound ClCl KZBUYRJDOAKODT-UHFFFAOYSA-N 0.000 description 1
- 229910002319 LaF3 Inorganic materials 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 229910007264 Si2H6 Inorganic materials 0.000 description 1
- 229910003818 SiH2Cl2 Inorganic materials 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 239000008186 active pharmaceutical agent Substances 0.000 description 1
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 238000005229 chemical vapour deposition Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 238000010894 electron beam technology Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 238000002513 implantation Methods 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000010884 ion-beam technique Methods 0.000 description 1
- 230000000873 masking effect Effects 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 229910052914 metal silicate Inorganic materials 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical group O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- 229920005573 silicon-containing polymer Polymers 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- BYMUNNMMXKDFEZ-UHFFFAOYSA-K trifluorolanthanum Chemical compound F[La](F)F BYMUNNMMXKDFEZ-UHFFFAOYSA-K 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28123—Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/02068—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
- H01L21/02071—Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
Definitions
- a field-effect transistor such as a metal-oxide-semiconductor field-effect transistors (MOSFETs).
- FET field-effect transistor
- MOSFETs metal-oxide-semiconductor field-effect transistors
- various dry and wet etching processes are implemented. For example, when a capping layer interposed between the high k dielectric material layer and the metal gate layer, the existing etching process generates heavy polymeric residue, which is difficult to remove. In addition, the capping layer itself is difficult to remove.
- FIG. 1 is a flowchart of a method for making a semiconductor device having a metal gate stack in one embodiment constructed according to various aspects of the present disclosure.
- FIGS. 2 and 3 are sectional views of one embodiment of a semiconductor device having a metal gate stack at various fabrication stages constructed according to various aspects of the present disclosure.
- first and second features are formed in direct contact
- additional features may be formed interposing the first and second features, such that the first and second features may not be in direct contact.
- FIG. 1 is a flowchart of one embodiment of a method 100 making a semiconductor device having a metal gate stack constructed according to aspects of the present disclosure.
- FIGS. 2 and 3 are sectional views of one embodiment of a semiconductor structure 200 having a metal gate stack at various fabrication stages. The method 100 of making a semiconductor device is described with reference to FIGS. 1 through 3 .
- the method 100 begins at step 102 by providing a semiconductor substrate 210 .
- the semiconductor substrate 210 includes silicon.
- the substrate 210 includes germanium or silicon germanium.
- the substrate 210 may use another semiconductor material such as diamond, silicon carbide, gallium arsenic, GaAsP, AlInAs, AlGaAs, GaInP, or other proper combination thereof.
- the method 100 proceeds to step 104 by forming various metal-gate-stack material layers on the semiconductor substrate 210 .
- a high k dielectric material layer 214 is formed on the semiconductor substrate 210 .
- a capping layer 216 is formed on the high k dielectric material layer 214 .
- a metal gate layer (metal layer) 218 is formed on the capping layer 216 .
- a polysilicon layer 220 is additionally formed on the metal layer 218 .
- An interfacial layer (IL) 212 may be interposed between the semiconductor substrate 210 and the high k dielectric material layer 214 .
- the high k dielectric material layer 214 is formed by a suitable process such as an atomic layer deposition (ALD).
- ALD atomic layer deposition
- Other methods to form the high k dielectric material layer include metal organic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), UV-Ozone Oxidation and molecular beam epitaxy (MBE).
- MOCVD metal organic chemical vapor deposition
- PVD physical vapor deposition
- MBE molecular beam epitaxy
- the high k dielectric material includes HfO2.
- the high k dielectric material layer includes metal nitrides, metal silicates or other metal oxides.
- the metal gate layer 218 is formed by PVD or other suitable process.
- the metal gate layer 218 includes titanium nitride.
- the metal gate layer may include tantalum nitride, molybdenum nitride, tungsten nitride, tungsten, tantalum carbide, tantalum carbide nitride or titanium aluminum nitride.
- the capping layer 216 is interposed between the high k dielectric material layer 214 and the metal gate layer 218 .
- the capping layer 216 includes lanthanum oxide (LaO).
- the capping layer may alternatively include other suitable materials.
- the interfacial layer 212 such as a thin silicon oxide layer, is formed on the silicon substrate 210 before forming the high k dielectric material layer 214 .
- the thin silicon oxide layer may be formed by atomic layer deposition (ALD) or thermal oxidation.
- a patterned mask 222 is formed on the multiple metal-gate-stack layers and is used as a mask to form metal gates.
- the patterned mask 222 is formed on the polysilicon layer 220 as illustrated in FIG. 2 .
- the patterned mask layer 222 include a patterned photoresist layer formed by a photolithography process.
- An exemplary photolithography process may include processing steps of photoresist coating, soft baking, mask aligning, exposing, post-exposure baking, developing photoresist and hard baking.
- the photolithography exposing process may also be implemented or replaced by other proper methods such as maskless photolithography, electron-beam writing, ion-beam writing, and molecular imprint.
- the patterned mask layer 222 includes a patterned hard mask layer.
- the patterned hard mask layer includes silicon nitride.
- a silicon nitride layer is deposited on the polysilicon layer by a low pressure chemical vapor deposition (LPCVD) process.
- the precursor including dichlorosilane (DCS or SiH2Cl2), bis(TertiaryButylAmino)silane (BTBAS or C8H22N2Si) and disilane (DS or Si2H6) is used in the CVD process to form the silicon nitride layer.
- the silicon nitride layer is further patterned using a photolithography process to form a patterned photoresist layer and an etching process to etch the silicon nitride within the openings of the patterned photoresist layer.
- a photolithography process to form a patterned photoresist layer and an etching process to etch the silicon nitride within the openings of the patterned photoresist layer.
- other dielectric material may be used as the patterned hard mask.
- silicon oxynitride may be used as the hard mask.
- the method proceeds to step 106 to pattern the polysilicon layer 220 by applying a first etching process, using the patterned mask 222 defining various gate regions and various openings exposing the gate stack material layers to be removed.
- the polysilicon layer within the openings of the patterned mask are removed by the first etching process.
- the first etching process utilizes a dry etching process.
- the dry etching process implements a fluorine-containing plasma to remove the polysilicon.
- the etch gas includes CF4.
- the polysilicon etch gas may include Cl2, HBr, O2 or combinations thereof.
- the method proceeds to step 108 to pattern the metal gate layer 218 by applying a second etching process.
- the metal gate layer 218 within the openings of the patterned mask or patterned polysilicon layer are removed by the second etching process.
- the second etching process utilizes a dry etching process.
- the dry etching process implements a fluorine-containing plasma to remove the metal gate layer.
- the first dry etching process uses fluorocarbon plasma.
- the etch gas includes CF4.
- the polysilicon and the metal gate layer are removed by a single etching process.
- the single etching process utilizes a dry etching process with a fluorine-containing plasma to remove the polysilicon and the metal gate layer.
- the single etching process uses fluorocarbon plasma, such as CF4.
- the method proceeds to step 110 by applying a diluted hydrofluoric acid (HF) to the semiconductor structure 200 .
- HF diluted hydrofluoric acid
- polymeric residues are formed on various surfaces including substrate and sidewalls of the patterned polysilicon and metal layer.
- the polysilicon etch generates various polymeric residues including chlorine (Cl)-containing polymer, carbon (C)-containing polymer, fluorine (F)-containing polymer, HBr-containing polymer and/or silicon-containing polymer.
- the metal etch generates various polymeric residues including titanium (Ti)-containing polymer, chlorine (Cl)-containing polymer and/or HBr-containing polymer.
- a diluted HF dipping process applied after the polysilicon/metal etch can effectively remove the polymeric residues.
- the diluted HF solution have a concentration as 500:1 representing for 500 part water and 1 part HF.
- the diluted HF solution have a concentration between 500:1 and 100:1.
- the method proceeds to step 112 by applying to the semiconductor structure 200 with a solution including hydrochloride (HCl), hydrogen peroxide (H2O2) and water (H2O).
- HCl hydrochloride
- H2O2 hydrogen peroxide
- H2O water
- This solution is a standard cleaning chemical and is also referred to as SC2.
- the HF dipping process at the previous step 110 may generate other byproducts, such as LaF3.
- the SC2 dipping process can effectively clean the semiconductor structure 200 and remove the associated byproduct from the HF dipping. Additionally, the SC2 dipping process may also remove the oxidized portion of the capping layer.
- the method proceeds to step 114 by applying to the semiconductor structure 200 with a diluted hydrochloride (HCl) solution to remove the capping layer.
- HCl hydrochloride
- sulfuric acid (H2SO4) may be used to remove the LaO capping layer.
- the diluted HF and diluted HCl may be combined in to one mixed solution. This mixed solution is then applied to the semiconductor substrate to remove the polymeric residues and the capping layer.
- the method proceeds to step 116 to pattern the high k dielectric material layer 214 by applying a third etching process.
- the third etching process is tuned in terms of etchant and etching conditions to effectively remove the high k dielectric material layer.
- the high k dielectric material layer 214 within the openings of the patterned mask is substantially removed by the third etching process.
- the third etching process includes a dry etch.
- the third etching process utilizes fluorine-containing plasma to remove the high k dielectric material layer.
- the third dry etching process utilizes an etch chemistry including at least one of fluorine, chlorine and inert gas to remove the high k dielectric material layer.
- the method may proceed to step 118 by applying a cleaning process to remove polymeric residue or other residue formed on the substrate and/or sidewalls of the metal gate stack.
- the cleaning etching process is designed to effectively remove the polymeric residue and other contamination.
- the cleaning process is similar to the cleaning procedure applied after the polysilicon and metal patterning.
- the cleaning process includes a diluted HF dipping process similar to that of step 110 and/or a SC2 dipping process similar to that of step 112 .
- a SC1 solution including ammonium hydroxide (NH4OH) and peroxide (H2O2) is used at this cleaning process.
- a solution including sulfuric acid (H2SO4) and peroxide (H2O2) may be used at this cleaning process.
- the HF dipping process, SC2 dipping process and HCl etching process are integrated to effectively remove the capping layer and polymeric residues. Therefore, the fabrication is implemented for effectiveness and high quality device result.
- the disclosed method utilizes a diluted HF or SC2 to the semiconductor structure to effectively remove the capping layer such as lanthanum oxide (LaO) and the polymeric residue.
- LaO lanthanum oxide
- LDD lightly doped drain
- a gate spacer may be formed on the sidewalls of the metal gate stack. Then the source and drain regions are formed substantially aligned with the outer edges of the spacers.
- the gate spacers may have a multilayer structure and may include silicon oxide, silicon nitride, silicon oxynitride, or other dielectric material.
- the doped source and drain regions and LDD regions of either an n-type dopant or a p-type dopant are formed by a conventional doping process such as ion implantation.
- N-type dopant impurities employed to form the associated doped regions may include phosphorus, arsenic, and/or other materials.
- P-type dopant impurities may include boron, indium, and/or other materials.
- the multilayer interconnection are further formed.
- the multilayer interconnection includes vertical interconnects, such as conventional vias or contacts, and horizontal interconnects, such as metal lines.
- the various interconnection features may implement various conductive materials including copper, tungsten and silicide.
- a damascene process is used to form copper related multilayer interconnection structure.
- tungsten is used to form tungsten plug in the contact holes.
- the semiconductor substrate may further include additional isolation features to isolate each from other devices.
- the isolation features may include different structures and can be formed using different processing technologies.
- an isolation feature may include shallow trench isolation (STI) features.
- STI shallow trench isolation
- the formation of STI may include etching a trench in a substrate and filling the trench by insulator materials such as silicon oxide, silicon nitride, or silicon oxynitride.
- the filled trench may have a multi-layer structure such as a thermal oxide liner layer with silicon nitride filling the trench.
- the STI structure may be created using a process sequence such as: growing a pad oxide, forming a low pressure chemical vapor deposition (LPCVD) nitride layer, patterning an STI opening using photoresist and masking, etching a trench in the substrate, optionally growing a thermal oxide trench liner to improve the trench interface, filling the trench with CVD oxide, using chemical mechanical planarization (CMP) to etch back, and using nitride stripping to leave the STI structure.
- LPCVD low pressure chemical vapor deposition
- CMP chemical mechanical planarization
- the semiconductor structure 200 serves only as one example of a device within which various aspects of the method 100 may be implemented.
- the semiconductor structure 200 and the method 100 of making the same may be used in other semiconductor devices having a high k and metal gate features, such as strained semiconductor substrate, a hetero-semiconductor device or a stress-free isolation structure.
- the semiconductor structure 200 may include a dynamic random access memory (DRAM) cell, a single electron transistor (SET), and/or other microelectronic devices (collectively referred to herein as microelectronic devices).
- DRAM dynamic random access memory
- SET single electron transistor
- microelectronic devices collectively referred to herein as microelectronic devices
- the semiconductor structure 200 includes FinFET transistors.
- aspects of the present disclosure are also applicable and/or readily adaptable to other type of transistor, including single-gate transistors, double-gate transistors and other multiple-gate transistors, and may be employed in many different applications, including sensor cells, memory cells, logic cells, and others.
- the capping layer can be aluminum oxide.
- the same procedure including HF dipping and SC2 dipping can be applied to remove polymeric residues.
- the HCl etch or H2SO4 etch may be applied to remove the capping layer.
- the disclosed method is used to form an n-type metal-oxide-semiconductor field-effect-transistor (NMOSFET).
- NMOSFET n-type metal-oxide-semiconductor field-effect-transistor
- the disclosed method is used to form a metal gate stack in a gate-first process in which the metal gate stack is formed by the method 100 and remains in the final structure.
- the disclosed method is used to form a metal gate stack in a hybrid process in which the first type metal gate stack (such as NMOS metal gate stack) is formed by the method 100 and remains in the final structure.
- the second type of the metal gate stack (such as PMOS metal gate stack) is formed as a dummy gate structure so that source/drain ion implantation processes and annealing processes can be implemented. Thereafter, a portion of the dummy gate stack is removed and the dummy gate trench is refilled with proper materials. For example, the polysilicon layer and metal layer in the PMOS region are removed. Then p metal is refilled and another metal such as copper is further filled in to form PMOS metal gate stack.
- the semiconductor substrate may include an epitaxial layer.
- the substrate may have an epitaxial layer overlying a bulk semiconductor.
- the substrate may be strained for performance enhancement.
- the epitaxial layer may include a semiconductor material different from those of the bulk semiconductor such as a layer of silicon germanium overlying a bulk silicon, or a layer of silicon overlying a bulk silicon germanium formed by a process including SEG.
- the substrate may include a semiconductor-on-insulator (SOI) structure such as a buried dielectric layer.
- SOI semiconductor-on-insulator
- the substrate may include a buried dielectric layer such as a buried oxide (BOX) layer, such as that formed by a method referred to as separation by implantation of oxygen (SIMOX) technology, wafer bonding, selective epitaxial growth (SEG), or other proper method.
- a buried dielectric layer such as a buried oxide (BOX) layer, such as that formed by a method referred to as separation by implantation of oxygen (SIMOX) technology, wafer bonding, selective epitaxial growth (SEG), or other proper method.
- SOX separation by implantation of oxygen
- SEG selective epitaxial growth
- the present disclosure provides a method for making metal gate stacks of a semiconductor device.
- the method includes applying a first etching process to the substrate to remove a polysilicon layer and a metal gate layer on the substrate; applying a diluted hydrofluoric acid (HF) to the substrate to remove polymeric residue; thereafter applying to the substrate with a cleaning solution including hydrochloride (HCl), hydrogen peroxide (H2O2) and water (H2O); applying a wet etching process diluted hydrochloride (HCl) to the substrate to remove a capping layer; and applying to the substrate with a second etching process to remove a high k dielectric material layer.
- a cleaning solution including hydrochloride (HCl), hydrogen peroxide (H2O2) and water (H2O)
- H2O hydrogen peroxide
- H2O water
- HCl wet etching process diluted hydrochloride
- the disclosed method may further include applying a wet etching process to the semiconductor substrate to remove additional polymeric residue after the applying the second etching process.
- the capping layer includes lanthanum oxide (LaO) in one embodiment.
- the applying of the diluted HF may include implementing a HF solution with a concentration less than about 100:1 (100 part water:part HF).
- the diluted HCl includes a concentration of about 500:2.
- the semiconductor device may include a metal-oxide-semiconductor field-effect-transistor (MOSFET).
- MOSFET metal-oxide-semiconductor field-effect-transistor
- the metal gate layer may include titanium nitride.
- the metal gate layer may include a conductive material selected from the group consisting of tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbide nitride (TACN), molybdenum nitride (MoN), tungsten nitride (WN) and tungsten.
- TaN tantalum nitride
- TaC tantalum carbide
- TACN tantalum carbide nitride
- MoN molybdenum nitride
- WN tungsten nitride
- the present disclosure also provides another embodiment of a method for making metal gate stacks of a semiconductor device.
- the method includes forming various gate material layers on a substrate including a high k dielectric material layer, a capping layer on the high k dielectric material layer, a metal layer on the capping layer and a polysilicon layer on the metal layer; applying a first etching process to the substrate through openings of a patterned mask layer defining gate regions, removing the polysilicon layer and the metal gate layer from the openings; applying to the substrate with a solution including diluted hydrofluoric acid (HF) and hydrochloride (HCl) to remove polymeric residue and the capping layer; and thereafter applying to the substrate with a second etching process to remove the high k dielectric material layer.
- HF hydrofluoric acid
- HCl hydrochloride
- the patterned mask layer may include a patterned photoresist layer.
- the patterned mask layer may include a patterned hard mask layer.
- the patterned hard mask layer may include silicon nitride.
- the present disclosure also provides another embodiment of a method for making metal gate stacks of a semiconductor device.
- the method includes applying a first etching process to a substrate to remove a metal gate layer on the substrate; applying diluted hydrofluoric acid (HF) to the substrate to remove polymeric residue; thereafter applying to the substrate with a wet etching solution to remove a lanthanum oxide (LaO) layer; and applying to the substrate with a second etching process to remove a high k dielectric material layer.
- HF diluted hydrofluoric acid
- LaO lanthanum oxide
- the wet etching solution may include hydrochloride (HCl).
- the wet etching solution may include sulfuric acid (H2SO4).
- the method may further include applying a cleaning solution to the substrate before the applying of the wet etching solution and after the applying of the diluted HF.
- the cleaning solution may include HF, hydrogen peroxide (H2O2) and water (H2O).
- the metal layer may include titanium nitride (TiN).
- the applying of the first etching process may include implementing the first etching process to further remove a polysilicon layer disposed on the metal layer.
- the method may further include, before the applying of the first etching process, patterning a mask layer on the metal gate layer defining a gate region.
- the method may further include applying an additional HF wet etching process to the substrate after the second etching process.
- the metal gate stack is a metal gate structure of an N-type metal-oxide-semiconductor field-effect-transistor (NMOSFET) in one example.
- NMOSFET N-type metal-oxide-semiconductor field-effect-transistor
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
- Insulated Gate Type Field-Effect Transistor (AREA)
Abstract
Description
Claims (20)
Priority Applications (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/338,615 US7776755B2 (en) | 2008-09-05 | 2008-12-18 | Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process |
TW098129224A TWI376734B (en) | 2008-09-05 | 2009-08-31 | A novel solution for polymer and capping layer removing with wet dipping in hk metal gate etching process |
CN2009101681856A CN101667541B (en) | 2008-09-05 | 2009-09-03 | Method for making metal gate stacks of a semiconductor device |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US9475108P | 2008-09-05 | 2008-09-05 | |
US12/338,615 US7776755B2 (en) | 2008-09-05 | 2008-12-18 | Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process |
Publications (2)
Publication Number | Publication Date |
---|---|
US20100062590A1 US20100062590A1 (en) | 2010-03-11 |
US7776755B2 true US7776755B2 (en) | 2010-08-17 |
Family
ID=41799652
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US12/338,615 Expired - Fee Related US7776755B2 (en) | 2008-09-05 | 2008-12-18 | Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process |
Country Status (3)
Country | Link |
---|---|
US (1) | US7776755B2 (en) |
CN (1) | CN101667541B (en) |
TW (1) | TWI376734B (en) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9793105B1 (en) * | 2016-08-02 | 2017-10-17 | United Microelectronics Corporation | Fabricating method of fin field effect transistor (FinFET) |
US10079283B2 (en) | 2014-07-17 | 2018-09-18 | E Ink Holdings Inc. | Manufacturing method of a transistor |
US20210359095A1 (en) * | 2020-05-15 | 2021-11-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Device Structure with Uneven Gate Profile |
Families Citing this family (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102315117B (en) * | 2010-06-30 | 2013-05-22 | 中国科学院微电子研究所 | Method for etching Mo-based/TaN metal gate stack structure |
DE102010042229B4 (en) * | 2010-10-08 | 2012-10-25 | Globalfoundries Dresden Module One Limited Liability Company & Co. Kg | A method for increasing the integrity of a high-k gate stack by creating a controlled sub-cavity based on wet chemistry and transistor produced by the methods |
US8314022B1 (en) * | 2011-05-20 | 2012-11-20 | Intermolecular, Inc. | Method for etching gate stack |
US8912610B2 (en) * | 2011-11-11 | 2014-12-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for MOSFETS with high-K and metal gate structure |
CN103107074B (en) * | 2011-11-11 | 2015-09-02 | 中芯国际集成电路制造(上海)有限公司 | A kind of formation method of metal gates |
CN103855093B (en) * | 2012-11-30 | 2016-07-06 | 中国科学院微电子研究所 | Semiconductor device and method for manufacturing the same |
US8912041B2 (en) * | 2013-03-08 | 2014-12-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming recess-free interconnect structure |
TWI548429B (en) | 2014-11-07 | 2016-09-11 | 財團法人工業技術研究院 | Medical composite material method for fabricating the same and applications thereof |
TWI522231B (en) | 2014-12-01 | 2016-02-21 | 財團法人工業技術研究院 | Metal/polymer composite material and method for fabricating the same |
CN107799531B (en) * | 2017-11-16 | 2018-12-14 | 长江存储科技有限责任公司 | A kind of 3D nand memory grade layer stack manufacturing method |
US12027595B2 (en) * | 2021-03-24 | 2024-07-02 | Changxin Memory Technologies, Inc. | Semiconductor structure and fabrication method thereof, and peripheral circuit |
CN113078209B (en) * | 2021-03-24 | 2022-06-21 | 长鑫存储技术有限公司 | Semiconductor structure, manufacturing method thereof and peripheral circuit |
CN113862792A (en) * | 2021-09-27 | 2021-12-31 | 哈尔滨科友半导体产业装备与技术研究院有限公司 | Method for cleaning silicon carbide seed crystal |
Citations (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5908509A (en) * | 1993-10-20 | 1999-06-01 | Verteq, Inc. | Semiconductor wafer cleaning system |
US6099662A (en) * | 1999-02-11 | 2000-08-08 | Taiwan Semiconductor Manufacturing Company | Process for cleaning a semiconductor substrate after chemical-mechanical polishing |
US6562726B1 (en) * | 1999-06-29 | 2003-05-13 | Micron Technology, Inc. | Acid blend for removing etch residue |
US20030219912A1 (en) * | 2002-05-21 | 2003-11-27 | Xiaoyi Chen | Method for removal of metallic residue after plasma etching of a metal layer |
US20030230786A1 (en) * | 2002-06-12 | 2003-12-18 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
US20060054181A1 (en) * | 2000-06-26 | 2006-03-16 | Applied Materials, Inc. | Cleaning method and solution for cleaning a wafer in a single wafer process |
US7153784B2 (en) | 2004-04-20 | 2006-12-26 | Intel Corporation | Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode |
US7153786B2 (en) | 2004-01-13 | 2006-12-26 | Samsung Electronics, Co., Ltd. | Method of fabricating lanthanum oxide layer and method of fabricating MOSFET and capacitor using the same |
US20070051700A1 (en) * | 2005-09-05 | 2007-03-08 | Lee Hyo-San | Composition for cleaning substrates and method of forming gate using the composition |
US20070190795A1 (en) * | 2006-02-13 | 2007-08-16 | Haoren Zhuang | Method for fabricating a semiconductor device with a high-K dielectric |
US20080224238A1 (en) * | 2007-03-13 | 2008-09-18 | International Business Machines Corporation | ADVANCED HIGH-k GATE STACK PATTERNING AND STRUCTURE CONTAINING A PATTERNED HIGH-k GATE STACK |
US20090004870A1 (en) * | 2007-06-27 | 2009-01-01 | Wei Liu | Methods for high temperature etching a high-k material gate structure |
US7488687B2 (en) * | 2006-09-12 | 2009-02-10 | Samsung Electronics Co., Ltd. | Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers |
US20090194876A1 (en) * | 2008-02-04 | 2009-08-06 | International Business Machines Corporation | INTERCONNECT STRUCTURE AND METHOD FOR Cu/ULTRA LOW k INTEGRATION |
-
2008
- 2008-12-18 US US12/338,615 patent/US7776755B2/en not_active Expired - Fee Related
-
2009
- 2009-08-31 TW TW098129224A patent/TWI376734B/en not_active IP Right Cessation
- 2009-09-03 CN CN2009101681856A patent/CN101667541B/en not_active Expired - Fee Related
Patent Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5908509A (en) * | 1993-10-20 | 1999-06-01 | Verteq, Inc. | Semiconductor wafer cleaning system |
US6099662A (en) * | 1999-02-11 | 2000-08-08 | Taiwan Semiconductor Manufacturing Company | Process for cleaning a semiconductor substrate after chemical-mechanical polishing |
US6562726B1 (en) * | 1999-06-29 | 2003-05-13 | Micron Technology, Inc. | Acid blend for removing etch residue |
US20060054181A1 (en) * | 2000-06-26 | 2006-03-16 | Applied Materials, Inc. | Cleaning method and solution for cleaning a wafer in a single wafer process |
US20030219912A1 (en) * | 2002-05-21 | 2003-11-27 | Xiaoyi Chen | Method for removal of metallic residue after plasma etching of a metal layer |
US20060160295A1 (en) * | 2002-06-12 | 2006-07-20 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
US20030230786A1 (en) * | 2002-06-12 | 2003-12-18 | Samsung Electronics Co., Ltd. | Semiconductor device and method for fabricating the same |
US7153786B2 (en) | 2004-01-13 | 2006-12-26 | Samsung Electronics, Co., Ltd. | Method of fabricating lanthanum oxide layer and method of fabricating MOSFET and capacitor using the same |
US7153784B2 (en) | 2004-04-20 | 2006-12-26 | Intel Corporation | Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode |
US20070051700A1 (en) * | 2005-09-05 | 2007-03-08 | Lee Hyo-San | Composition for cleaning substrates and method of forming gate using the composition |
US20070190795A1 (en) * | 2006-02-13 | 2007-08-16 | Haoren Zhuang | Method for fabricating a semiconductor device with a high-K dielectric |
US7488687B2 (en) * | 2006-09-12 | 2009-02-10 | Samsung Electronics Co., Ltd. | Methods of forming electrical interconnect structures using polymer residues to increase etching selectivity through dielectric layers |
US20080224238A1 (en) * | 2007-03-13 | 2008-09-18 | International Business Machines Corporation | ADVANCED HIGH-k GATE STACK PATTERNING AND STRUCTURE CONTAINING A PATTERNED HIGH-k GATE STACK |
US20090004870A1 (en) * | 2007-06-27 | 2009-01-01 | Wei Liu | Methods for high temperature etching a high-k material gate structure |
US20090194876A1 (en) * | 2008-02-04 | 2009-08-06 | International Business Machines Corporation | INTERCONNECT STRUCTURE AND METHOD FOR Cu/ULTRA LOW k INTEGRATION |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10079283B2 (en) | 2014-07-17 | 2018-09-18 | E Ink Holdings Inc. | Manufacturing method of a transistor |
US9793105B1 (en) * | 2016-08-02 | 2017-10-17 | United Microelectronics Corporation | Fabricating method of fin field effect transistor (FinFET) |
US20210359095A1 (en) * | 2020-05-15 | 2021-11-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor Device Structure with Uneven Gate Profile |
US11631745B2 (en) * | 2020-05-15 | 2023-04-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device structure with uneven gate profile |
Also Published As
Publication number | Publication date |
---|---|
TWI376734B (en) | 2012-11-11 |
US20100062590A1 (en) | 2010-03-11 |
CN101667541B (en) | 2012-02-01 |
TW201011817A (en) | 2010-03-16 |
CN101667541A (en) | 2010-03-10 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7776755B2 (en) | Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process | |
US8912610B2 (en) | Structure and method for MOSFETS with high-K and metal gate structure | |
US8258587B2 (en) | Transistor performance with metal gate | |
US8373199B2 (en) | Semiconductor device having a SiGe feature and a metal gate stack | |
US8679926B2 (en) | Local charge and work function engineering on MOSFET | |
US8237231B2 (en) | Device with aluminum surface protection | |
US8980706B2 (en) | Double treatment on hard mask for gate N/P patterning | |
US8791001B2 (en) | N2 based plasma treatment and ash for HK metal gate protection | |
US8357581B2 (en) | Transistor performance improving method with metal gate | |
US10790196B2 (en) | Threshold voltage tuning for fin-based integrated circuit device | |
US20110042729A1 (en) | Method for improving selectivity of epi process | |
US20100078728A1 (en) | Raise s/d for gate-last ild0 gap filling | |
KR102045357B1 (en) | Wrapped-around epitaxial structure and method | |
US8304349B2 (en) | Method to integrate gate etching as all-in-one process for high K metal gate | |
US11855207B2 (en) | FinFET structure and method with reduced fin buckling | |
US20210359095A1 (en) | Semiconductor Device Structure with Uneven Gate Profile | |
US9362123B2 (en) | Structure and method for integrated devices on different substartes with interfacial engineering |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.,T Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, JR JUNG;LIN, YIH-ANN;CHEN, RYAN CHIA-JEN;REEL/FRAME:022004/0350 Effective date: 20081201 Owner name: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD., Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:LIN, JR JUNG;LIN, YIH-ANN;CHEN, RYAN CHIA-JEN;REEL/FRAME:022004/0350 Effective date: 20081201 |
|
FPAY | Fee payment |
Year of fee payment: 4 |
|
FEPP | Fee payment procedure |
Free format text: MAINTENANCE FEE REMINDER MAILED (ORIGINAL EVENT CODE: REM.) |
|
LAPS | Lapse for failure to pay maintenance fees |
Free format text: PATENT EXPIRED FOR FAILURE TO PAY MAINTENANCE FEES (ORIGINAL EVENT CODE: EXP.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY |
|
STCH | Information on status: patent discontinuation |
Free format text: PATENT EXPIRED DUE TO NONPAYMENT OF MAINTENANCE FEES UNDER 37 CFR 1.362 |
|
FP | Lapsed due to failure to pay maintenance fee |
Effective date: 20180817 |