JP6163446B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP6163446B2
JP6163446B2 JP2014066039A JP2014066039A JP6163446B2 JP 6163446 B2 JP6163446 B2 JP 6163446B2 JP 2014066039 A JP2014066039 A JP 2014066039A JP 2014066039 A JP2014066039 A JP 2014066039A JP 6163446 B2 JP6163446 B2 JP 6163446B2
Authority
JP
Japan
Prior art keywords
etching
film
gas
metal oxide
lower layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014066039A
Other languages
English (en)
Other versions
JP2015191922A (ja
Inventor
和久 松田
和久 松田
佐々木 俊行
俊行 佐々木
大村 光広
光広 大村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2014066039A priority Critical patent/JP6163446B2/ja
Priority to US14/644,908 priority patent/US9343331B2/en
Publication of JP2015191922A publication Critical patent/JP2015191922A/ja
Application granted granted Critical
Publication of JP6163446B2 publication Critical patent/JP6163446B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Non-Volatile Memory (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Description

本発明の実施形態は、半導体装置の製造方法に関する。
半導体装置の製造工程において、例えば、難エッチング材料を含む金属酸化物と、下地膜の積層膜構造を有する場合に、下地膜のリセス等の問題を生ずることなく、難エッチング材料を含む金属酸化物を制御性良くエッチングすることは困難であった。
特開2009−076711公報
Journal of Plasma and Fusion Research Vol.85, No.4 (2009), 185-192
半導体装置の製造工程において、難エッチング材料を含む金属酸化物と、下地膜の積層膜構造を有する場合に、難エッチング材料を含む金属酸化物を制御性良くエッチングすることが可能な半導体装置の製造方法を提供する。
本実施形態に係る半導体装置の製造方法は、実質的に酸素を含まない材料による第1膜と、第1膜上に形成されランタン、イットリウム、マグネシウム、鉄、コバルト、ニッケル、バリウムの少なくとも何れかを含む金属酸化物による第2膜と、を有する積層膜のうち、第2膜を、反応性イオンエッチング法を用いてエッチングする。このエッチングにおいて、エッチングガスとして三塩化ホウ素を含むガスを用いる第1処理と、エッチングガスとして不活性ガスを用い、バイアスパワーを第2膜のエッチング閾値エネルギー以上とする第2処理と、を交互に複数回繰り返し行うことと、前記第2膜が除去され、前記第1膜が露出した後には、前記第1膜上に前記第1処理に基づく堆積物が形成されており、前記第2処理の加工時間は、前記第2処理によるエッチングによって膜減りする前記堆積物が残存する範囲の時間に制御されること、を特徴とする。

(a)及び(b)は実施形態における半導体装置の製造方法を工程順に示す縦断面図の一例 (a)〜(d)は、実施形態における半導体装置の製造方法を工程順に示す縦断面図の一例 (a)は、第1処理におけるエッチングの実験内容を示す図、(b)は、エッチングガスとして三塩化ホウ素を用いた場合と、アルゴンを用いた場合の実験結果を示す図 (a)及び(b)は、第2処理におけるエッチングの実験内容を示す図
(実施形態)
以下、実施形態について、図面を参照して説明する。なお、図面は模式的なものであり、厚みと平面寸法との関係、各層の厚みの比率等は現実のものとは必ずしも一致しない。また、上下左右の方向についても、後述する半導体基板における回路形成面側を上とした場合の相対的な方向を示し、必ずしも重力加速度方向を基準としたものとは一致しない。
図1(a)(b)、図2(a)〜(d)は、本実施形態における半導体装置の製造方法を工程順に示す縦断面図の一例である。図1(a)は本実施形態における処理の直前の半導体装置の構成を模式的に示す縦断面図の一例である。本実施形態は、半導体装置として、例えば、不揮発性半導体記憶装置であるフラットセルNAND型フラッシュメモリ装置に適用することができる。
半導体基板10上には、例えば、絶縁膜11、下層膜12、金属酸化物14、金属膜16が積層して形成されている。半導体基板10としては、例えばシリコン基板を用いることができる。また、半導体基板10としては、シリコン等の基板上に、例えばシリコン酸化膜等の絶縁膜や導電膜等、又はそれらの積層膜が形成されている状態のものを用いても良い。また、例えばシリコン等の基板上にトランジスタ等の素子を形成した後、それら上部を絶縁膜で覆い、表面を平坦化した状態のものを用いても良い。
絶縁膜11としては、例えばシリコン酸化膜を用いることができる。絶縁膜11は、例えばフラットセルNAND型フラッシュメモリ装置のメモリセルゲートのトンネル膜として用いられる。
下層膜12としては、実質的に酸素を含まない材料による膜、例えば、シリコン(Si)、タングステン(W)、チタン(Ti)、タンタル(Ta)、及び、これらの窒化膜であるシリコン窒化膜(SiN)、窒化タングステン(WN)、窒化チタン(TiN)、窒化タンタル(TaN)等を用いることができる。下層膜12は、例えばフラットセルNAND型フラッシュメモリ装置のメモリセルゲートの電荷蓄積層として用いられる。
金属酸化物14としては、例えば難エッチング材料を含む金属酸化物を用いることができる。難エッチング材料としては、ランタン(La)、イットリウム(Y)、マグネシウム(Mg)、鉄(Fe)、コバルト(Co)、ニッケル(Ni)、バリウム(Ba)等が挙げられる。
難エッチング材料を含む金属酸化物としては、例えば酸化ランタン(La)、酸化イットリウム(Y)、マグネシウム酸化物(MgO)等の単一金属の酸化物、又はランタン含有アルミシリケート(LaAlSiO)、イットリウムアルミシリケート(YAlSiO)等の金属アルミシリケート等を挙げることができる。この場合、金属酸化物(例えばLaAlSiO)は難エッチング材料(例えばLa)を含むため、金属酸化物は全体としても難エッチング材料となる。なお、金属酸化物を構成する元素の組成比は、特に制限されない。
難エッチング材料を含む金属酸化物は、例えばhigh−k膜(高誘電率絶縁膜)として用いられる。high−k膜は、例えば、フラットセルNAND型フラッシュメモリ装置におけるメモリセルゲートの、金属/high−k膜/ポリシリコン膜/シリコン酸化膜/シリコン基板のスタック構造に用いられる。図1(a)(b)、図2(a)〜(d)に示す積層構造は、例えば、フラットセルNAND型フラッシュメモリ装置のメモリセルゲートに用いられる積層構造を模式的に示している。
金属膜16としては、例えば、窒化タングステン(WN)とタングステン(W)の積層膜を用いることができる。金属膜16は、例えばフラットセルNAND型フラッシュメモリ装置のメモリセルゲートの制御電極として用いられる。金属膜16上には、マスク18が形成されている。マスク18としては、例えばリソグラフィ法によってパターニングされたレジスト膜を用いることができる。また、マスク18としては、上述のレジスト膜に代えて、例えば、側壁転写法等のダブルパターニング法によって形成されたハードマスクを用いても良い。
次に、図1(b)に示すように、RIE(Reactive Ion Etching、反応性イオンエッチング)法による第1エッチングを施すことにより、金属膜16にマスク18のパターンを転写する。第1エッチングは、異方性条件の下で行い、金属膜16をエッチングした後、金属酸化物14上でストップさせる。
次に、図2(a)〜(d)に示すように、引き続きRIE法を用いた第2エッチングを施すことにより、金属酸化物14にマスク18のパターンを転写する。第2エッチングは、金属酸化物14を選択的にエッチング加工し、下層膜12の膜減りを抑制しつつ、下層膜12上でストップするように行う。
第2エッチングは、以下に説明する二つの処理(第1処理及び第2処理)を有し、この二つの処理を交互に複数回連続して繰り返すことにより実行される。
第1処理においては、以下の条件を用いたRIE法によるエッチングが施される。すなわち、エッチングガスとして三塩化ホウ素(BCl)ガスを用い、エッチング装置のバイアスパワーを下層膜12のエッチング閾値エネルギー未満の領域(以下、低バイアス領域という)となるように設定する。エッチング装置としては、例えば誘導結合型(ICP:Inductively Coupled Plasma)エッチング装置を用いることができる。
第1処理においては、バイアスパワーは下層膜12のエッチング閾値エネルギー未満(低バイアス領域)であるため、原理的に下層膜12はエッチングされない。また、第1処理においては、金属酸化物14のエッチングレートは極めて低く、また、難エッチング材料に起因したエッチストップが発生する。第1処理において、金属酸化物14がエッチングされている間は、堆積物24は形成されない。
第2エッチングにおいて第1処理と第2処理が繰り返し実行されて金属酸化物14が除去され、下層膜12が露出した後に、下層膜12上に選択的に第1処理に基づく堆積物24が堆積形成される。堆積物24によって下層膜12表面が覆われ、これがエッチングのマスクとなることによってエッチングが阻害される。すなわち、第2エッチングで繰り返し実行される第2処理において、下層膜12のエッチングの進行を抑制しつつオーバーエッチングを行うことが可能となる。また、エッチングレートの面内依存等により第2エッチング中に局所的に金属酸化物14が速く除去されて下層膜12表面が露出しても、下層膜12のエッチングは過度に進行しない。
なお、堆積物24は、BClを主成分として有するものと考えられている。第1処理において、堆積物24は、下層膜12上に選択的に形成され、金属酸化物14上には形成されない。これは、BClを用いたエッチングによってBClを主とする堆積物24が形成されるが、金属酸化物14のように酸素を含む膜上ではBの還元作用により酸素が供給され、BClから揮発性のBOClへと反応が進行するからである。これにより、金属酸化物14上においては、堆積物24の堆積が生ずることがなく、エッチングが進行する。
一方、酸素を含まない膜である下層膜12上では、酸素が供給されないため、BClから揮発性のBOClへの反応が進行しない。従って、堆積物24は堆積し続け、これがマスクとなってエッチングの進行が抑制される。なお、成膜雰囲気中の微量の酸素の膜中への混入や成膜後の自然酸化膜の形成等によって下層膜12中にわずかに酸素が含有されても、不純物レベルの酸素量であれば下層膜12上への堆積物の堆積が阻害されることはなく、許容することができる。すなわち、下層膜12は、実質的に酸素を含まない材料による膜であれば許容できる。
第2処理においては、以下の条件を用いたRIE法によるエッチングが施される。すなわち、エッチングガスとして不活性ガスを用い、エッチング装置のバイアスパワーを金属酸化物14のエッチング閾値エネルギー以上の領域(以下、高バイアス領域という)となるよう設定する。
金属酸化物14は難エッチング材料であることから、化学的反応性に乏しい。すなわち、RIE法によるエッチングにおいて、エッチングガスとの化学反応によるエッチングが進行しにくい。
そこで、第2処理では高バイアス領域のバイアスパワーを用い、エッチングガスとして不活性ガスを用い、主として不活性ガスによるスパッタリング効果を利用して金属酸化物14をエッチングする。不活性ガスとしては、例えば、アルゴン(Ar)、キセノン(Xe)、クリプトン(Kr)等を用いることができる。このように、バイアスパワーとして高バイアス領域を用い、エッチングガスとして不活性ガスを用いることにより、難エッチング材料を含む膜である金属酸化物14をエッチングすることができる。
上述のように、下層膜12が露出した後は、第2エッチングにおいて繰り返し実行される第1処理と第2処理のうち、第1処理において下層膜12上に堆積物24が堆積する。ここで、第2処理では、第2処理の進行中に堆積物24が無くならない程度の時間に制御される。これにより、第2処理で、金属酸化物14のエッチングを進行させつつ、下層膜12が露出した後は第1処理で形成された堆積物24によって下層膜12を覆い、下層膜12がエッチングされて膜減り(リセス)が生ずることを抑制可能となる。
第2エッチングにおいて、第1処理と第2処理の繰り返し回数は特に制限がなく、エッチング対象物の膜厚や組成比等に応じて任意に設定できる。また、第1処理、及び第2処理の実行時間も、エッチング対象物の膜厚や組成比、あるいは加工対象物の構造等に応じて任意に設定できる。さらに、第1処理、及び第2処理の実行時間は一定である必要はなく、例えばエッチングの進行に合わせて徐々に短くしていくなど、任意に設定可能である。
以上説明したように、本実施形態を用いれば、難エッチング材料を含む金属酸化物14と、下層膜12の積層膜構造を有する場合に、下層膜12のリセスを生ずることなく、金属酸化物14を制御性良くエッチングすることが可能となる。
なお、第2処理におけるエッチングガスとして、不活性ガスに、弗素(F)を含む化合物ガスを添加することができる。弗素(F)を含む化合物としては、例えば四フッ化メタン(CF)、トリフルオロメタン(CHF)、ジフルオロメタン(CH)、フルオロメタン(CHF)、ヘキサフルオロ1,3ブタジエン(C)、パーフルオロシクロブタン(C)、三フッ化窒素(NF)、六フッ化硫黄(SF)等を用いることができる。これらのガスを添加しても、第2処理において、金属酸化物14のエッチングレートを向上させ、下層膜のエッチングレートを抑制する効果を得ることができる。すなわち、金属酸化物14の下層膜12に対するエッチング選択比を向上させることができる。
また、不活性ガスに、弗素(F)を含む化合物ガスを添加したものに、さらに水素(H)ガスを添加することができる。水素を添加することによって、第2処理において、金属酸化物14のエッチングレートをさらに向上させ、下層膜のエッチングレートを抑制する効果をさらに高めることができる。すなわち、金属酸化物14の下層膜12に対するエッチング選択比をさらに向上させることができる。
図2(a)〜(d)に、第2エッチングが進行していく様子を示す。第2エッチングにおいては、第1処理と、第2処理を、交互に、連続して、複数回繰り返す。
図2(a)及び(b)は、金属膜16をエッチングした後、金属酸化物14がエッチングされていく様子を示している。第2エッチングにおけるエッチング対象は金属酸化物14である。
図2(a)は、金属酸化物14のエッチング初期の段階であり、第1処理の処理中の様子を示している。図2(a)においては、金属酸化物14が十分存在しており、下層膜12は露出していない。第1処理においては、加工対象物の表面に対し、第1イオン20が照射されている。ここで、第1イオン20とは、第1処理におけるエッチングガス、すなわち三塩化ホウ素(BCl)がプラズマとなって生じたイオンを意味する。
第1処理においては、上述のように、エッチング装置のバイアスパワーが低バイアス領域となるように設定されている。この状況下では金属酸化物14のエッチングレートが低く、第1処理で下層膜12表面が露出するほどまでには金属酸化物14のエッチングは進行せず(ある程度進行する)、下層膜12表面が露出していないため、堆積物24の堆積は生じない。
図2(b)は金属酸化物14のエッチング途中の段階で、第2処理の処理中の様子を示している。図2(b)においては、第1処理と第2処理が複数回繰り返されており、金属酸化物14が膜厚の中程までエッチングされている。第2処理においては、加工対象物の表面に対し、第2イオン22が照射されている。ここで、第2イオン22とは、第2処理におけるエッチングガス(不活性ガス、例えばアルゴン)がプラズマとなって生じた不活性種イオン(例えば、Arイオン)を意味する。
第2処理においては、エッチング装置のバイアスパワーが高バイアス領域となるよう設定されているため、金属酸化物14のエッチングが進行する。第2処理においては、主として不活性ガスによる物理スパッタリング効果によりエッチングが進行していく。従って、より重い不活性ガス(例えば、Xe、Kr)を用いる方が、エッチングレートが高くなる。
図2(c)及び(d)は、第2エッチングにおいて第1処理と第2処理がさらに複数回繰り返され、エッチングが進行することによって金属酸化物14が除去され、下層膜12表面が露出した状況での様子を示している。
図2(c)は、第1処理において、下層膜12表面に選択的に堆積物24が堆積した様子を示している。第1処理においては、下層膜12が露出すると、下層膜12表面に堆積物24が堆積する。下層膜12上を堆積物24が覆っているため、下層膜12のエッチングは進行しない。なお、第1処理においては、バイアスパワーが下層膜12のエッチング閾値エネルギー未満(低バイアス領域)であるため、堆積物24が存在しなくても、下層膜12のエッチングは進行しない。
図2(d)は、第2処理において、下層膜12表面に堆積物24が堆積した状態でのエッチング(オーバーエッチング)の様子を示している。第2処理では、直前の第1処理で堆積した堆積物24が下層膜12上を覆っており、これがマスクとなるため、下層膜12のエッチングは進行しない。
第2処理においては、エッチング装置のバイアスパワーが高バイアス領域となるよう設定され、主として不活性ガスによる物理スパッタリング効果によりエッチングが行われる。従って、下層膜12上を堆積物24が覆っていなければ、下層膜12に対してもエッチングが進行することになる。
そこで、第2処理においては、上述のように、第1処理で形成された堆積物24が、第2処理のエッチングにより膜減りして無くならない程度のエッチング時間、すなわち堆積物24が残存する範囲内のエッチング時間となるように制御する。これにより、第2処理が実行される間は下層膜12表面を堆積物24が覆っているため、下層膜12がエッチングされ、リセスが生じることを抑制できる。
また、第2処理における不活性ガスによるエッチングは、上述のように不活性ガスによる物理スパッタリング効果を主とするエッチングであると考えられ、このエッチング方式では、エッチング対象物の加工形状が順テーパ形状となる傾向を有する。一方、第1処理において三塩化ホウ素(BCl)をエッチングガスとして用いたRIE法によるエッチングでは、エッチング対象物が順テーパ形状とならず、略垂直に加工される。従って、金属酸化物14が、順テーパ形状とならず、略垂直となるように加工するためには、第1処理も併用することが望まれる。この理由からも、本実施形態では、金属酸化物14をエッチング対象とする第2エッチングにおいて、第1処理と第2処理を、交互に、繰り返し、複数回行う方式を採用している。
なお、金属酸化物14が十分な膜厚を有する間は、エッチング中に下層膜12が露出する可能性が少ない。従って、金属酸化物14の膜厚が、下層膜12の露出が懸念される所定の膜厚となるまでは、下層膜12の膜減りを考慮することなく金属酸化物14のエッチングを行うことも可能である。そこで、金属酸化物14の膜厚が所定の膜厚となるまで、第1処理においてバイアスパワーを高く設定して金属酸化物14のエッチングを高速に進行させる。そして、金属酸化物14の膜厚が所定の膜厚となった後(金属酸化物14の膜厚が小さくなり下層膜12の露出が近くなった後)は、上述の低バイアス領域の第1処理と高バイアス領域の第2処理を繰り返すようにしても良い。
また、金属酸化物14として、本実施形態では難エッチング材料を含む金属酸化物14を想定しているが、当然ながら、難エッチング材料ではない材料、すなわち、難エッチング材料よりもエッチング閾値エネルギーが低い金属酸化物である、シリコン酸化膜(SiO)、酸化アルミニウム(Al)、ハフニウムオキサイド(HfO)、ジルコニウム(ZrO)等に対しても本実施形態によるエッチングを適用することができる。さらに、金属酸化膜14が、難エッチング材料及び難エッチング材料ではない材料を含むランタン含有アルミシリケート(LaAlSiO)、イットリウムアルミシリケート(YAlSiO)等の複合金属酸化膜である場合は、第1の処理において難エッチング材料ではない材料が優先的にエッチングされることによって、エッチストップが発生するまでの金属酸化膜14の加工量を多くすることができ、反応性エッチングによる略垂直な加工を継続しやすい点で本実施形態によるエッチングは特に有効である。
上述のように、第2エッチングは、第1処理と第2処理を所望の回数繰り返した後、終了する。第2エッチングの終了後は、必要であれば、例えば下層膜12のエッチングに移行し、下層膜12のエッチングが行われる。第1エッチング、及び第2エッチング、さらに必要に応じて下層膜12のエッチングは、別工程とする必要はなく、エッチング装置において、一つのエッチング工程内の一続きの連続ステップとして実施することができる。また、第1エッチング、及び第2エッチングの終点は、例えば、エッチングチャンバー内のプラズマ光分析法によって検出することができる。
次に、第2エッチングの第1処理、及び第2処理の内容を、図を参照して詳細に説明する。
図3(a)は、第1処理におけるエッチングの実験内容を詳細に示す図である。図3(a)において、横軸はエッチング装置のバイアスパワーであり、左側縦軸はエッチングレートを示している。右側縦軸はエッチングの選択比を示している。
エッチング対象としては、フラットセルNAND型フラッシュメモリ装置のメモリセルゲートに用いられる膜構造を想定し、半導体基板上にシリコン酸化膜及び下層膜12としてポリシリコン(Si)を成膜した試料、及び、半導体基板上にシリコン酸化膜及び難エッチング材料を含む金属酸化物14としてランタン含有アルミシリケート(LaAlSiO)を成膜した試料を用いた。この二つの試料を用いて、下層膜12としてのポリシリコン(Si)、及び、金属酸化物14としてのLaAlSiOのエッチングを行った。
エッチング装置としては、誘導結合型エッチング装置を用い、チャンバー内圧力を10mTorr、ソースパワーを1000W、基板温度を210℃としている。エッチングガスとしては、三塩化ホウ素(BCl)ガスを用いている。
図3(a)は、LaAlSiO及びSiのエッチングレート、及び、LaAlSiOのSiに対するエッチング選択比(以下、単に選択比という)の、バイアスパワー依存性を示している。
図3(a)から明らかなように、Siのエッチングレートは、バイアスパワー増加により飛躍的に増加しているのに対し、LaAlSiOのエッチングレート増加率は低くなっている。一方、バイアスパワーが50W未満ではSiのエッチングレートは低く、特にバイアスパワーが10W以下ではSiのエッチングレートが負(すなわち、Si上に堆積膜が形成されている)であるのに対し、LaAlSiOのエッチングレートは極めて低いもののエッチングレートの大きな変化はない。選択比は、バイアスパワーが50W以上では0.1程度しかないが、バイアスパワーが50W未満では、選択比は向上していき、特にバイアスパワーが10W以下では飛躍的に大きくなる。
この実験結果から、第1処理において、エッチングガスとして三塩化ホウ素ガスを用い、金属酸化物14としてランタン含有アルミシリケート(LaAlSiO)、及び、下層膜12としてポリシリコン(Si)をエッチング対象物とする場合は、以下の知見を得ることができる。すなわち、下層膜12(Si)上に堆積膜が形成され、また、金属酸化物14(LaAlSiO)のエッチングレートを確保できる領域として、バイアスパワーを10W以下とすることが望ましい。従って、この場合の下層膜12のエッチング閾値エネルギー未満の領域(低バイアス領域)は、10W以下の領域を意味することになる。
図3(b)は、エッチングガスとして三塩化ホウ素(BCl)を用いた場合と、不活性ガスとしてアルゴン(Ar)を用いた場合に、LaAlSiOのエッチングを行った実験結果を示している。この実験では、半導体基板上に、下層膜12としてポリシリコン(Si)を成膜し、その上に難エッチング材料を含む金属酸化物14としてLaAlSiOを成膜した試料を用いてエッチングを実施した。ここでは、エッチング対象はLaAlSiOであり、バイアスパワーを300Wとしている。
図3(b)において、横軸はエッチング時間であり、縦軸はエッチング対象物であるLaAlSiOのエッチング深さを示している。図3(b)によって明らかなように、三塩化ホウ素(BCl)によるエッチングの場合は、エッチング時間が40secを過ぎたあたりから、エッチング深さが、深さ約11.5nmより大きくならない。すなわち、深さ約11.5nm程度でエッチングが止まってしまい(エッチング量が飽和する)、それ以上はLaAlSiOのエッチングが進行しないことを意味する。
一方、アルゴン(Ar)によるエッチングの場合は、エッチング時間の経過とともにLaAlSiOのエッチング量は増大しており、エッチングはストップすることなく進行し続けていることが分かる。この実験による知見から、LaAlSiO、すなわち難エッチング材料を含む金属酸化物14のエッチングには、エッチングガスとして、三塩化ホウ素(BCl)を単独で用いることは適さず、物理スパッタリングによるエッチングの採用が望まれるということが言える。そこで、本実施形態では、第2処理において、アルゴン(Ar)等の不活性ガスを用いたエッチングを採用している。
次に、図4(a)、(b)を参照して、第2処理におけるエッチングの内容を詳細に説明する。図4(a)、(b)は、第2処理におけるエッチングの実験内容を詳細に示す図である。
図4(a)は、第2処理におけるエッチング深さの、バイアスパワー依存性を示す図である。ここでは、エッチングガスの不活性ガスとしてアルゴン(Ar)を用い、エッチング対象としては、半導体基板上に、下層膜12としてポリシリコン(Si)を成膜し、その上に難エッチング材料を含む金属酸化物14としてランタン含有アルミシリケート(LaAlSiO)を成膜した試料を用いた。
図4(a)において、横軸はエッチング時間であり、縦軸はエッチング対象物のエッチング深さである。図4(a)は、上記条件下での、バイアスパワーが100W、200W、及び300Wにおけるエッチング時間とエッチング量の関係を示している。
図4(a)から明らかなように、バイアスパワーが200W以上ではLaAlSiOのエッチングは進行するが、バイアスパワーが100Wではエッチングが進行しない。この実験から、第2処理において、エッチングガスとしてアルゴン(Ar)を用い、エッチング対象物の金属酸化物14としてLaAlSiOをエッチングする場合は、バイアスパワーを200W以上とすることが望ましいと言うことができる。すなわち、この場合の、金属酸化物14のエッチング閾値エネルギー以上の領域(高バイアス領域)とは、バイアスパワーが200W以上であることを意味することになる。
次に、図4(b)を参照して、第2処理において、不活性ガスに、弗素(F)を含むガス、さらには水素(H)を添加した場合の効果について説明する。図4(b)においては、エッチング対象としては、半導体基板上にシリコン酸化膜及び下層膜12としてポリシリコン(Si)を成膜した試料、及び、半導体基板上にシリコン酸化膜及び難エッチング材料を含む金属酸化物14としてランタン含有アルミシリケート(LaAlSiO)を成膜した試料を用いた。この二つの試料を用いて、下層膜12としてSi、及び金属酸化物14としてLaAlSiOのエッチングを行った。
また、エッチングガスとしては、不活性ガスとしてアルゴン(Ar)を用い、これにパーフルオロシクロブタン(C)、及び水素を添加したガスを用いている。図4(b)は、これらガスの混合比(流量比)を変化させた場合の各エッチング対象物のエッチングレートを示している。
図4(b)において、横軸は、アルゴン(Ar)、パーフルオロシクロブタン(C)、水素(H)混合ガス総流量中における水素の流量比(すなわち、H/Ar+C+Hであり、以下、単に水素流量比という)である。左側縦軸はエッチングレートであり、右側縦軸はLaAlSiOのSiに対するエッチング選択比(以下、単に選択比という)である。
ここで、パーフルオロシクロブタン(C)の流量は、混合ガス総流量の4%に固定している。また、バイアスパワーは1200Wであり、高バイアス領域のバイアスパワーに設定されている。
図4(b)から明らかなように、水素(H)ガスの添加量が増加することによって、LaAlSiOのエッチングレートは、増加していき、水素流量比が13%において極大値を有する。さらに水素流量比が大きくなると、LaAlSiOのエッチングレートは下がるものの、水素を添加しない場合、すなわち水素流量比が0付近に比較してエッチングレートは十分に高いと言える。
一方、ポリシリコンのエッチングレートは、水素流量比が大きくなるに従って低下していき、水素流量比が約13%において極小値を有する。さらに水素流量比が大きくなると、エッチングレートは高くなっていく。
選択比は、水素流量比が大きくなるに従い増加し、13%付近において約6.7が得られ、極大値となる。さらに水素流量比が大きくなると選択比は低下していく。
上述のように、第2処理において、不活性ガスに、弗素を含むガス及び水素ガスを添加した場合には、水素流量比のほぼ全域にわたり難エッチング材料を含む金属酸化物14としてのLaAlSiOのエッチングレートが大きくなる。
水素流量比が0(ゼロ)においても、LaAlSiOのエッチングレートは十分大きい。第2エッチングにおいては、下層膜12(Si)上には第1処理に基づく堆積物24を堆積させており、そもそもこれによって下層膜12のエッチングが阻害されている。従って、水素流量比が0(ゼロ)、すなわち、水素ガスを含まない、アルゴン(Ar)とパーフルオロシクロブタン(C)の混合ガスを使用しても、第2処理のエッチングガスに適していると言える。
また、水素流量比のほぼ全域にわたり選択比が大きくなっている。すなわち、不活性ガスに、弗素を含むガス及び水素ガスを添加することにより、難エッチング材料を含む金属酸化物14のエッチングレートが大きくなり、金属酸化物14の下層膜12に対するエッチング選択比が向上していると言える。
第2処理のエッチングガスとして、アルゴン(Ar)にパーフルオロシクロブタン(C)及び水素(H)を添加したガスを用いると、金属酸化物14のエッチングレートが大きくなり、選択比も大きくなる。すなわち、第2処理のエッチングガスとして、不活性ガスに弗素(F)を含む化合物ガス及び水素(H)ガスを添加したガスを用いると、金属酸化物14のエッチングレートが大きくなり、選択比も大きくなる。従って、本実施形態の効果を向上させることができる。
(他の実施形態)
上記に説明した実施形態では、プラズマエッチング装置として誘導結合型を例示して説明したが、これに限らず、容量結合(CCP:Capacitive Coupled Plasma)型、ECR (electron cyclotron resonance;電子サイクロトロン共鳴)型、ヘリコン波型又はその他の方式のプラズマエッチング装置を用いることができる。
上記に説明した実施形態は、NAND型又はNOR型のフラッシュメモリ、EEPROM、あるいはDRAM、SRAM、その他の半導体記憶装置、あるいは種々のロジックデバイス、その他の半導体装置の製造方法に適用しても良い。
上述のように、本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。
図面中、10は半導体基板、12は下層膜、14は金属酸化物、16は金属膜、18はマスク、20は第1イオン、22は第2イオン、24は堆積物である。

Claims (4)

  1. 実質的に酸素を含まない材料による第1膜と、前記第1膜上に形成されランタン、イットリウム、マグネシウム、鉄、コバルト、ニッケル、バリウムの少なくとも何れかを含む金属酸化物による第2膜と、を有する積層膜のうち、前記第2膜を、反応性イオンエッチング法を用いてエッチングする方法であって、
    エッチングガスとして三塩化ホウ素を含むガスを用いる第1処理と、
    エッチングガスとして不活性ガスを用い、バイアスパワーを前記第2膜のエッチング閾値エネルギー以上とする第2処理と、を交互に複数回繰り返し行うことと、
    前記第2膜が除去され、前記第1膜が露出した後には、前記第1膜上に前記第1処理に基づく堆積物が形成されており、前記第2処理の加工時間は、前記第2処理によるエッチングによって膜減りする前記堆積物が残存する範囲の時間に制御されること、を特徴とする半導体装置の製造方法。
  2. 前記第2処理におけるエッチングガスは、不活性ガス、弗素を含有するガス、及び水素ガスの混合ガスであることを特徴とする請求項1に記載の半導体装置の製造方法。
  3. 実質的に酸素を含まない材料による第1膜と、前記第1膜上に形成されランタン、イットリウム、マグネシウム、鉄、コバルト、ニッケル、バリウムの少なくとも何れかを含む金属酸化物による第2膜と、を有する積層膜のうち、前記第2膜を、反応性イオンエッチング法を用いてエッチングする方法であって、
    エッチングガスとして三塩化ホウ素を含むガスを用いる第1処理と、
    エッチングガスとして不活性ガス、弗素を含有するガス、及び水素ガスの混合ガスを用い、バイアスパワーを前記第2膜のエッチング閾値エネルギー以上とする第2処理と、を交互に複数回繰り返し行うことを特徴とする半導体装置の製造方法。
  4. 前記弗素を含有するガスは、四フッ化メタン、トリフルオロメタン、ジフルオロメタン、フルオロメタン、ヘキサフルオロ1,3ブタジエン、パーフルオロシクロブタン、三フッ化窒素、六フッ化硫黄の少なくとも何れかを含むことを特徴とする請求項2又は3に記載の半導体装置の製造方法。
JP2014066039A 2014-03-27 2014-03-27 半導体装置の製造方法 Active JP6163446B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2014066039A JP6163446B2 (ja) 2014-03-27 2014-03-27 半導体装置の製造方法
US14/644,908 US9343331B2 (en) 2014-03-27 2015-03-11 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2014066039A JP6163446B2 (ja) 2014-03-27 2014-03-27 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2015191922A JP2015191922A (ja) 2015-11-02
JP6163446B2 true JP6163446B2 (ja) 2017-07-12

Family

ID=54191412

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014066039A Active JP6163446B2 (ja) 2014-03-27 2014-03-27 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US9343331B2 (ja)
JP (1) JP6163446B2 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018173718A1 (ja) * 2017-03-24 2018-09-27 株式会社アルバック エッチングストップ層及び半導体デバイスの製造方法
JP6980406B2 (ja) 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
WO2018236358A1 (en) * 2017-06-20 2018-12-27 Intel Corporation DUAL PATTERN FORMATION ALLOWED BY ION IMPLANTATION CHARACTERISTICS DEFINED BY SPRAYING
JP6936700B2 (ja) 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
CN107946231B (zh) * 2017-11-22 2020-06-16 上海华力微电子有限公司 一种FDSOI器件SOI和bulk区域浅槽形貌优化方法
US10460988B2 (en) * 2017-12-21 2019-10-29 Tokyo Electron Limited Removal method and processing method
JP7133975B2 (ja) * 2018-05-11 2022-09-09 東京エレクトロン株式会社 エッチング方法およびエッチング装置
KR102342124B1 (ko) 2019-02-14 2021-12-22 주식회사 히타치하이테크 반도체 제조 장치
CN111154490A (zh) * 2020-01-02 2020-05-15 长江存储科技有限责任公司 刻蚀气体、刻蚀方法及3d存储器件制造方法
US11488835B2 (en) * 2020-11-20 2022-11-01 Applied Materials, Inc. Systems and methods for tungsten-containing film removal
US20230223268A1 (en) * 2022-01-10 2023-07-13 Applied Materials, Inc. BIAS VOLTAGE MODULATION APPROACH FOR SiO/SiN LAYER ALTERNATING ETCH PROCESS

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1265691C (zh) * 1996-12-19 2006-07-19 揖斐电株式会社 多层印刷布线板及其制造方法
JP4448607B2 (ja) 2000-09-21 2010-04-14 株式会社ユポ・コーポレーション 多層樹脂延伸フィルム
US6451647B1 (en) * 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US6955992B2 (en) * 2003-09-30 2005-10-18 Sharp Laboratories Of America, Inc. One mask PT/PCMO/PT stack etching process for RRAM applications
US20050081781A1 (en) * 2003-10-17 2005-04-21 Taiwan Semiconductor Manufacturing Co. Fully dry, Si recess free process for removing high k dielectric layer
US20060019451A1 (en) * 2004-07-22 2006-01-26 Jeng-Huey Hwang Method for patterning hfo2-containing dielectric
US20070056925A1 (en) * 2005-09-09 2007-03-15 Lam Research Corporation Selective etch of films with high dielectric constant with H2 addition
EP1780779A3 (en) * 2005-10-28 2008-06-11 Interuniversitair Microelektronica Centrum ( Imec) A plasma for patterning advanced gate stacks
US8722547B2 (en) * 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries
US8183161B2 (en) * 2006-09-12 2012-05-22 Tokyo Electron Limited Method and system for dry etching a hafnium containing material
KR20080076173A (ko) * 2007-02-15 2008-08-20 삼성전자주식회사 금속 산화막 패턴 형성 방법 및 이를 이용한 반도체 소자의형성 방법
US7820552B2 (en) * 2007-03-13 2010-10-26 International Business Machines Corporation Advanced high-k gate stack patterning and structure containing a patterned high-k gate stack
JP2009021584A (ja) * 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
JP2009076711A (ja) * 2007-09-21 2009-04-09 Hitachi High-Technologies Corp 半導体装置の製造方法
KR101566029B1 (ko) * 2008-04-10 2015-11-05 램 리써치 코포레이션 High-k 유전체 재료의 선택적 에칭
US8304349B2 (en) * 2008-08-18 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method to integrate gate etching as all-in-one process for high K metal gate
JP2010206050A (ja) 2009-03-05 2010-09-16 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011100822A (ja) * 2009-11-05 2011-05-19 Hitachi High-Technologies Corp 半導体素子加工方法
CN102214563B (zh) * 2010-04-09 2013-03-13 中国科学院微电子研究所 一种金属栅极/高k栅介质叠层结构的制备和成形方法
US8664125B2 (en) * 2011-12-23 2014-03-04 Tokyo Electron Limited Highly selective spacer etch process with reduced sidewall spacer slimming
US9171736B2 (en) * 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties

Also Published As

Publication number Publication date
JP2015191922A (ja) 2015-11-02
US20150279697A1 (en) 2015-10-01
US9343331B2 (en) 2016-05-17

Similar Documents

Publication Publication Date Title
JP6163446B2 (ja) 半導体装置の製造方法
US9721807B2 (en) Cyclic spacer etching process with improved profile control
TWI579892B (zh) 用以形成具有多膜層的間隔壁之蝕刻方法
US20160307772A1 (en) Spacer formation process with flat top profile
TWI374520B (en) Method of fabricating non-volatile memory device having charge trapping layer
TWI815325B (zh) 3d nand蝕刻
US11515400B2 (en) Semiconductor structure and fabrication method thereof
CN108155192B (zh) 半导体器件及其形成方法
CN107204339B (zh) 隔离结构的形成方法和半导体结构的形成方法
CN108206131A (zh) 半导体结构以及半导体结构的形成方法
CN106960875B (zh) 半导体装置及其制造方法
KR102496547B1 (ko) 반도체 디바이스 제조 방법
TWI607573B (zh) 半導體結構的製造方法
TW201030893A (en) Method for forming isolation layer and method for fabricating nonvolatile memory device using the same
CN105632908B (zh) 半导体结构形成方法
CN107579001A (zh) 半导体器件的形成方法
US9590058B2 (en) Methods and structures for a split gate memory cell structure
TWI656580B (zh) 凹入特徵部中之膜的由下而上沉積方法
CN102054674B (zh) 金属栅电极和金属栅电极的制作方法
JP2009099742A (ja) 半導体装置の製造方法
CN111863614A (zh) 半导体结构及其形成方法
US20080070417A1 (en) Method of etching semiconductor device and method of fabricating semiconductor device using the same
US10811504B2 (en) Semiconductor structure for flash memory cells and method of making same
CN107731919B (zh) 半导体结构及其形成方法
CN111696864A (zh) 半导体器件及其形成方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160218

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161219

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170126

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170523

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170619

R151 Written notification of patent or utility model registration

Ref document number: 6163446

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350