JP6936700B2 - 半導体製造装置及び半導体装置の製造方法 - Google Patents

半導体製造装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP6936700B2
JP6936700B2 JP2017209918A JP2017209918A JP6936700B2 JP 6936700 B2 JP6936700 B2 JP 6936700B2 JP 2017209918 A JP2017209918 A JP 2017209918A JP 2017209918 A JP2017209918 A JP 2017209918A JP 6936700 B2 JP6936700 B2 JP 6936700B2
Authority
JP
Japan
Prior art keywords
gas
complex
insulating film
dielectric constant
high dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017209918A
Other languages
English (en)
Other versions
JP2019083265A (ja
Inventor
山口 欣秀
欣秀 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Tech Corp filed Critical Hitachi High Tech Corp
Priority to JP2017209918A priority Critical patent/JP6936700B2/ja
Priority to KR1020180019180A priority patent/KR102029119B1/ko
Priority to TW107106307A priority patent/TWI662615B/zh
Priority to US15/906,933 priority patent/US20190131120A1/en
Publication of JP2019083265A publication Critical patent/JP2019083265A/ja
Priority to US17/238,416 priority patent/US11515169B2/en
Application granted granted Critical
Publication of JP6936700B2 publication Critical patent/JP6936700B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Description

本発明は、高誘電率絶縁膜を有する半導体装置を製造する方法に関する。
最先端の半導体デバイスに対する小型化、高速・高性能化、省電力化の要求はますます増長し続けており、ゲート絶縁膜薄膜化の進展とともに、シリコン酸化膜(SiO2)やシリコン酸窒化膜(SiON)よりも比誘電率の高い金属酸化膜材料の採用が進んでいる。例えば、Hf(ハフニウム)、Zr(ジルコニア)、Ta(タンタル)、Ti(チタン)、Y(イットリウム)、La(ランタン)および希土類ランタノイドなどの元素を含み、高温でSi(シリコン)との界面が還元されにくい金属酸化物やこれらの金属とケイ素(Si)との複合酸化物などの高誘電率金属酸化膜材料をゲート絶縁膜に適用するための検討が進められている。
しかし、これらの高誘電率絶縁膜材料の微細加工は必ずしも容易ではない。例えばランタン酸化膜(La2O3)の微細加工プロセス、特にフォトリソ工程プロセスのウェット処理で膜質が劣化することが知られており、その問題に対して、さまざまな新しい技術が提案されている。例えば特許文献1では、ゲート絶縁膜の内部に多層構造を導入することによって、ウェット処理工程でランタン膜の劣化を防ぐ技術を提案している。また特許文献2では、BCl3(三塩化ホウ素)を含むガスを用いる反応性イオンエッチング法を用いて難エッチング材料を含む金属酸化物をドライエッチング加工する技術が提案されている。
これら先行文献とは異なるアプローチとして、非特許文献1には、絶縁膜材料の表面をフッ化し、金属フッ化物と有機化合物との間で配位子交換反応によって揮発性の有機金属錯体に変換した後に蒸発、除去させることにより、絶縁膜をエッチング加工する技術が報告されている。
特開2009−252895号公報 特開2015−191922号公報
Steven George, Younghee Lee, Jaime DuMont, Nicholas Johnson and Amy Marquardt "Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions" (Proceedings of 38th International Symposium on Dry Process, November 21-22, 2016, pp15-16)
ウェット処理で微細寸法のパターン加工する場合には、ウェット処理液やリンス液が持つ表面張力によってパターンが倒壊する危険性がある。一方、BCl3などハロゲン系の成分を含むガスを用いたRIE(反応性イオンエッチング:Reactive Ion Etching)技術においては、ドライエッチングであるためパターン倒壊といった課題は回避できるものの、高誘電率絶縁膜材料を構成する金属元素のハロゲン化物の蒸気圧が低いため、十分なエッチング速度を確保することが容易ではなく、さらにシリコン(Si)とのエッチング選択比の点でも改善の必要性がある。
非特許文献1の手法もドライエッチング技術であり、高誘電率(high-k)絶縁膜材料の一つである酸化ハフニウム膜(HfO2)や酸化アルミニウム(Al2O3)のエッチングが可能であることが示されている。しかしながら、非特許文献1からは除去できる材料としてHfO2膜とAl2O3膜とが開示されている一方、それら以外の高誘電率絶縁膜材料のドライエッチングが可能かどうかは明らかになっていない。また、実用的な観点からは、本手法で実現可能とされている高誘電率絶縁膜材料についても、そのエッチング速度は更なる改善が不可欠と見られる。
高誘電率絶縁膜をSiウェハ上に成膜する際には、Siとの界面においてシリケート膜が生成される。このため、高誘電率絶縁膜を有する半導体デバイスの加工には、高誘電率絶縁膜材料とSiとにより形成されるシリケート膜のドライエッチングを実現する必要がある。
発明者はゲート絶縁膜材料のエッチングケミストリを検討することにより、高誘電率絶縁膜を揮発性の有機金属錯体の状態に変換した後に、その有機金属錯体を蒸発あるいは昇華させて除去する熱ドライエッチングする処理を見出して、本発明に至った。ドライエッチングであるためウェット処理液に起因する微細パターン倒壊の不具合が起こらず、また、蒸気圧が高い揮発性金属錯体を使うためエッチングを高速化できる。
第1の発明は、処理室が設けられる容器と、処理室の内部に設けられ、ケイ酸塩を含む高誘電率絶縁膜を有する半導体基板を保持するステージと、処理室に反応性ガスを供給する第1の系統及び処理室に触媒性ガスを供給する第2の系統を有するガス供給ラインとを有し、反応性ガスとして、高誘電率絶縁膜に含まれる金属元素と反応して揮発性の第1の有機金属錯体を形成する錯体化材料ガスと第1の有機金属錯体の安定性を高める錯体安定化材料ガスとを含む混合ガスを供給し、触媒性ガスとして、高誘電率絶縁膜を変性し、第1の有機金属錯体の形成反応を促進する第2の有機金属錯体を原料とする触媒性ガスを供給し、錯体安定化材料ガスの原料物質は、分子骨格内に不対電子を有する元素を2個以上持ち、かつ水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物である半導体製造装置である。
また、第2の発明は、ケイ酸塩を含む高誘電率絶縁膜上に所定のパターン形状を有するマスク層が形成された半導体基板を処理室に載置し、半導体基板の表面に吸着されている気体や異物を脱離させ、触媒性ガスを減圧加熱下で供給し、触媒性ガスの供給停止後、半導体基板を冷却し、半導体基板の温度が所定の温度を下回った状態で、処理室に反応性ガスを供給し、反応性ガスの供給を停止して、処理室内を減圧加熱し、高誘電率絶縁膜に含まれる金属元素と反応して生じる第1の有機金属錯体を気化させて処理室より排気する半導体装置の製造方法である。
高誘電率絶縁膜を選択的・高速にエッチングすることが可能になる。
半導体製造装置(処理部)の概略図である。 半導体製造装置(周辺ユニット含む)の概略図の一例である。 半導体基板の断面図の一例である。 エッチングプロセスにおける半導体基板の表面温度サイクルの一例を模式的に示す図である。 混合エッチングガスと物質との反応率を示す図である。
図1に本実施例の半導体製造装置(処理部)を示す。真空チャンバを構成する容器10を有し、容器10の内部には処理室11が設けられ、処理室11の内部に半導体基板(ウェハ)1を保持するウェハステージ12が設けられている。容器10には真空ライン13とガス供給ライン15が接続されており、真空ライン13には開閉バルブ14が、ガス供給ライン15には開閉バルブ16および開閉バルブ18がそれぞれ設置されている。これにより、真空系統とガス供給系統の制御・調整によって処理室11の内部圧力を制御できるようになっている。また、容器10に設けられたウェハ搬送口20を通して、処理室11外の半導体基板1を処理室11に搬入、あるいは処理室11内部の半導体基板1を処理室11外に搬出する。
図示しないが、半導体製造装置には加熱のためのヒータやハロゲンランプなどの加熱ユニット、冷却のためのチラー配管などの冷却ユニットが設けられ、半導体基板1の表面温度が適正範囲となるように容器10、処理室11、ウェハステージ12の温度が調整される。同様に、半導体デバイスを製造するために使われる各種機能ユニットや各種のセンサー、例えば、プラズマ発生源や外部ネットワーク接続機器、無停電電源、圧力計、温度計、流量計等の設備が必要に応じて設けられている。
ウェハステージ12には、半導体製造装置にてエッチング処理中のウェハを確実に把持するためのウェハチャッキング機構が設けられている。本実施例ではウェハを静電的にチャッキングする静電チャックが適用できる。静電吸着方式の場合、ウェハ表面付近に電場が発生することで処理室内に発生させたプラズマの密度分布に影響が出る場合がある。本実施例のエッチングの原理については後述するが、RIE方式とは異なって絶縁膜加工のためにプラズマ中のイオン種やラジカル種を使用せず、プラズマを発生させるのは例えば、表面の吸着ガスを除去するといった前処理工程に限られる。このため、静電チャックを適用しても絶縁膜の加工精度に影響を及ぼすおそれがない。被エッチング物およびエッチング処理の種類と内容に応じてクーロン力型、グラジエント力型、ジョンセンラーベック型のいずれかを選んで適用する。メカチャックなど、他のチャッキング機構であってもよい。
ガス供給ライン15は2系統に分かれている。第1の系統にはエッチングガスの原料となる薬液30を収容する薬液タンク31と、薬液30を気化させるための気化器32とが接続されている。第2の系統には触媒性ガスの原料となる薬液40を収容する薬液タンク41と、薬液40を気化させるための気化器42とが接続されている。薬液30はウェハ1上に形成された高誘電率絶縁膜を揮発性の有機金属錯体へと変換するための成分である錯体化材料ガスと、揮発性の有機金属錯体の安定性を高めるための成分である錯体安定化材料ガスとを含む混合エッチングガスを生成するための原料混合液である。この薬液30を気化器32に送り込んで、上述した複数の機能性ガス成分を混合含有する反応性ガス(以下、混合エッチングガス)を生成させる。薬液30は、少なくとも、錯体化材料ガスの原料物質と錯体安定化材料ガスの原料物質とを含んでいる。薬液40は高誘電率絶縁膜、特に、高誘電率絶縁膜に含まれるシリケート層を揮発性の有機金属錯体へと変換する変換反応を促進する触媒性ガスを生成するための触媒原料薬液である。この薬液40を気化器42に送り込んで触媒性ガスを生成させる。薬液40は、少なくとも、触媒性ガスを生成するための触媒原料物質を含んでいる。
本実施例ではガス種の原料を混合した混合薬液30を単一の気化器32に導入し、複数成分を同時にガス化させて混合エッチングガスを生成し、ガス供給ライン15を通じて処理室11に導入する。気化器32の構造としては例えばバブリング方式を適用でき、簡単な構成の気化器を用いることにより、半導体製造装置の低コスト化、設置面積の最小化を図ることができる。バブリング方式の他にも、ダイレクトインジェクション方式、超音波霧化方式、あるいはこれらとの併用など、公知の気化器を用いることができる。
一方、触媒原料薬液40から得られる触媒性ガスは、錯体化材料ガスや錯体安定化材料ガスとは気化条件が異なるため、錯体化材料や錯体安定化を気化するための気化器32とは別に設けた気化器42を使用して気化させる。触媒原料薬液40は、錯体化材料や錯体安定化材料の反応性を高める性質を持つ物質である。錯体化材料や錯体安定化材料の反応性を適正に制御するためにも、原料物質を含む薬液30と触媒原料薬液40とを混合して単一の気化器で気化させるのは避けることが望ましい。このため、図1の構成では触媒原料薬液40の薬液タンク41と錯体化材料ガスや錯体安定化材料ガスの原料物質の薬液タンク31とを別個に設けることにより、触媒原料薬液40と、錯体化材料ガスおよび錯体安定化材料ガスの原料物質を含む薬液30とが混合されることを避けている。気化器32および気化器42の運転条件としては、温度制御のみならず、圧力制御、または圧力制御と温度制御との組み合わせも可能である。気化器32および気化器42から処理室までの配管内壁には、錯体化材料ガス、錯体安定化材料ガス、触媒性ガスなどとの反応を抑制するために必要な表面処理等が施されており、配管系等にはこれら各種ガスの再付着や結露防止のための保温や加熱、付着物を除去するための洗浄等の機構が必要に応じて設けられる。
ガス供給ライン15には、図示していないが、混合エッチングガス、触媒性ガスを供給する系統のほかに混合エッチングガス、触媒性ガスの供給濃度を調整するためのキャリアガス供給系統も接続されており、必要に応じて、処理室11内に供給される混合エッチングガス、触媒性ガスの濃度を0〜100%の範囲で調節できる。キャリアガスとしては、窒素やアルゴンのような一般的な不活性ガスを用いればよい。
また、図1の構成では混合エッチングガスを生成する1系統のエッチングガス供給系統を例示しているが、製造される半導体デバイスの構造の複雑化、エッチングされる高誘電率絶縁膜材料の多様化・複層化を考慮し、ガス供給ライン15にエッチングガスを供給する複数系統の気化器が接続されるようになっていてもよい。さらに、複数系統の気化器を設ける場合、それぞれの薬液タンクに充填される薬液は、複数の原料液体の混合薬液であっても、単一の原料薬液であってもよい。
(1)複数系統の気化器のうち、それぞれ、または少なくとも1系統の気化器においては単一の原料薬液を気化させることにより、混合エッチングガスの混合比を容易に調整することができる。例えば、第1系統の気化器ではガスAとガスBの第1の混合エッチングガスを発生させ、第2系統の気化器ではガスCのエッチングガスを発生させるものとし、第1の混合エッチングガスが第1の高誘電率絶縁膜材料に作用し、第1の混合エッチングガスにさらにガスCを混合した第2の混合エッチングガスが第2の高誘電率絶縁膜材料(第1の高誘電率絶縁膜材料とは異なる元素組成)に作用するものである場合、半導体デバイスの第1の高誘電率絶縁膜層をエッチングする場合には第1系統の気化器を使用し、第2の高誘電率絶縁膜層をエッチングする場合には第1系統及び第2系統の気化器を併用することで、半導体デバイスに含まれる複数の材料の異なる高誘電率絶縁膜層を容易にエッチングすることができる。
(2)複数系統の気化器によりそれぞれ混合薬液を気化させる場合、例えば、第1系統の気化器ではガスAとガスBの第1の混合エッチングガスを発生させ、第2系統の気化器ではガスCとガスBの第3の混合エッチングガスを発生させることが可能になる。この場合、第1の混合エッチングガス及び第3の混合エッチングガスがともに同じ高誘電率絶縁膜材料に作用するものであれば、半導体デバイスの所定の高誘電率絶縁膜層のエッチングに第1系統及び第2系統の気化器を併用することが考えられる。さらに、第1の混合エッチングガスが第1の高誘電率絶縁膜材料に作用し、第3の混合エッチングガスが第2の高誘電率絶縁膜材料(第1の高誘電率絶縁膜材料とは異なる元素組成)に作用するものである場合、半導体デバイスの第1の高誘電率絶縁膜層をエッチングする場合には第1系統の気化器を使用し、第2の高誘電率絶縁膜層をエッチングする場合には第2系統の気化器を切り替え使用することで、半導体デバイスに含まれる複数の材料の異なる高誘電率絶縁膜層を容易にエッチングすることができる。
真空ライン13には、未反応のまま排出された混合エッチングガスやエッチング処理によって生成した化合物(揮発性有機金属錯体)を環境に漏洩させないために、コールドトラップ70が設けられている。コールドトラップ70は、容器10と開閉バルブ14との間、開閉バルブ14と真空ポンプ17との間、真空ポンプ17の排気系の少なくとも1箇所に設置し、処理室11からキャリアガスと共に排出された未反応のエッチングガス、触媒性ガスやエッチング処理によって生成した化合物(揮発性有機金属錯体)を冷却回収する。図2は、開閉バルブ14と真空ポンプ17との間に第1のコールドトラップ70a、真空ポンプ17の排気系に第2のコールドトラップ70bを設けた装置構成例であり、説明簡略化のために、ガス供給ライン15には最も単純な構造である1系統ガス供給経路の要素部のみを示している。真空ポンプ17の排気系は最終的に排ガス除害設備71に接続され、コールドトラップ70で回収できなかった余剰のエッチングガス、触媒性ガスや揮発性有機金属錯体等を吸着材に吸着回収させることなどによって除去する。図2では真空ポンプ17の排気系にコールドトラップ70bと排ガス除害設備71とを直列に接続した配置例を示しているが、この配置例に限定されるものではない。
コールドトラップ70および/または排ガス除害設備71によって回収した化学物質を有効利用するため、分別回収装置72にて回収した化学物質をそのまま、あるいは必要に応じて分解して、そこから有価物を分別回収する。具体的には、未反応のまま排出された混合エッチングガス、触媒性ガスやエッチング処理によって生成した化合物(揮発性有機金属錯体等)を、分別回収装置72において酸処理液ボトル73から供給される酸処理液と混合して反応させる。コールドトラップ70、排ガス除害設備71によって回収した化学物質を適切な条件下で酸処理液と反応させることによって、その一部が酸分解され、その中から、混合薬液30中に含まれている原料液体aや原料液体bを分離再生できる。分離再生された原料液体a,bはそれぞれ回収ボトル74,75に回収される。
分別回収装置72にて使用される酸処理液は、沸点>200℃の非水系極性溶媒に実質的に不揮発性の酸性物質を溶解させて得られる液体であることが望ましい。ここで使用する不揮発性の酸性物質はその酸性度を示す指標である酸乖離定数pKaが3以下となる物質であることが望ましい(pKaの値が小さいほど酸性が強い)。酸処理液によって回収した混合エッチングガスや化合物を酸分解できるか否かは原料液体aや原料液体bなどの種類とその反応性に依存する。本実施例に好適な原料物質については後述するが、酸性物質のpKaが3以下であれば、これらの原料物質を酸分解することができる。逆に使用する原料物質に応じて酸性物質を選択するのであれば、原料物質に応じた、より低い酸性度の酸性物質を使用することになる。
分別回収装置72は、混合エッチングガス、触媒性ガスやエッチング処理によって生成した揮発性有機金属錯体等を酸分解し、錯体化材料ガスの原料物質、錯体安定化材料ガスの原料物質、触媒性ガスの原料物質、等を再生して、これらが非水系溶媒に溶けた溶液の形で回収する。さらに、分留器を備えた蒸留装置を使って、錯体化材料ガスの原料物質、錯体安定化材料ガスの原料物質、触媒性ガスの原料物質、等を溶質として含む非水系溶媒の溶液を蒸留操作することにより、これらの物質を分別回収する。この分別蒸留操作をできるだけ簡易に実施するために、非水系溶媒や酸性物質として低沸点物質を使わない。また、揮発性の酸性物質である酢酸や塩酸などを使えば、比較的簡単な分留装置、例えば理論段数20段以下の分留装置では分離が不十分となるおそれがある。このように、低沸点非水系溶媒や低沸点酸性物質を使った場合には、簡単な分別蒸留操作だけでは非水系溶媒や酸性物質からの分離が不十分となって、そのまま再利用することが難しい、あるいは再利用に一定の制約が生じる。また、非水系溶媒の代わりに水系溶媒あるいはアルコール等を使用すると、再生した錯体化材料ガスの原料物質、錯体安定化材料ガスの原料物質、触媒性ガスの原料物質、等が水系溶媒あるいはアルコール等と反応して、回収量が少なくなってしまうおそれがある。このため、酸処理液の溶媒としては非水系溶媒を用いることが望ましい。
200℃超の沸点を有する非水系極性溶媒の具体例は、テトラメチレンスルホン(スルホラン)、ジメチルイミダゾリジノン、トリグライムなどである。また、pKa<3の酸性物質の具体例は、トルエンスルホン酸、メタンスルホン酸、リン酸などである。これに対して、塩酸や硝酸、ギ酸など揮発性の酸は、上述した通り分留工程が複雑になるという課題がある。
なお、原料物質を回収して再利用するには、不純物が混在しない状態で化学物質を回収することが望ましい。この観点から、真空ポンプ17の排気系に真空ポンプ由来の不純物が混入するおそれがある場合には、真空ポンプ17の前段に設置したコールドトラップ70aで回収される化学物質のみを原料物質の回収対象とし、それ以外は廃棄するように構成してもよい。加えて、コールドトラップ70aを真空ポンプ17の前段に配置することで真空ポンプ17に化学物質が混入するのを抑止する効果もある。真空ポンプ17の排気系に設置されたコールドトラップ70bや排ガス除害設備71によって回収した化学物質を廃棄する場合には、非水系溶媒ではなく水系溶媒あるいはアルコールを使用することは差し支えない。
次に、図1または図2の半導体製造装置により実施される半導体製造方法について説明する。半導体製造装置での処理は制御装置100により制御される。
まず、容器10に設けられたウェハ搬送口20を通して、処理室11内に置かれたウェハステージ12上の所望位置に半導体基板(ウェハ)1が図示されないウェハ搬送装置により搬入される。搬入された半導体基板1はウェハステージ12の把持力によって吸着固定される。半導体基板1上には、高誘電率絶縁膜および所望箇所に開口部パターンを有するレジスト膜あるいはハードマスク膜などが既に成膜された状態となっている。図3に半導体基板(ウェハ)1の断面図の一例を示す。シリコン(Si)基板2上に形成された酸化シリコン(SiO2)膜3の上面に高誘電率絶縁膜4が形成されており、さらに高誘電率絶縁膜4上に所望の電極パターン形状を形成するために必要なハードマスク5が形成されている。高誘電率絶縁膜材料として酸化ランタン(La2O3)を用いる場合、高誘電率絶縁膜4には、酸化シリコン膜3との界面付近に酸化ランタンと酸化シリコンとが複合化した物質であるケイ酸ランタン(LaSixOy)が形成される。
ケイ酸ランタンは、公知のスパッタ法、PVD(物理的気相成長:Physical Vapor Deposition)法、ALD(原子層堆積:Atomic Layer Deposition)法、CVD(化学的気相成長:Chemical Vapor Deposition)法などを用いて酸化ランタン膜を酸化シリコン膜の上に積層成膜し、その後に500〜1000℃程度の熱処理を行なって酸化ランタンとシリコン膜の界面から相互拡散されることによって、容易に形成成膜できる。酸化シリコン膜の膜質、酸化ランタン膜の成膜条件や膜厚、熱処理による相互拡散の温度や時間などにより、酸化ランタンと酸化シリコンの相対的な混合比が異なるケイ酸ランタンが形成され、適切な条件下では、混合比が異なる膜が積層されてなる積層構造体となる。一例を示せば、酸化シリコンに近い領域では酸化ランタン(La2O3)と酸化シリコン(SiO2)の混合比がLa2O3:SiO2=5:6、酸化シリコンからの距離が遠い領域では酸化ランタン(La2O3)と酸化シリコン(SiO2)の混合比がLa2O3:SiO2=2:1、両者の中間付近の領域では酸化ランタン(La2O3)と酸化シリコン(SiO2)の混合比がLa2O3:SiO2=1:1となるような複雑で多様な積層構造を有するケイ酸ランタン膜(LaSixOy)を得ることができる。半導体の用途や求められる信頼性に応じて、所望の層組成を備えた積層構造膜となるように成膜法や成膜条件、熱処理条件等が選択される。あるいは、所望組成のケイ酸ランタン材、例えばLa2Si2O7をターゲット材としたスパッタ成膜により、所望組成のケイ酸ランタン膜を形成し、その上に必要に応じて酸化ランタン(La2O3)膜を形成して高誘電率絶縁膜4としても良い。
このように複雑で多様な積層構造を有するシリケート膜を所望形状となるように加工するためには、被加工膜の組成変化に対する尤度が高いエッチング技術が必要である。このため、被加工膜の表面が錯体化材料ガスと反応しやすい状態に変性する処理をあらかじめ実施した後に、錯体化材料ガスや錯体安定化材料ガスと反応させるという手順にて処理をおこなう。具体的には、錯体化材料ガスの作用によって被加工膜の表面が有機金属錯体へ変換される反応を促進する機能を有する触媒性物質をあらかじめ被加工膜の表面に作用させる。
触媒性物質の作用については後述するが、被加工膜の材質に応じて原料物質の種類や量を選定し、温度条件や減圧条件を調整することにより、被加工膜表面変性の程度を適宜に調整することによって、ケイ酸ランタン(ランタンシリケート)以外の高誘電率絶縁膜材料、例えば、酸化ランタン、酸化ハフニウム、ランタンハフニウムシリケート、ハフニウムシリケートなど多種類の高誘電率絶縁膜材料のドライエッチングに対応できる。シリケート層のエッチングのための触媒性物質の存在により、高誘電率絶縁材料層のエッチングが阻害されることはない。なお、被加工膜となる高誘電率絶縁膜の材料は、製造するデバイス仕様・特性に合致するように選択され、例えば、Y、Zr、La、Hf、Taなどの、元素の周期表で第5周期およびそれ以後に分類される金属元素を含む酸化物である。
高誘電率絶縁膜4の上部にはハードマスク膜5、フォトレジスト膜を順次成膜し、フォトリソ技術等を使ってフォトレジスト膜に所望パターンを転写し、そのレジストパターンをマスクとしてハードマスク5を加工して高誘電率絶縁膜4の一部を露出させる。図3はその後に残ったレジストパターン形状断面の例を示している。なお、半導体基板(ウェハ)1に形成される層構成は図3の例示に限定されるものではない。例えば、高誘電率絶縁膜4の下層に形成されている絶縁層が窒化シリコン(SiN)膜やタングステン(W)膜などであってもよい。本実施例の半導体製造装置は、その露出した部分6を選択エッチングによって除去する。この選択エッチングの際に、以下に説明するような非プラズマ的なドライエッチング技術を適用する。図4に本実施例のエッチングプロセスにおける半導体基板表面の温度サイクルのうちの1サイクル分を模式的に示す。なお、図4は本実施例のエッチングプロセスにおける温度制御のポイントを理解しやすく図示することを意図したものであり、実際に生じる温度、温度勾配や必要な制御時間は被エッチング材、錯体化材の種類、半導体デバイスの構造・層構成、等に依存するので図4に表示された温度サイクルとは細かい点で異なる場合がある。
半導体基板1をウェハステージ12上に固定した後、容器10および処理室11の内部を減圧し、減圧に保ったまま半導体基板1を加熱して、その表面に吸着されている気体(水蒸気など)や異物を脱離させる(期間(a))。容器10あるいは処理室11に設置されている圧力計の指し示す表示に基づいて半導体基板1の表面に吸着されているガス成分の脱離がほぼ終了したことを確認した後に、減圧状態を保ったまま半導体基板1の加熱を停止して冷却を開始する(期間(b))。減圧や加熱・冷却には公知の手段を使うことができる。後述するようにこの後の一連の処理の中で昇温や放熱(降温)の処理を多数回実施するので、急速加熱や急速冷却に適した加熱・冷却機構が好ましい。例えば、ハロゲンランプやキセノンランプなどのランプ式の加熱機構と半導体基板1をウェハステージ12から持ち上げるプッシャーピン機構とを組み合せて半導体基板1の表面温度が迅速に所望の温度に到達するように制御することが望ましい。なお、ランプ式加熱を行なう場合には、エッチング中に発生する有機金属錯体の光に対する挙動を評価してランプ式加熱光源の波長を選択する必要がある。すなわち、触媒性ガスや、高誘電率絶縁膜4が触媒性ガス、錯体化材料ガス、錯体安定化材料ガスと反応して生じる有機金属錯体は光照射によって分解する場合がある。このため、有機金属錯体の光に対する分解耐性を事前に評価して照射波長を選択する。有機金属錯体は配位子−中心金属間電子移動スペクトルと呼ばれる有機金属錯体に特有の吸光挙動を示すので、この波長帯域周辺の光を照射しないようにする。一方、有機金属錯体は特定の波長帯域の光を効率的に吸収して熱に変換する特性もあるので、その波長帯域の光を出射する光源を使えば急速加熱を行なうことができる。一般的には配位子−中心金属間電子移動スペクトルは350nm以下の波長帯域であることが多いので、ランプ式加熱を行なう場合には350nm以下の波長帯域の光を遮断することが望ましい。一方、一般的に有機金属錯体は2〜10μm範囲の赤外光の吸収効率が高い。このため、例えば、イエローカットフィルタを設置して400nm以下のいわゆる紫外光を含まない光を出射するようにしたハロゲンランプを光源として用いることができる。
なお、期間(a)の加熱は表面に吸着されている気体や異物を脱離させるものであるので、減圧下加熱以外の公知の方法、例えば、プラズマクリーニング等を適用することも可能である。また、それらの方法を加熱処理と併用してもよいし、できるだけ圧力を下げて、できれば高真空状態で行なうことによって、気体や異物の脱離に要する時間を短縮できる。
半導体基板1が温度T(以下、混合エッチングガス導入上限温度Tという)まで下がる前に、減圧度を保ったままの状態で、気化器42で気化された触媒性ガスを、ガス供給ライン15を通じて供給する。この触媒性ガスは先に述べたように、ケイ酸ランタンなどの高誘電率絶縁膜を揮発性の有機金属錯体へと変換する変換反応を促進する機能を有する材料ガスである。温度Tまで下がる前に触媒性ガスを処理室11内に供給し、半導体基板1表面の高誘電率絶縁膜(ケイ酸ランタン膜)の表面に作用させて、この後に導入される錯体化材料ガスや錯体安定化材料ガスと反応しやすい状態に変性する。触媒性ガスがケイ酸ランタン膜表面を変性させる好ましい温度範囲は150〜250℃、更に好ましくは200〜250℃であるため、この温度範囲内で所定量の触媒性ガスを供給することが望ましく、必要に応じて、所望の減圧度を保てる範囲の少量のキャリアガスで混合希釈して送り込んでも良い。
所定量の触媒性ガスを供給した後、半導体基板1が温度Tを下回るまでの間に、処理室11内に残存している余剰の触媒性ガスを排気する。キャリアガスを活用すると余剰触媒性ガスを短時間で排除できるうえ、基板冷却までの時間を短縮できる。半導体基板1が温度Tまで冷えた後、気化器42からガス供給ライン15に繋がる開閉バルブ18を閉じ、気化器32からガス供給ライン15に繋がる開閉バルブ16を開け、錯体化材料ガスと錯体安定化材料ガスの混合エッチングガスを処理室11内に供給する。錯体化材料ガスと錯体安定化材料ガスの混合エッチングガスの場合も、キャリアガスで適度な濃度に希釈して供給することによって、ガス配管系へのガス吸着等の不具合を抑制して、スムーズなガス供給を実現できる(期間(c))。
ここで、半導体基板1の温度が所定のガス導入上限温度Tを上回った状態で処理室11内へ錯体化材料ガスと錯体安定化材料ガスの混合エッチングガスを導入してしまった場合には、混合エッチングガス供給口に近く、従ってガス濃度が高い箇所にあるケイ酸ランタン膜は急速に膜減りする一方、エッチングガス供給口から遠い箇所、あるいは深穴底など、ガス濃度が低い箇所にあるケイ酸ランタンの膜減りはあまり進まないという不具合が生じやすくなる傾向がある。こうした不具合の発生を最小限に抑えるために、半導体基板1の温度が所定のガス導入上限温度Tを下回るまで待った後に、混合エッチングガスを処理室11内に導入する。
ガス導入上限温度Tは、ウェハ1の寸法、ウェハの材質、高誘電率絶縁膜の膜構造・膜組成、混合エッチングガスの組成、レジスト膜またはハードマスク膜の膜厚や開口部寸法など、多くの因子の影響を受ける。このため、加工する半導体デバイスごとに、事前にガス導入上限温度Tを調べて設定しておく必要がある。
混合エッチングガスが高誘電率絶縁膜の表面に物理吸着した状態の半導体基板1は、半導体基板1内で最高温度の場所でも200℃を越えないように保ちながら徐速加熱によって緩やかに昇温させて、所定温度Tの近傍の温度領域で一定時間保持した(期間(d))後に、ガス供給ライン15を通じてキャリアガスのみ(混合エッチングガス濃度がゼロ)を供給しながら最高温度500℃まで急速昇温する(期間(e))。その間は、ガス供給ライン15を通して供給される混合キャリアガスの供給速度と真空ライン13を通して排気される排気速度のバランスを調節して、適度な減圧状態を保持する。
混合エッチングガスの成分である錯体化材料ガスの分子および錯体安定化材料ガスの分子は、徐速加熱の過程で、半導体基板1の表面に物理吸着された状態を保ったままホッピング拡散されて、半導体基板1の表面の吸着密度(単位表面積あたりの吸着量)が均一化される。期間(d)においては、基板表面の錯体化材料ガスの分子および錯体安定化材料ガスの分子の吸着密度が均一状態に到達するよりも前に物理吸着状態から化学吸着状態に遷移しないように、温度、時間、圧力などの処理条件を制御する必要があり、製造する半導体デバイス毎に処理条件の最適化が行なわれる。
なお、期間(d)を設けた目的は錯体化材料ガスの分子および錯体安定化材料ガスの分子が半導体基板1の表面に吸着する密度を均一化するためであるので、混合エッチングガス導入期間(期間(c))において加工精度に十分な均一化が実現されるのであれば期間(d)は不要とすることもできる。あるいは徐速加熱のみとしてもよい。
徐速加熱によって吸着密度の面内均一化が達成された後に、混合エッチングガスの濃度がゼロのキャリアガスのみを供給して余剰の混合エッチングガスを排除した後、キャリアガスを流しながら急速加熱昇温する(期間(e))。急速加熱昇温の最初期過程では、エッチングガス内に含まれている錯体化材料ガスの分子および錯体安定化材料ガスの分子と、半導体基板1の高誘電率絶縁膜4の最表分子層との間で化学反応が生じる。この化学反応では、錯体化材料ガスの分子および錯体安定化材料ガスの分子が高誘電率絶縁膜4と反応して、高誘電率絶縁膜4に含まれる金属元素を含む揮発性の有機金属錯体の分子に変換される。高誘電率絶縁膜に含まれる金属元素と高誘電率絶縁膜4に物理吸着状態で吸着していた錯体化材料ガスの分子および錯体安定化材料ガスの分子との反応は両者の界面で進行し、高誘電率絶縁膜4の最表面の1層が有機金属錯体に変換される。高誘電率絶縁膜4の最表面の1層が有機金属錯体に変換されると、生成された有機金属錯体が錯体化材料ガスの分子と高誘電率絶縁膜4との直接的な接触を妨げることにより、有機金属錯体がさらに生成してその膜厚を増やす反応は抑制される。したがって、有機金属錯体の膜厚が高誘電率絶縁膜4の最表面の1層を有機金属錯体に変換して生じる膜厚に相当する膜厚に到達すると、高誘電率絶縁膜4が有機金属錯体に変換される反応は実質的に停止する。
急速加熱昇温の最も初期の過程で高誘電率絶縁膜4の最表面層が有機金属錯体に変換され、その後、半導体基板1の温度がさらに上昇して混合エッチングガスの成分である錯体化材料ガス、錯体安定化材料ガスそれぞれの沸点近傍に到達すると、半導体基板1表面に物理吸着している錯体化材料ガスの分子、錯体安定化材料ガスの分子が物理吸着状態を維持できなくなって半導体基板1表面から脱離し始め、キャリアガス流に押し流されて半導体基板1の表面から除去される。物理吸着状態となっている錯体化材料ガス、錯体安定化材料ガスの除去が進んでいる間は、錯体化材料ガス、錯体安定化材料ガスの蒸発潜熱が奪われるので、半導体基板1の表面温度は実質的にはそれほど上昇しない(温度T、T)。有機金属錯体は錯体化材料ガス、錯体安定化材料ガスと比べて高沸点であるため、この時点では有機金属錯体が脱離することはない。
半導体基板1表面に物理吸着状態となっていた錯体化材料ガスの分子、錯体安定化材料ガスの分子が除去しつくされると半導体基板1の表面温度は急上昇するが、その温度が有機金属錯体の揮発点(沸点もしくは昇華点)付近に到達すると、半導体基板1表面の高誘電率絶縁膜4から有機金属錯体の分子が脱離し始める。このとき、有機金属錯体の分子内部に錯体安定化材料ガスに由来する成分が含まれているので、有機金属錯体は分解することなく高誘電率絶縁膜4の表面から脱離・揮発し、キャリアガス流に乗って押し流されて半導体基板1の表面から除去される。図4の例では高誘電率絶縁膜4から変換される有機金属錯体を完全除去するために最高到達温度500℃まで急速昇温させたが、高誘電率絶縁膜の種類およびそこから変換される有機金属錯体の組成に応じて、最高到達温度は適宜に調整することが望ましい。なお、有機金属錯体の脱離時の温度変化は化学結合切断反応による発熱と蒸発潜熱による吸収とのバランスで決まるので、実際には図4のように比較的単純な温度変化を示すとは限らない。
この一連の工程により、高誘電率絶縁膜4の最表面層が有機金属錯体に変換された後、有機金属錯体が半導体基板1の表面から除去され、高誘電率絶縁膜4の最表面層の1層分の厚みだけ薄くなった状態の高誘電率絶縁膜4が再露出する。
この後、半導体基板1がガス導入上限温度Tまで下がる前に再度、触媒性ガスを導入し、半導体基板1がガス導入上限温度Tを下回るまで下がった(期間(f))後に、期間(c)〜期間(e)の処理、すなわち、混合エッチングガスの導入、徐速加熱による基板面内均一化、有機金属錯体の生成、キャリアガスによる非吸着ガスの排除、減圧急速加熱下での余剰物理吸着した混合エッチングガスの排出、有機金属錯体の揮発除去、といった一連の処理を所望のエッチング深さに到達するまで繰り返すことにより、高誘電率絶縁膜4の等方性ドライリムーブが完了する。
混合エッチングガスに含まれている錯体化材料ガスは特定の酸化物あるいは特定のハロゲン化物、あるいはガス供給ライン15を通じて供給された触媒性ガスの作用によって変性された絶縁膜(例えば、変性されたケイ酸ランタン)のみと反応し、それ以外の組成を有する物質、例えば窒化物とは反応しないので、窒化物に対する酸化物のエッチング選択比は高く保たれる。例えば、窒化チタン(TiN)膜に対して高いエッチング選択比が発現される。
なお、混合エッチングガス内に含まれる錯体化材料ガスと錯体安定化材料ガスの組成比、供給濃度、供給時間、供給時の半導体基板1の温度、混合エッチングガスを供給後に昇温するまでの時間などの諸条件の詳細は、半導体基板1に成膜されている高誘電率絶縁膜4の材料や厚み、デバイスの微細構造などによって適宜調整する必要がある。一般的な傾向として、キャリアガスと一緒に供給される混合エッチングガスは、キャリアガスに対する混合エッチングガスの濃度が高いほどエッチング速度が速くなりやすい。そのため、深穴トレンチや高アスペクトパターンのエッチング加工では、供給する混合エッチングガスの成分組成や供給濃度を徐々に変化させることが望ましい場合がある。例えば、初期では混合エッチングガスを低濃度で供給し、徐々に濃度を上げて、最終的には濃度100%の混合エッチングガスを供給する。このように、混合エッチングガスの成分組成、供給濃度、供給時間、基板温度の影響を調べてから適正な処理条件を決定する。
次に、高誘電率絶縁膜を揮発性の有機金属錯体へと変換するための成分である錯体化材料ガスの原料物質、揮発性の有機金属錯体の安定性を高めるための成分である錯体安定化材料ガスの原料物質、揮発性の有機金属錯体へと変換する変換反応を促進する機能を有する触媒性ガスの原料物質について説明する。
図1において、錯体化材料ガスと錯体安定化材料ガスとの混合エッチングガスは、ガス供給ライン15に設けられている薬液タンク31内に充填されている薬液30を気化器32によって気化させて生成させる旨を説明した。また、薬液30は錯体化材料ガスと錯体安定化材料ガスという少なくとも2種類のガス状成分物質を生成させるための原料であり、錯体化材料ガスの原料物質と錯体安定化材料ガスの原料物質を含む混合液であった。
薬液30を気化する工程の操作性・作業効率の観点から、錯体化材料ガスの原料物質および錯体安定化材料ガスの原料物質として、いずれも1気圧での沸点がおおむね200℃を大きく越えない材料の中から選定する。
錯体化材料ガスの原料物質は、遷移金属原子に対して少なくとも2座以上の配位結合を形成し得る有機化合物、いわゆる多座配位子分子である。好ましくは、ジケトンやケトエステル(2つのC=O結合を含む)、ケトイミン(C=O結合及びC=N結合を含む)等である。好ましいジケトンについて、具体的に物質名を例示すると、アセチルアセトン、トリフルオロアセチルアセトン、トリフルオロフェニルブタジオン、ヘキサフルオロアセチルアセトン、ジピバロイルメタン、テノイルトリフルオロアセトン、トリフルオロフリルブタジオン、ジメチルヘプタフルオロオクタジオンなどがある。これ以外に、例えばヒドロキシメチルピリジン、フェナントロリン、キノリノールなどの2座配位子でも適用できる場合がある。なお、フッ素原子を含まないジケトン、例えばアセチルアセトン(別名:2, 5−ペンタンジオン)よりもフッ素原子を3個以上含むジケトン、例えば、トリフルオロアセチルアセトン、トリフルオロフェニルブタジオン、ヘキサフルオロアセチルアセトン、テノイルトリフルオロアセトン、トリフルオロフリルブタジオン、ジメチルヘプタフルオロオクタジオンが好ましい。フッ素原子を含まないアセチルアセトンは、錯体化反応の活性が低く錯体形成反応が遅いのに対し、フッ素原子を含むジケトンは、錯体化反応の活性が高く、錯体形成反応が迅速に進行するため、図4に示したような複数の処理を繰り返す温度サイクル処理への適用は実用性の観点から優れている。フッ素原子を含むジケトンから生成する有機金属錯体は安定性が劣る場合があるものの、本実施の形態でのプロセスにおいては、錯体安定化材料を併用することによって、有機金属錯体の不安定性を抑制することができる。
錯体安定化材料ガスの原料物質はその分子骨格内に酸素原子、窒素原子、硫黄原子などの不対電子を有する元素を2個以上持ち、望ましくは水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物である。錯体安定化材料ガスの原料物質は好ましくはエーテル類である。エーテル類は先に挙げた錯体化材料ガスの原料物質と化学反応を起こさないという観点からも錯体化材料ガスの原料物質として適している。このような物質の一部について具体的に物質名を例示すると、ジメトキシエタン、ジエチレングリコールジメチルエーテル、プロピレングリコールジメチルエーテルなどの直鎖エーテル、テトラヒドロフラン、12−クラウン−4、ジアザ−12−クラウン−4、トリアザシクロノナンなどの環状エーテルや環状アミンの他、アジポニトリル、スクシノニトリルなどが挙げられる。
触媒性ガスの原料物質は有機金属錯体触媒を用いることができ、典型的には金属元素として鉄族元素(第4周期第8族元素)を含む有機金属錯体である。具体例として、コバルト(Co)アセチルアセトナート錯体が挙げられる。触媒性ガスの原料物質は、気化器42にて減圧気化させて触媒性ガスを生じせしめ、ガス供給ライン15を経由して処理室内に供給される。
錯体化材料ガスの原料物質としてヘキサフルオロアセチルアセトン(液状ジケトン化合物)、錯体安定化材料ガスの原料物質として事前に脱水処理をしたジエチレングリコールジメチルエーテル(液状直鎖エーテル化合物)、触媒性ガスとして、Coアセチルアセトナート錯体を用いた場合、シリコン(Si)、窒化チタン膜(TiN)などの窒化膜とは反応せず、3A族金属であるランタン(La)を含むケイ酸塩(ケイ酸ランタン)は錯体化した後に蒸発除去された。一方、触媒性ガスを使用しない条件では3A族金属ケイ酸塩は反応性を示さず、従って、除去は認められなかった。その結果の一部を図5に示す。反応率は反応前の物質の質量に対して、混合エッチングガスと反応・揮散した当該物質の質量の比として求めている。これにより、本実施例の混合エッチングガスが、触媒性ガスにより変性されたケイ酸塩を含む高誘電率絶縁膜材料と選択的に反応して揮発性有機金属錯体を生成し、減圧加熱下で選択的に除去できることを確認した。
3A族金属ケイ酸塩を触媒性ガスなしに除去できないのは、ケイ酸塩に含まれるSi−O結合の安定性が高いためである。Coアセチルアセトナート錯体の触媒作用は以下のように想定される。Coアセチルアセトナート錯体とケイ酸塩とが共存することにより、一定の確率でアセチルアセトナトイオンの酸素(O)とケイ酸塩中の酸素(O)とが置換されて、Coアセチルアセトナート錯体のケイ酸塩への挿入反応が生じると考えられる。これにより、Si−O結合が弱められ、3A族金属ケイ酸塩を除去できる。この想定される触媒作用からは、触媒性ガスに用いる有機金属錯体は安定であり、すぐに分解されるものであってはならないといえる。また、La−O結合よりも強い結合力を有する必要があるが、その後の混合エッチングガスのプロセスにより除去できなくなるほどケイ酸塩と強度に結合するものであってもならない。良好な性質を示したCoと性質の近い鉄族元素は好ましい性質を示すと考えられる。また、同様の理由から、本実施例では、反応性は低くなるとしても安定性の高い、フッ素原子を含まないアセチルアセトナート錯体を用いた。
なお、錯体安定化材料ガスなしに、高誘電率絶縁膜材料と錯体化材料ガスとを反応させると、有機金属錯体を高誘電率絶縁膜材料の表面から脱離・揮発する過程で残渣が発生する不具合が生じた。この残渣は有機金属錯体が分解して生じる炭素系の不純物であった。したがって、図5に示した徐速加熱の過程では(期間(d))では、錯体化材料ガスだけではなく、錯体安定化材料ガスの吸着濃度も半導体基板1の面内で均一化されるように条件を設定する必要がある。触媒性ガスについても同様の配慮が必要である。
1:半導体基板(ウェハ)、10:容器、11:処理室、12:ウェハステージ、13:真空ライン、14:開閉バルブ(真空系)、15:ガス供給ライン、16:開閉バルブ(ガス供給系)、17:真空ポンプ、18:開閉バルブ(ガス供給系)、20:ウェハ搬送口、30:薬液、31:薬液タンク、32:気化器、40:薬液、41:薬液タンク、42:気化器、70:コールドトラップ。

Claims (15)

  1. 処理室が設けられる容器と、
    前記処理室の内部に設けられ、ケイ酸塩を含む高誘電率絶縁膜を有する半導体基板を保持するステージと、
    前記処理室に反応性ガスを供給する第1の系統及び前記処理室に触媒性ガスを供給する第2の系統を有するガス供給ラインとを有し、
    前記反応性ガスとして、前記高誘電率絶縁膜に含まれる金属元素と反応して揮発性の第1の有機金属錯体を形成する錯体化材料ガスと前記第1の有機金属錯体の安定性を高める錯体安定化材料ガスとを含む混合ガスを供給し、
    前記触媒性ガスとして、前記高誘電率絶縁膜を変性し、前記第1の有機金属錯体の形成反応を促進する第2の有機金属錯体を原料とする触媒性ガスを供給し、
    前記錯体安定化材料ガスの原料物質は、分子骨格内に不対電子を有する元素を2個以上持ち、かつ水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物である半導体製造装置。
  2. 請求項1において、
    前記高誘電率絶縁膜に含まれる金属元素は、周期表において第5周期およびそれ以後に分類される金属元素であり、
    前記高誘電率絶縁膜は前記金属元素のケイ酸塩を含む半導体製造装置。
  3. 請求項1において、
    前記高誘電率絶縁膜に含まれる金属元素は、希土類元素であり、
    前記高誘電率絶縁膜は前記希土類元素のケイ酸塩を含む半導体製造装置。
  4. 請求項1において、
    前記第1の系統は第1の気化器を有し、
    前記第2の系統は第2の気化器を有し、
    前記第1の気化器は、前記錯体化材料ガスの原料薬液と前記錯体安定化材料ガスの原料薬液との混合薬液を所定の温度、圧力条件下で気化させ、
    前記第2の気化器は、前記第2の有機金属錯体を原料とする原料薬液を所定の温度、圧力条件下で気化させる半導体製造装置。
  5. ケイ酸塩を含む高誘電率絶縁膜上に所定のパターン形状を有するマスク層が形成された半導体基板を処理室に載置し、
    前記半導体基板の表面に吸着されている気体や異物を脱離させ、
    触媒性ガスを減圧加熱下で供給し、
    前記触媒性ガスの供給停止後、前記半導体基板を冷却し、前記半導体基板の温度が所定の温度を下回った状態で、前記処理室に反応性ガスを供給し、
    前記反応性ガスの供給を停止して、前記処理室内を減圧加熱し、
    前記高誘電率絶縁膜に含まれる金属元素と反応して生じる第1の有機金属錯体を気化させて前記処理室より排気する半導体装置の製造方法。
  6. 請求項5において、
    前記触媒性ガスは、前記高誘電率絶縁膜を変性し、前記第1の有機金属錯体の形成反応を促進する第2の有機金属錯体を原料とする触媒性ガスであり、
    前記反応性ガスは、前記高誘電率絶縁膜に含まれる金属元素と反応して前記第1の有機金属錯体を形成する錯体化材料ガスと前記第1の有機金属錯体の安定性を高める錯体安定化材料ガスとを含む混合ガスであり、
    前記錯体安定化材料ガスの原料物質は、分子骨格内に不対電子を有する元素を2個以上持ち、かつ水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物である半導体装置の製造方法。
  7. 請求項5において、
    前記高誘電率絶縁膜に含まれる金属元素は、周期表において第5周期およびそれ以後に分類される金属元素であり、
    前記高誘電率絶縁膜は前記金属元素のケイ酸塩を含む半導体装置の製造方法。
  8. 請求項5において、
    前記高誘電率絶縁膜に含まれる金属元素は、希土類元素であり、
    前記高誘電率絶縁膜は前記希土類元素のケイ酸塩を含む半導体装置の製造方法。
  9. 請求項6において、
    前記錯体化材料ガスの原料物質は、遷移金属原子に対して少なくとも2座以上の配位結合を形成し得る有機化合物、いわゆる多座配位子分子である半導体装置の製造方法。
  10. 請求項9において、
    前記錯体化材料ガスの原料物質は、フッ素原子を含むジケトン類である半導体装置の製造方法。
  11. 請求項6において、
    前記錯体安定化材料ガスの原料物質である有機化合物は、前記不対電子を有する元素として、酸素原子、窒素原子または硫黄原子を持つ半導体装置の製造方法。
  12. 請求項11において、
    前記錯体安定化材料ガスの原料物質は、エーテル類である半導体装置の製造方法。
  13. 請求項6において、
    前記第2の有機金属錯体は鉄族元素を含む有機金属錯体である半導体装置の製造方法。
  14. 請求項13において、
    前記第2の有機金属錯体はコバルトを含む有機金属錯体である半導体装置の製造方法。
  15. 請求項13において、
    前記第2の有機金属錯体はフッ素原子を含まない有機金属錯体である半導体装置の製造方法。
JP2017209918A 2017-10-31 2017-10-31 半導体製造装置及び半導体装置の製造方法 Active JP6936700B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2017209918A JP6936700B2 (ja) 2017-10-31 2017-10-31 半導体製造装置及び半導体装置の製造方法
KR1020180019180A KR102029119B1 (ko) 2017-10-31 2018-02-19 반도체 제조 장치 및 반도체 장치의 제조 방법
TW107106307A TWI662615B (zh) 2017-10-31 2018-02-26 半導體製造裝置及半導體裝置的製造方法
US15/906,933 US20190131120A1 (en) 2017-10-31 2018-02-27 Semiconductor manufacturing apparatus and method for manufacturing semiconductor device
US17/238,416 US11515169B2 (en) 2017-10-31 2021-04-23 Method of making a semiconductor device including etching of a metal silicate using sequential and cyclic application of reactive gases

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017209918A JP6936700B2 (ja) 2017-10-31 2017-10-31 半導体製造装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2019083265A JP2019083265A (ja) 2019-05-30
JP6936700B2 true JP6936700B2 (ja) 2021-09-22

Family

ID=66243195

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017209918A Active JP6936700B2 (ja) 2017-10-31 2017-10-31 半導体製造装置及び半導体装置の製造方法

Country Status (4)

Country Link
US (2) US20190131120A1 (ja)
JP (1) JP6936700B2 (ja)
KR (1) KR102029119B1 (ja)
TW (1) TWI662615B (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
US11037779B2 (en) * 2017-12-19 2021-06-15 Micron Technology, Inc. Gas residue removal
WO2019226341A1 (en) * 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
GB2579360A (en) * 2018-11-28 2020-06-24 Edwards Ltd Multiple chamber vacuum exhaust system
WO2020165990A1 (ja) 2019-02-14 2020-08-20 株式会社日立ハイテクノロジーズ 半導体製造装置
JP7321052B2 (ja) * 2019-10-17 2023-08-04 東京エレクトロン株式会社 基板処理装置および装置洗浄方法
WO2021192210A1 (ja) * 2020-03-27 2021-09-30 株式会社日立ハイテク 半導体製造方法
KR102616521B1 (ko) * 2020-10-08 2023-12-27 세메스 주식회사 기판 처리 장치, 처리액 공급 장치 및 처리액 공급 방법
JP7307175B2 (ja) * 2020-12-10 2023-07-11 株式会社日立ハイテク 半導体製造方法

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02194626A (ja) * 1989-01-24 1990-08-01 Sony Corp 薄膜トランジスタの製造方法
JP2704705B2 (ja) * 1994-05-23 1998-01-26 株式会社トリケミカル研究所 化学気相成長方法に用いられる溶液
DE19833448C2 (de) * 1998-07-24 2003-07-17 Infineon Technologies Ag Verfahren zur Reinigung von CVD-Anlagen
JP2001284330A (ja) * 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置の製造方法、及び製造装置
TW592819B (en) * 2001-05-18 2004-06-21 Kevin Dale Allen One-step production of 1,3-propanediol from ethylene oxide and syngas with a cobalt-iron catalyst
JP2003082464A (ja) * 2001-09-10 2003-03-19 Mitsubishi Electric Corp 化学気相成長法用液体原料、化学気相成長法による膜形成方法、および、化学気相成長装置
JP2004091829A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd エッチング方法及びエッチング装置
JP2006501651A (ja) * 2002-09-27 2006-01-12 東京エレクトロン株式会社 High−k誘電材料をエッチングするための方法及びシステム
US7723235B2 (en) * 2004-09-17 2010-05-25 Renesas Technology Corp. Method for smoothing a resist pattern prior to etching a layer using the resist pattern
US7723245B2 (en) * 2004-11-29 2010-05-25 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, and substrate processing apparatus
JP5080009B2 (ja) 2005-03-22 2012-11-21 日立ビアメカニクス株式会社 露光方法
JP5259125B2 (ja) * 2006-08-24 2013-08-07 富士通セミコンダクター株式会社 基板処理方法、半導体装置の製造方法、基板処理装置および記録媒体
JP5145743B2 (ja) * 2007-03-27 2013-02-20 富士通セミコンダクター株式会社 半導体装置の製造方法
US20080254218A1 (en) * 2007-04-16 2008-10-16 Air Products And Chemicals, Inc. Metal Precursor Solutions For Chemical Vapor Deposition
JP5194737B2 (ja) * 2007-11-20 2013-05-08 富士通セミコンダクター株式会社 成膜方法、成膜装置及び半導体装置の製造方法
JP2009252895A (ja) 2008-04-03 2009-10-29 Toshiba Corp 半導体装置及びその製造方法
US8809195B2 (en) * 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6163446B2 (ja) 2014-03-27 2017-07-12 株式会社東芝 半導体装置の製造方法
JP2017122202A (ja) * 2016-01-08 2017-07-13 積水化学工業株式会社 二液混合系接着剤
WO2017213842A2 (en) * 2016-05-23 2017-12-14 The Regents Of The University Of Colorado, A Body Corporate Enhancement of thermal atomic layer etching
JP6817752B2 (ja) * 2016-09-09 2021-01-20 株式会社日立ハイテク エッチング方法およびエッチング装置
WO2018106955A1 (en) * 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
JP6980406B2 (ja) * 2017-04-25 2021-12-15 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
JP2019083265A (ja) 2019-05-30
TWI662615B (zh) 2019-06-11
KR102029119B1 (ko) 2019-10-07
KR20190049343A (ko) 2019-05-09
US20210335622A1 (en) 2021-10-28
TW201919126A (zh) 2019-05-16
US20190131120A1 (en) 2019-05-02
US11515169B2 (en) 2022-11-29

Similar Documents

Publication Publication Date Title
JP6936700B2 (ja) 半導体製造装置及び半導体装置の製造方法
JP6980406B2 (ja) 半導体製造装置及び半導体装置の製造方法
KR102403102B1 (ko) 반도체 처리 장치
KR102208442B1 (ko) 반응 챔버 패시베이션 및 금속성 막들의 선택적 퇴적
KR102168494B1 (ko) 금속성 막들의 선택적 퇴적
US20180073136A1 (en) Selective deposition
US8956971B2 (en) Selective formation of metallic films on metallic surfaces
TWI584372B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a recording medium
US20130113085A1 (en) Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
US20150380296A1 (en) Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
TW201718923A (zh) 含鋁與氮之物質的選擇性沈積
US20130115778A1 (en) Dry Etch Processes
TW201437414A (zh) 用以在敏感基板上沉積薄膜的方法
JP6735408B2 (ja) 酸ハロゲン化物を用いた原子層エッチング
TWI768789B (zh) 半導體製造方法
JP6492178B2 (ja) ジルコニウム含有膜を蒸着するためのジルコニウム含有膜形成組成物
US9257330B2 (en) Ultra-thin structure to protect copper and method of preparation
WO2020105648A1 (ja) 半導体素子中間体、及び半導体素子中間体の製造方法
JP2021057563A (ja) 成膜方法
WO2022015689A1 (en) Selective thermal etching methods of metal or metal-containing materials for semiconductor manufacturing
JP4889376B2 (ja) 脱水方法および脱水装置、ならびに基板処理方法および基板処理装置
CN114616651A (zh) 干式蚀刻方法、半导体器件的制造方法和蚀刻装置
KR20210099127A (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
JP2022090148A (ja) 原子層エッチング法用エッチング材料

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200513

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210803

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210827

R150 Certificate of patent or registration of utility model

Ref document number: 6936700

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150