JP6980406B2 - 半導体製造装置及び半導体装置の製造方法 - Google Patents

半導体製造装置及び半導体装置の製造方法 Download PDF

Info

Publication number
JP6980406B2
JP6980406B2 JP2017085910A JP2017085910A JP6980406B2 JP 6980406 B2 JP6980406 B2 JP 6980406B2 JP 2017085910 A JP2017085910 A JP 2017085910A JP 2017085910 A JP2017085910 A JP 2017085910A JP 6980406 B2 JP6980406 B2 JP 6980406B2
Authority
JP
Japan
Prior art keywords
gas
insulating film
raw material
complex
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017085910A
Other languages
English (en)
Other versions
JP2018186149A (ja
Inventor
欣秀 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Tech Corp filed Critical Hitachi High Tech Corp
Priority to JP2017085910A priority Critical patent/JP6980406B2/ja
Priority to KR1020180019176A priority patent/KR102072479B1/ko
Priority to TW107106310A priority patent/TWI683920B/zh
Priority to US15/904,878 priority patent/US10460953B2/en
Publication of JP2018186149A publication Critical patent/JP2018186149A/ja
Priority to US16/655,497 priority patent/US10910230B2/en
Application granted granted Critical
Publication of JP6980406B2 publication Critical patent/JP6980406B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate

Description

本発明は、高誘電率(high-k)絶縁膜を有する半導体装置を製造する半導体製造装置、及び半導体装置の製造方法に関する。
最先端の半導体デバイスに対する小型化、高速・高性能化、省電力化の要求はますます増長し続けており、ゲート絶縁膜薄膜化の進展とともに、シリコン酸化膜(SiO2)やシリコン酸窒化膜(SiON)よりも比誘電率の高い金属酸化膜材料の採用が進んでいる。例えば、Hf(ハフニウム)、Zr(ジルコニア)、Ta(タンタル)、Ti(チタン)、Y(イットリウム)、La(ランタン)および希土類ランタノイドなどの元素を含み、高温でSi(シリコン)との界面が還元されにくい金属酸化物やこれらの金属とケイ素(Si)との複合酸化物などの高誘電率金属酸化膜材料をゲート絶縁膜に適用するための検討が進められている。
しかし、これらの高誘電率絶縁膜材料の微細加工は必ずしも容易ではない。例えばランタン酸化膜(La2O3)の微細加工プロセス、特にフォトリソ工程プロセスのウェット処理で膜質が劣化することが知られており、その問題に対して、さまざまな新しい技術が提案されている。例えば特許文献1では、ゲート絶縁膜の内部に多層構造を導入することによって、ウェット処理工程でランタン膜の劣化を防ぐ技術を提案している。また特許文献2では、BCl3(三塩化ホウ素)を含むガスを用いる反応性イオンエッチング法を用いて難エッチング材料を含む金属酸化物をドライエッチング加工する技術が提案されている。
これら先行文献とは異なるアプローチとして、非特許文献1には、絶縁膜材料の表面をフッ化し、金属フッ化物と有機化合物との間で配位子交換反応によって揮発性の有機金属錯体に変換した後に蒸発、除去させることにより、絶縁膜をエッチング加工する技術が報告されている。
特開2009−252895号公報 特開2015−191922号公報
Steven George, Younghee Lee, Jaime DuMont, Nicholas Johnson and Amy Marquardt "Thermal Atomic Layer Etching Using Sequential, Self-Limiting Fluorination and Ligand-Exchange Reactions" (Proceedings of 38th International Symposium on Dry Process, November 21-22, 2016, pp15-16)
ウェット処理で微細寸法のパターン加工する場合には、ウェット処理液やリンス液が持つ表面張力によってパターンが倒壊する危険性がある。一方、BCl3などハロゲン系の成分を含むガスを用いたRIE(反応性イオンエッチング:Reactive Ion Etching)技術においては、ドライエッチングであるためパターン倒壊といった課題は回避できるものの、高誘電率絶縁膜材料を構成する金属元素のハロゲン化物の蒸気圧が低いため、十分なエッチング速度を確保することが容易ではなく、さらにシリコン(Si)とのエッチング選択比の点でも改善の必要性がある。
非特許文献1の手法もドライエッチング技術であり、高誘電率絶縁膜材料の一つである酸化ハフニウム膜(HfO2)のエッチングが可能であることが示されている。しかしながら、実用上は、さらに高速にエッチング可能な高誘電率絶縁膜材料に対するドライエッチング技術が求められる。
発明者はゲート絶縁膜材料のエッチングケミストリを検討することにより、高誘電率絶縁膜を揮発性の有機金属錯体の状態に変換してから蒸発あるいは昇華させて除去する熱ドライエッチングする処理を見出して、本発明に至った。ドライエッチングであるためウェット処理液に起因する微細パターン倒壊の不具合が起こらず、また、蒸気圧が高い揮発性金属錯体を使うためエッチングを高速化できる。
第1の発明は、処理室が設けられる容器と、処理室の内部に設けられ、半導体基板を保持するステージと、半導体基板を加熱する加熱ユニットと、処理室に反応性ガスを供給するガス供給ラインと、処理室に連通して配置され、半導体基板の処理中に処理室内部を排気する真空ラインとを有し、半導体基板は高誘電率絶縁膜を有し、反応性ガス、高誘電率絶縁膜に含まれる金属元素と反応して揮発性の有機金属錯体を形成するための錯体化材料ガスと、原料物質が分子骨格内に不対電子を有する元素を2個以上有し、かつ水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物であって、有機金属錯体の安定性を高める錯体安定化材料ガスとを含む混合ガスであり、半導体基板が所定の温度より低い温度にされた状態で、処理室内部にガス供給ラインから反応性ガスが供給され、加熱ユニットは、処理室内部に反応性ガスが供給された後に、有機金属錯体が脱離する温度以上に半導体基板を加熱する半導体製造装置である。
また、第2の発明は、高誘電率絶縁膜上に所定のパターン形状を有するマスク層が形成された半導体基板を処理室に置し、処理室に連通する真空ラインにより処理室内部を排気させた状態で、半導体基板の表面に吸着されている気体や異物を脱離させ、半導体基板の温度が所定の温度より低い温度にされた状態で、処理室内部に反応性ガスを供給し、処理室内部に反応性ガスが供給された後に、半導体基板を加熱し、高誘電率絶縁膜に含まれる金属元素と反応して生じる有機金属錯体を気化させて処理室より排気し、反応性ガスは、高誘電率絶縁膜に含まれる金属元素と反応して有機金属錯体を形成するための錯体化材料ガスと、原料物質が分子骨格内に不対電子を有する元素を2個以上有し、かつ水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物であって、有機金属錯体の安定性を高める錯体安定化材料ガスとを含む混合ガスである半導体装置の製造方法である。
高誘電率絶縁膜を選択的・高速にエッチングすることが可能になる。
半導体製造装置(処理部)の概略図である。 気化器の動作原理を説明するための図である。 半導体製造装置(周辺ユニット含む)の概略図である。 半導体基板の断面図の一例である。 エッチングプロセスにおける半導体基板の表面温度サイクルの一例を模式的に示す図である。 錯体安定化材料ガスの材料物質の一例である。 錯体安定化材料ガスの作用を説明するための図である。 混合エッチングガスと物質との反応率を示す図である。
図1に本実施例の半導体製造装置(処理部)を示す。真空チャンバを構成する容器10を有し、容器10の内部には処理室11が設けられ、処理室11の内部に半導体基板(ウェハ)1を保持するウェハステージ12が設けられている。容器10には真空ライン13とガス供給ライン15とが接続されており、この真空ライン13とガス供給ライン15には、それぞれ開閉バルブ14、開閉バルブ16が設置されている。これにより、真空系統とガス供給系統の制御・調整によって処理室11の内部圧力を制御できるようになっている。また、容器10に設けられたウェハ搬送口20を通して、処理室11外の半導体基板1を処理室11に搬入、あるいは処理室11内部の半導体基板1を処理室11外に搬出する。
図示しないが、半導体製造装置には加熱のためのヒータやハロゲンランプなどの加熱ユニット、冷却のためのチラー配管などの冷却ユニットが設けられ、半導体基板1の表面温度が適正範囲となるように容器10、処理室11、ウェハステージ12の温度が調整される。同様に、半導体デバイスを製造するために使われる各種機能ユニットや各種のセンサー、例えば、プラズマ発生源や外部ネットワーク接続機器、無停電電源、圧力計、温度計、流量計等の設備が必要に応じて設けられている。
ウェハステージ12には、半導体製造装置にてエッチング処理中のウェハを確実に把持するためのウェハチャッキング機構が設けられている。本実施例ではウェハを静電的にチャッキングする静電チャックが適用できる。静電吸着方式の場合、ウェハ表面付近に電場が発生することで処理室内に発生させたプラズマの密度分布に影響が出る場合がある。本実施例のエッチングの原理については後述するが、RIE方式とは異なって絶縁膜加工のためにプラズマ中のイオン種やラジカル種を使用せず、プラズマを発生させるのは例えば、表面の吸着ガスを除去するといった前処理工程に限られる。このため、静電チャックを適用しても絶縁膜の加工精度に影響を及ぼすおそれがない。被エッチング物およびエッチング処理の種類と内容に応じてクーロン力型、グラジエント力型、ジョンセンラーベック型のいずれかを選んで適用する。メカチャックなど、他のチャキング機構であってもよい。
ガス供給ライン15には、エッチングガスの原料となる薬液30を収容する薬液タンク31および薬液30を気化させるための気化器32が接続されている。薬液30は、ウェハ1上に形成された高誘電率(high-k)絶縁膜を揮発性の有機金属錯体へと変換するための成分である錯体化材料ガスと揮発性の有機金属錯体の安定性を高めるための成分である錯体安定化材料ガスとを含む混合エッチングガスを生成するための原料混合液である。この薬液30を気化器32に送り込んで、上述した複数の機能性ガス成分を混合含有する反応性ガス(以下、混合エッチングガス)を生成させる。薬液30は、少なくとも、錯体化材料ガスの原料物質と錯体安定化材料ガスの原料物質とを含んでいる。
本実施例ではガス種の原料を混合した混合薬液30を単一の気化器32に導入し、複数成分を同時にガス化させて混合エッチングガスを生成し、単一のガス供給ライン15を通じて処理室11に導入する。理由は後述するが、気化器32の構造としては例えばバブリング方式を適用することができる。簡単な構成の気化器を用いることにより、半導体製造装置の低コスト化、設置面積の最小化を図ることができる。バブリング方式の他にも、ダイレクトインジェクション方式、超音波霧化方式、あるいはこれらとの併用など、公知の気化器を用いることができる。
錯体化材料ガスおよび錯体安定化材料ガスの組合せと、気化器32の運転条件とを適切に選択することにより、混合エッチングガスの供給を単純な構成のガス供給ライン15で実現することができる。図2を用いて、単一化された気化器32の動作原理を説明する。図2の横軸は温度(℃)、縦軸は相対的蒸気圧(対数表記)であり、ガスAの原料物質a、ガスBの原料物質b、ガスCの原料物質cそれぞれについて、各温度における相対的蒸気圧を示している。また、ガスA及びガスCが錯体化材料ガスであり、ガスBが錯体安定化材料ガスである。図2より、ガスAの原料液体aの相対的蒸気圧とガスBの原料液体bの相対的蒸気圧との比は、20℃では1:13、50℃では1:5、80℃では1:4となることが読み取れる。つまり、原料液体aと原料液体bとの混合液を気化器32に充填し、気化器32の運転温度を20〜80℃の間で調整することにより、気化器32から発生する混合ガス中に含まれるガスAとガスBとの混合比を1:13〜1:4の範囲で調整できる。また、ガスAに代えて、ガスAと類似の特性を発現するガスCの原料液体cと原料液体bとの混合物を含む薬液30を用いれば、例えば80℃の運転条件下でガスCとガスBの混合比が5:4となる混合エッチングガスを調合できることがわかる。原料として3種類以上の成分を含ませる場合も同様の手法でそれぞれの混合比を制御することができる。
この原理に基づき、ガス化原料種の組合せおよび気化器の運転条件を選択することにより、簡略化された構造のガス供給ライン15であっても所定の混合比、所定の特性を有する混合エッチングガスを得ることができる。なお、気化器の運転条件としては、温度制御のみならず、圧力制御、または圧力制御と温度制御との組み合わせも可能である。
また、複数の原料物質の混合液を薬液30として使用するのみならず、錯体化材料ガスの原料物質に相当する部分構造(機能発現部分構造)と錯体安定化材料ガスの原料物質に相当する部分構造(機能発現部分構造)とを同一の分子の別の箇所に結合させたエッチングガス前駆体物質を薬液30として使うこともできる。そのようなエッチングガス前駆体物質を気化器で気化させることにより、エッチングガス前駆体物質から錯体化材料ガスと錯体安定化材料ガスとが同期的に放出され、錯体化材料ガスと錯体安定化材料ガスとを含む混合エッチングガスが得られる。このようなエッチングガス前駆体物質を使用する場合は、前駆体の化学構造により、発生される錯体化材料ガスと錯体安定化材料ガスの混合比を正確に制御することができる。その一方で、一般に物質の分子量が大きくなると蒸気圧は低下するため、前駆体物質の分子量は小さい方が望ましい。
なお、混合薬液30を使用する場合には、薬液タンク31に充填されている混合薬液30の組成を連続的にモニタリングし、得られたモニタリング結果に応じて組成調整を行なう混合薬液組成調整ユニット35を備えることが望ましい。図1に示した処理部に加え、その周辺ユニットも含めた半導体製造装置を図3に示す。混合薬液30の組成を連続的にモニタリングするために、各種の非破壊的な薬液濃度計測機器を適用できるが、好ましくは赤外吸光光度計300を用いる。混合薬液30としてガスAの原料液体aとガスBの原料液体bとの混合液を用いる場合を例に説明する。あらかじめガスAの原料液体aとガスBの原料液体bとの混合比率の異なる数種類の混合液について赤外吸光分析を行い、少なくとも2種類の波長における吸光度に基づいて検量線をあらかじめ作成し、組成検出部301に記憶しておく。組成検出部301は、光度計300による混合薬液30の赤外吸光強度の測定結果をその検量線に照らし合わせて、混合薬液30中に含まれるガスAの原料液体aとガスBの原料液体bとの組成比を求める。具体例として、原料液体aは分子内にカルボニル基を有する化学物質であり、原料液体bは分子内にカルボニル基を持たず、エーテル基を有する化学物質である場合を以下に説明する。この場合、赤外分光法によって、カルボニル基の伸縮振動を示す赤外吸光ピークは1700±50cm−1付近、エーテル基の伸縮振動を示す赤外吸光ピークは1000〜1300cm−1付近の領域に現れ、両者は重畳せず、しかも含有濃度に応じてそのピーク強度が変化する。従って、混合薬液30の赤外吸光強度分析によって、1700±50cm−1付近の領域に現れるピーク強度と1000〜1300cm−1付近の領域に現れるピーク強度とは、それぞれカルボニル基を有する原料液体aの混合薬液30中の濃度とエーテル基を有する原料液体bの混合薬液30中の濃度とを反映している。3種類以上の多種類物質の混合液の場合も同様である。
組成検出部301が混合薬液30を組成モニタリングして得られる結果に応じて、組成調整部302は、薬液タンク31内部に残っている薬液30に対する組成調整、すなわち、原料液体aボトル303および/または原料液体bボトル304から不足成分を補給する等の操作を実施する。
なお、図3に示した赤外分光光度計に限られず、薬液タンク31に比重や屈折率などの簡易な分析器を設置し、簡易的に組成モニタリングしてもよい。また、混合薬液30中に不純物や異物が混入していると、それらが気化器32、ガス供給ライン15を経由して処理室11に侵入する危険性がある。このため、混合薬液30中への不純物や異物の混入は避けなければならない。特に、混合薬液30中に水(HO)が混入している場合には、混合エッチングガスのエッチング性能に著しい劣化を引き起こすおそれがあるので、組成検出部301は混合薬液30中に混入する水分量についても厳密にモニタリングすることが望ましい。
ガス供給ライン15には、図示していないが、混合エッチングガスを供給する系統のほかに混合エッチングガスの供給濃度を調整するためのキャリアガス供給系統も接続されており、必要に応じて、処理室11内に供給される混合エッチングガスの濃度は0〜100%の範囲で調節できる。キャリアガスとしては、窒素やアルゴンのような一般的な不活性ガスを用いればよい。
また、製造される半導体デバイスの構造の複雑化、エッチングされる高誘電率(high-k)絶縁膜材料の多様化を考慮し、ガス供給ライン15には複数系統の気化器が接続されるようになっていてもよい。さらに、複数系統の気化器を設ける場合、それぞれの薬液タンク31に充填される薬液30は、複数の原料液体の混合薬液であっても、単一の原料薬液であってもよい。
(1)複数系統の気化器のうち、それぞれ、または少なくとも1系統の気化器においては単一の原料薬液を気化させることにより、混合エッチングガスの混合比を容易に調整することができる。さらに、前述の例でいえば、第1系統の気化器ではガスAとガスBの第1の混合エッチングガスを発生させ、第2系統の気化器ではガスCのエッチングガスを発生させるものとし、第1の混合エッチングガスが第1の高誘電率(high-k)絶縁膜材料に作用し、第1の混合エッチングガスにさらにガスCを混合した第2の混合エッチングガスが第2の高誘電率(high-k)絶縁膜材料(第1の高誘電率(high-k)絶縁膜材料とは、含まれる希土類元素が異なる)に作用するものである場合、半導体デバイスの第1の高誘電率(high-k)絶縁膜層をエッチングする場合には第1系統の気化器を使用し、第2の高誘電率(high-k)絶縁膜層をエッチングする場合には第1系統及び第2系統の気化器を併用することで、半導体デバイスに含まれる複数の材料の異なる高誘電率(high-k)絶縁膜層を容易にエッチングすることができる。
(2)複数系統の気化器によりそれぞれ混合薬液を気化させる場合、例えば、前述の例では第1系統の気化器ではガスAとガスBの第1の混合エッチングガスを発生させ、第2系統の気化器ではガスCとガスBの第3の混合エッチングガスを発生させることが可能になる。この場合、第1の混合エッチングガス及び第3の混合エッチングガスがともに同じ高誘電率(high-k)絶縁膜材料に作用するものであれば、半導体デバイスの所定の高誘電率(high-k)絶縁膜層のエッチングに第1系統及び第2系統の気化器を併用することが考えられる。さらに、第1の混合エッチングガスが第1の高誘電率(high-k)絶縁膜材料に作用し、第3の混合エッチングガスが第2の高誘電率(high-k)絶縁膜材料(第1の高誘電率(high-k)絶縁膜材料とは、含まれる希土類元素が異なる)に作用するものである場合、半導体デバイスの第1の高誘電率(high-k)絶縁膜層をエッチングする場合には第1系統の気化器を使用し、第2の高誘電率(high-k)絶縁膜層をエッチングする場合には第2系統の気化器を切り替え使用することで、半導体デバイスに含まれる複数の材料の異なる高誘電率(high-k)絶縁膜層を容易にエッチングすることができる。
真空ライン13には、未反応のまま排出された混合エッチングガスやエッチング処理によって生成した化合物(揮発性有機金属錯体)を環境に漏洩させないために、コールドトラップ70が設けられている。コールドトラップ70は、容器10と開閉バルブ14との間、開閉バルブ14と真空ポンプ17との間、真空ポンプ17の排気系の少なくとも1箇所に設置し、処理室11からキャリアガスと共に排出された未反応のエッチングガスやエッチング処理によって生成した化合物(揮発性有機金属錯体)を冷却回収する。図1及び図3の例では、開閉バルブ14と真空ポンプ17との間に第1のコールドトラップ70a、真空ポンプ17の排気系に第2のコールドトラップ70bを設けた例を示している。真空ポンプ17の排気系は最終的に排ガス除害設備71に接続され、コールドトラップ70で回収できなかった余剰のエッチングガスや揮発性有機金属錯体等を吸着材に吸着回収させることなどによって除去する。図では真空ポンプ17の排気系にコールドトラップ70bと排ガス除害設備71とを直列に接続した配置例を示しているが、この配置例に限定されるものではない。
コールドトラップ70および/または排ガス除害設備71によって回収した化学物質を有効利用するため、分別回収装置72にて回収した化学物質をそのまま、あるいは必要に応じて分解して、そこから有価物を分別回収する。具体的には、未反応のまま排出された混合エッチングガスやエッチング処理によって生成した化合物(揮発性有機金属錯体等)を、分別回収装置72において酸処理液ボトル73から供給される酸処理液と混合して反応させる。コールドトラップ70、排ガス除害設備71によって回収した化学物質を適切な条件下で酸処理液と反応させることによって、その一部が酸分解され、その中から、混合薬液30中に含まれている原料液体aおよび原料液体bを分離再生できる。分離再生された原料液体a,bはそれぞれ回収ボトル74,75に回収される。
分別回収装置72にて使用される酸処理液は、沸点>200℃の非水系極性溶媒に実質的に不揮発性の酸性物質を溶解させて得られる液体である。酸性物質はその酸性度を示す指標である酸乖離定数pKaが3以下となる物質であることが望ましい(pKaの値が小さいほど酸性が強い)。酸処理液が回収した混合エッチングガスや化合物を酸分解できるか否かは原料物質に依存する。本実施例に好適な原料物質については後述するが、酸性物質のpKaが3以下であれば、これらの原料物質を酸分解することができる。逆に使用する原料物質に応じて酸性物質を選択するのであれば、原料物質に応じた、より低い酸性度の酸性物質を使用することも可能である。
分別回収装置72は、混合エッチングガスやエッチング処理によって生成した揮発性有機金属錯体等を酸分解し、錯体化材料ガスの原料物質および錯体安定化材料ガスの原料物質を再生し、非水系溶媒に溶けた溶液の形で回収する。さらに、分留器を備えた蒸留装置を使って、錯体化材料ガスの原料物質及び錯体安定化材料ガスの原料物質を溶質として含む非水系溶媒の溶液を蒸留操作することにより、錯体化材料ガスの原料物質と錯体安定化材料ガスの原料物質とを分別回収する。この分別蒸留操作をできるだけ簡易に実施するために、非水系溶媒や酸性物質として低沸点物質を使わない。また、揮発性の酸性物質である酢酸や塩酸などを使えば、比較的簡単な分留装置、例えば理論段数20段以下の分留装置では分離が不十分となるおそれがある。このように、低沸点非水系溶媒や低沸点酸性物質を使った場合には、分別蒸留操作だけでは錯体化材料ガスの原料物質および錯体安定化材料ガスの原料物質は非水系溶媒や酸性物質からの分離が不十分となって、そのまま再利用することが難しい、あるいは再利用に一定の制約が生じる。また、非水系溶媒の代わりに水系溶媒あるいはアルコール等を使用すると、再生した錯体化材料ガスの原料物質、錯体安定化材料ガスの原料物質が水系溶媒あるいはアルコール等と反応して、回収量が少なくなってしまうおそれがある。このため、酸処理液の溶媒としては非水系溶媒を用いることが望ましい。
200℃超の沸点を有する非水系極性溶媒の具体例は、テトラメチレンスルホン(スルホラン)、ジメチルイミダゾリジノン、トリグライムなどである。また、pKa<3の酸性物質の具体例は、トルエンスルホン酸、メタンスルホン酸、リン酸などである。これに対して、塩酸や硝酸、ギ酸など揮発性の酸は、上述した通り分留工程が複雑になるという課題がある。
なお、原料物質を回収して再利用するには、不純物が混在しない状態で化学物質を回収することが望ましい。この観点から、真空ポンプ17の排気系に真空ポンプ由来の不純物が混入するおそれがある場合には、真空ポンプ17の前段に設置したコールドトラップ70aで回収される化学物質のみを原料物質の回収対象とし、それ以外は廃棄するように構成してもよい。加えて、コールドトラップ70aを真空ポンプ17の前段に配置することで真空ポンプ17に化学物質が混入するのを抑止する効果もある。真空ポンプ17の排気系に設置されたコールドトラップ70bや排ガス除害設備71によって回収した化学物質を廃棄する場合には、非水系溶媒ではなく水系溶媒あるいはアルコールを使用することは差し支えない。
次に、図1または図3の半導体製造装置により実施される半導体製造方法について説明する。半導体製造装置での処理は制御装置100により制御される。
まず、容器10に設けられたウェハ搬送口20を通して、処理室11内に置かれたウェハステージ12上の所望位置に半導体基板(ウェハ)1が図示されないウェハ搬送装置により搬入される。搬入された半導体基板1はウェハステージ12の把持力によって吸着固定される。半導体基板1上には、高誘電率(high-k)絶縁膜および所望箇所に開口部パターンを有するレジスト膜あるいはハードマスク膜などが既に成膜された状態となっている。図4に半導体基板(ウェハ)1の断面図の一例を示す。シリコン(Si)基板2上に形成された酸化シリコン(SiO2)膜3の上面に高誘電率絶縁膜4が形成されており、さらに高誘電率絶縁膜4上に所望のゲート電極パターン形状を有するハードマスク5が形成されている。高誘電率絶縁膜4は、例えば、酸化ランタン(La2O3)膜あるいは酸化ハフニウム(HfO2)膜である。まず、公知のスパッタ法、PVD(物理的気相成長:Physical Vapor Deposition)法、ALD(原子層堆積:Atomic Layer Deposition)法、CVD(化学的気相成長:Chemical Vapor Deposition)法などにより、酸化ランタン膜あるいは酸化ハフニウム膜を成膜し、その後に所望の膜質を得るために、500〜1000℃程度の熱処理を行なう。酸化ランタン膜や酸化ハフニウム膜を所望のゲート電極パターン形状となるように加工するため、形成した高誘電率絶縁膜4の上部にハードマスク膜5、フォトレジスト膜を順次成膜し、フォトリソ技術を使ってフォトレジスト膜に所望パターンを転写し、そのレジストパターンをマスクとしてハードマスク5を加工して高誘電率絶縁膜4の一部を露出させる。図4はその後残ったレジストパターンを除去した状態を示している。なお、半導体基板(ウェハ)1に形成される半導体層は図4の例示に限定されるものではない。例えば、高誘電率絶縁膜4の下層に形成されている絶縁層が窒化シリコン(SiN)膜であってもよい。本実施例の半導体製造装置は、その露出した部分6を選択エッチングによって除去する。この選択エッチングの際に、以下に説明するような非プラズマ的なドライエッチング技術を適用することを特徴とする。図5に本実施例のエッチングプロセスにおける半導体基板表面の温度サイクルを模式的に示すものである。なお、図5は本実施例のエッチングプロセスにおける温度制御のポイントを理解しやすく図示することを意図したものであり、実際に生じる温度、温度勾配や必要な制御時間は被エッチング材、錯体化材の種類、半導体デバイスの構造等に依存して異なるものになる。
半導体基板1をウェハステージ12上に固定した後、容器10および処理室11の内部を減圧し、減圧に保ったまま半導体基板1を加熱して、その表面に吸着されている気体(水蒸気など)や異物を脱離させる(期間(a))。容器10あるいは処理室11に設置されている圧力計の指し示す表示に基づいて半導体基板1の表面に吸着されているガス成分の脱離がほぼ終了したことを確認した後に、減圧状態を保ったまま半導体基板1の加熱を停止して冷却を開始する(期間(b))。加熱・冷却には公知の手段を使うことができる。ただし、後述するように昇温や放熱(降温)の処理を多数回実施するので、急速加熱や急速冷却に適した加熱・冷却機構が好ましい。例えば、ハロゲンランプやキセノンランプなどのランプ式の加熱機構と半導体基板1をウェハステージ12から持ち上げるプッシャーピン機構とを組み合せて半導体基板1の表面温度が迅速に所望の温度に到達するように制御することが望ましい。なお、ランプ式加熱を行なう場合には、エッチング中に発生する有機金属錯体の光に対する挙動を評価してランプ式加熱光源の波長を選択する必要がある。すなわち、高誘電率絶縁膜4が錯体化材料ガスおよび錯体安定化材料ガスと反応して生じる有機金属錯体は光照射によって分解する場合がある。このため、有機金属錯体の光に対する分解耐性を事前に評価して照射波長を選択する必要がある。高誘電率絶縁膜が錯体化材料ガスおよび錯体安定化材料ガスと反応して生じる有機金属錯体は配位子−中心金属間電子移動スペクトルと呼ばれる有機金属錯体に特有の吸光挙動を示すので、この波長帯域周辺の光を照射しないようにする必要がある。一方、有機金属錯体は特定の波長帯域の光を効率的に吸収して熱に変換する特性もあるので、その波長帯域の光を出射する光源を使えば急速加熱を行なう事ができる。一般的には配位子−中心金属間電子移動スペクトルは350nm以下の波長帯域であることが多いので、ランプ式加熱を行なう場合には350nm以下の波長帯域の光を遮断することが望ましい。一方、一般的に有機金属錯体は2〜10μm範囲の赤外光の吸収効率が高い。このため、例えば、イエローカットフィルタを設置して400nm以下のいわゆる紫外光を含まない光を出射するようにしたハロゲンランプを光源として用いる。
なお、期間(a)の加熱は表面に吸着されている気体や異物を脱離させるものであるので、加熱以外の公知の方法を適用することも可能である。また、それらの方法を加熱処理と併用してもよい。
半導体基板1が温度T(以下、ガス導入上限温度Tという)を下回るまで下がった後に、ガス供給ライン15を通じて、混合エッチングガスをキャリアガスとともに処理室11内に導入して半導体基板1の表面に混合エッチングガスが接触する状態とし、混合エッチングガスに含まれている錯体化材料ガスの分子や錯体安定化材料ガスの分子を半導体基板1の表面に物理吸着させる(期間(c))。
ここで、半導体基板1の温度が所定のガス導入上限温度Tを上回った状態で混合エッチングガスを処理室11内に導入してしまうと、高誘電率絶縁膜4、例えば酸化ランタン膜と混合エッチングガスとの反応が部分的に速やかに進行する。これにより、レジストパターンの裾から横方向にエッチングされるいわゆるサイドエッチングが進んだり、あるいは混合エッチングガスの濃度ムラの影響を受けやすくなったり、あるいは、マイクロローディング効果が強く発現したり、などの不具合が起こりやすくなる傾向がある。また、混合エッチングガスが高誘電率絶縁膜4以外の材料、例えばレジスト材料や他の金属膜、半導体基板1のシリコンあるいは酸化シリコン等と反応して所望の加工形状や所望の特性が得られなくなるおそれもある。こうした不具合の発生を最小限に抑えるために、半導体基板1の温度が所定のガス導入上限温度Tを下回るまで待った後に、混合エッチングガスを処理室11内に導入する。
混合エッチングガスを処理室11内に導入する温度の上限は、ウェハ1の寸法、ウェハの材質、高誘電率絶縁膜の膜構造・膜組成、混合エッチングガスの組成、レジスト膜またはハードマスク膜の膜厚や開口部寸法など、多くの因子の影響を受ける。このため、加工する半導体デバイスごとに、事前にガス導入上限温度Tを調べて設定しておく必要がある。
混合エッチングガスが高誘電率絶縁膜の表面に物理吸着した状態の半導体基板1は、半導体基板1内で最高温度の場所でも200℃を越えないように保ちながら徐速加熱によって緩やかに昇温させて、所定温度Tで一定時間保持した(期間(d))後に、ガス供給ライン15を通じてキャリアガスのみ(混合エッチングガス濃度がゼロ)を供給しながら最高温度500℃まで急速昇温する(期間(e))。その間は、ガス供給ライン15を通して供給される混合キャリアガスの供給速度と真空ライン13を通して排気される排気速度のバランスを調節して、適度な減圧状態を保持する。
混合エッチングガスの成分である錯体化材料ガスの分子および錯体安定化材料ガスの分子は、徐速加熱の過程で、半導体基板1の表面に物理吸着された状態を保ったままホッピング拡散されて、半導体基板1の表面の吸着密度(単位表面積あたりの吸着量)が均一化される。期間(d)においては、基板表面の錯体化材料ガスの分子および錯体安定化材料ガスの分子の吸着密度が均一状態に到達するよりも前に物理吸着状態から化学吸着状態に遷移しないように、温度、時間、圧力などの処理条件を制御する必要があり、製造する半導体デバイス毎に処理条件の最適化が行なわれる。
なお、期間(d)を設けた目的は錯体化材料ガスの分子および錯体安定化材料ガスの分子が半導体基板1の表面に吸着する密度を均一化するためであるので、混合エッチングガス導入期間(期間(c))において加工精度に十分な均一化が実現されるのであれば期間(d)は不要とすることもできる。あるいは徐速加熱のみとしてもよい。
徐速加熱によって吸着密度の面内均一化が達成された後に、混合エッチングガスの濃度がゼロのキャリアガスのみを供給しながら、急速加熱昇温する(期間(e))。急速加熱昇温の最初期過程では、エッチングガス内に含まれている錯体化材料ガスの分子および錯体安定化材料ガスの分子と、半導体基板1の高誘電率絶縁膜4の最表分子層との間で化学反応が生じる。高誘電率絶縁膜4の材料は、製造するデバイス仕様・特性に合致するように選ばれ、例えば、Y、Zr、La、Hf、Taなどの、元素の周期表で第5周期およびそれ以後に分類される金属元素を含む酸化膜である。この化学反応では、錯体化材料ガスの分子および錯体安定化材料ガスの分子が高誘電率絶縁膜4と反応して、高誘電率絶縁膜4に含まれる金属元素を含む揮発性の有機金属錯体の分子に変換される。高誘電率絶縁膜に含まれる金属元素と高誘電率絶縁膜4に物理吸着状態で吸着していた錯体化材料ガスの分子および錯体安定化材料ガスの分子との反応は両者の界面で進行し、高誘電率絶縁膜4の最表面の1層が有機金属錯体に変換される。高誘電率絶縁膜4の最表面の1層が有機金属錯体に変換されると、生成された有機金属錯体が錯体化材料ガスの分子と高誘電率絶縁膜4との直接的な接触を妨げることにより、有機金属錯体がさらに生成してその膜厚を増やす反応は抑制される。したがって、有機金属錯体の膜厚が高誘電率絶縁膜4の最表面の1層を有機金属錯体に変換して生じる膜厚に相当する膜厚に到達すると、高誘電率絶縁膜4が有機金属錯体に変換される反応は実質的に停止する。
急速加熱昇温の最も初期の過程で高誘電率絶縁膜4の最表面層が有機金属錯体に変換され、その後、半導体基板1の温度がさらに上昇して混合エッチングガスの成分である錯体化材料ガス、錯体安定化材料ガスそれぞれの沸点近傍に到達すると、半導体基板1表面に物理吸着している錯体化材料ガスの分子、錯体安定化材料ガスの分子が物理吸着状態を維持できなくなって半導体基板1表面から脱離し始め、キャリアガス流に押し流されて半導体基板1の表面から除去される。物理吸着状態となっている錯体化材料ガス、錯体安定化材料ガスの除去が進んでいる間は、錯体化材料ガス、錯体安定化材料ガスの蒸発潜熱が奪われるので、半導体基板1の表面温度は上昇しない(温度T、T)。有機金属錯体は錯体化材料ガス、錯体安定化材料ガスと比べて高沸点であるため、この時点では有機金属錯体が脱離することはない。
半導体基板1表面に物理吸着状態となっていた錯体化材料ガスの分子、錯体安定化材料ガスの分子が除去しつくされると半導体基板1の表面温度は急上昇するが、その温度が有機金属錯体の揮発点(沸点もしくは昇華点)付近に到達すると、半導体基板1表面の高誘電率絶縁膜4から有機金属錯体の分子が脱離し始める。このとき、有機金属錯体の分子内部に錯体安定化材料ガスに由来する成分が含まれているので、有機金属錯体は分解することなく高誘電率絶縁膜4の表面から脱離・揮発し、キャリアガス流に乗って押し流されて半導体基板1の表面から除去される。図5の例では高誘電率絶縁膜4から変換される有機金属錯体を完全除去するために最高到達温度500℃まで急速昇温させたが、高誘電率絶縁膜の種類およびそこから変換される有機金属錯体の組成に応じて、最高到達温度は適宜に調整することが望ましい。なお、有機金属錯体の脱離時の温度変化は化学結合切断反応による発熱と蒸発潜熱による吸収とのバランスで決まるので、実際には図5のように単純に温度上昇するというものではない。
この一連の工程により、高誘電率絶縁膜4の最表面層が有機金属錯体に変換された後、有機金属錯体が半導体基板1の表面から除去され、高誘電率絶縁膜4の最表面層の1層分の厚みだけ高誘電率絶縁膜4が薄くなった状態の高誘電率絶縁膜4が再露出する。
この後、半導体基板1がガス導入上限温度Tを下回るまで下がった(期間(f))後に、期間(c)〜期間(f)の処理、すなわち、ガス供給ライン15を通じた混合エッチングガスの導入、徐速加熱による基板面内均一化、有機金属錯体の生成、減圧下での急速加熱による余剰分の混合エッチングガスの排出、有機金属錯体の揮発除去、といった一連の処理を所望のエッチング深さに到達するまで繰り返すことにより、高誘電率絶縁膜4の等方性ドライリムーブが完了する。
混合エッチングガスに含まれている錯体化材料ガスは特定の酸化物あるいは特定のハロゲン化物のみと反応し、それ以外の分子構造を有する物質、例えば窒化物とは反応しないので、窒化物に対する酸化物のエッチング選択比は高く保たれる。例えば、半導体デバイスでよく使用される窒化シリコン(SiN)膜や窒化チタン(TiN)膜に対して高いエッチング選択比が発現される。また、混合エッチングガスに含まれている錯体安定化材料ガスは、元素の周期表で第4周期およびそれ以前の金属元素からなる金属錯体に対する錯体安定化効果は高くない。このため、高誘電率絶縁膜4以外の酸化物(例えば、酸化シリコン(SiO2)膜)などから有機金属錯体が生成する場合であっても、その有機金属錯体は揮発性が高くなく、あるいは生成する有機金属錯体が熱分解性を持つために揮発除去が効率的に起こらない。これにより、高誘電率絶縁膜とそれ以外の酸化物膜との間でも高いエッチング選択比が発現する。
なお、混合エッチングガス内に含まれる錯体化材料ガスと錯体安定化材料ガスの組成比、供給濃度、供給時間、供給時の半導体基板1の温度、混合エッチングガスを供給後に昇温するまでの時間などの諸条件の詳細は、半導体基板1に成膜されている高誘電率絶縁膜4の材料や厚み、デバイスの微細構造などによって適宜調整する必要がある。一般的な傾向として、キャリアガスと一緒に供給される混合エッチングガスは、キャリアガスに対する混合エッチングガスの濃度が高いほどエッチング速度が速くなりやすい。そのため、深穴トレンチや高アスペクトパターンのエッチング加工では、供給する混合エッチングガスの成分組成や供給濃度を徐々に変化させることが望ましい。例えば、初期では混合エッチングガスを低濃度で供給し、徐々に濃度を上げて、最終的には濃度100%の混合エッチングガスを供給する。このように、混合エッチングガスの成分組成、供給濃度、供給時間、基板温度の影響を調べてから適正な処理条件を決定する。
上述のようなエッチングメカニズムは、本実施例の半導体製造装置において、バブリング方式のような簡単な構成の気化器を採用することを可能にする。混合エッチングガスにおける錯体化材料ガスと錯体安定化材料ガスとの比率は生成される有機金属錯体の化学構造によって決定でき、かつ錯体化材料ガスと錯体安定化材料ガスとの比率がずれたとしてもエッチング性能に直接的な影響を及ぼさない。また、錯体化材料ガス、錯体安定化材料ガスの材料については後述するが、いずれも常温で液体の有機化合物であり蒸気圧が比較的に高い物質である。このため、従来バブリング方式気化器の課題とされてきた制御精度の限界や供給流量の制限については本実施例の半導体製造装置では大きな問題にはならない。むしろ、半導体製造装置に2種類以上のガスを供給するために従来行われてきたような、各ガス成分について別々の薬液タンクと気化器を設けて個別にガス化し、ガス混合器により特定の組成比となるように混合する方式では、混合するガスの種類が増えるほど、半導体製造装置の大型化、部品点数増加等の課題が顕在化しやすい。その一方でバブリング方式気化器を採用する場合には、気化器の低い制御精度に起因する錯体化材料ガスと錯体安定化材料ガスとの混合比率のずれは原料物質のムダにつながるため、図3に示したように分別回収装置を設置し、余分となった原料物質を回収することが望ましい。
次に、高誘電率絶縁膜を揮発性の有機金属錯体へと変換するための成分である錯体化材料ガスの原料物質と揮発性の有機金属錯体の安定性を高めるための成分である錯体安定化材料ガスの原料物質について説明する。
図1において、錯体化材料ガスと錯体安定化材料ガスとの混合エッチングガスは、ガス供給ライン15に設けられている薬液タンク31内に充填されている薬液30を気化器32によって気化させて生成させる旨を説明した。また、薬液30は錯体化材料ガスと錯体安定化材料ガスという少なくとも2種類のガス状成分物質を生成させるための原料であり、錯体化材料ガスの原料物質と錯体安定化材料ガスの原料物質を含む混合液であった。
薬液30を気化する工程の操作性・作業効率の観点から、錯体化材料ガスの原料物質および錯体安定化材料ガスの原料物質として、いずれも1気圧での沸点がおおむね200℃を大きく越えない材料の中から選定する。
錯体化材料ガスの原料物質は、遷移金属原子に対して少なくとも2座以上の配位結合を形成し得る有機化合物、いわゆる多座配位子分子である。好ましくは、ジケトン類やケトエステル(2つのC=O結合を含む)、ケトイミン(C=O結合及びC=N結合を含む)などがある。具体的に物質名を例示すると、アセチルアセトン、トリフルオロアセチルアセトン、トリフルオロフェニルブタジオン、ヘキサフルオロアセチルアセトン、ジピバロイルメタン、テノイルトリフルオロアセトン、トリフルオロフリルブタジオン、ジメチルヘプタフルオロオクタジオンなどがある。
また、錯体安定化材料ガスの原料物質はその分子骨格内に酸素原子や窒素原子などの不対電子を有する元素を2個以上持ち、望ましくは水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物である。例えば、図6はジメトキシエタンの分子構造である。ジメトキシエタンは水素原子およびフッ素原子を除いて6個の原子51〜56を有している。
図7を用いて錯体安定化材料ガスの作用と効果を説明する。高誘電率絶縁膜中の遷移金属原子(例えばランタン(La)とする)61と錯体化材料62a〜cの配位子とが配位結合し、有機金属錯体が形成される。エッチングガスに錯体安定化材料ガスを含ませることにより、錯体安定化材料63の分子内の不対電子を有する元素(例えば、図7では12−クラウン−4分子内の酸素)が遷移金属と弱く結合することにより、遷移金属原子の配位的不飽和を解消して有機金属錯体の結合安定性を高める。さらに錯体安定化材料は比較的大きな分子断面積を有することにより、その立体障害作用によって酸素分子や水分子などが有機金属錯体の中心核に接近することを妨げる。これらの複合作用によって有機金属錯体の安定性を高めることができる。
錯体化材料ガスの原料物質は好ましくはエーテル類である。エーテル類は先に挙げた錯体化材料ガスの原料物質と化学反応を起こさないという観点からも錯体化材料ガスの原料物質として適している。このような物質の一部について具体的に物質名を例示すると、ジメトキシエタン、ジエチレングリコールジメチルエーテル、プロピレングリコールジメチルエーテルなどの直鎖エーテル、テトラヒドロフラン、12−クラウン−4、ジアザ−12−クラウン−4などの環状エーテル、アジポニトリル、スクシノニトリルなどである。
錯体化材料ガスの原料物質としてヘキサフルオロアセチルアセトン(液状ジケトン化合物)、錯体安定化材料ガスの原料物質として事前に脱水処理をしたジエチレングリコールジメチルエーテル(液状直鎖エーテル化合物)を用いた場合、シリコン酸化膜(SiO2)、シリコン(Si)、ステンレス(SUS)、銅(Cu)、タングステン(W)などの金属、窒化チタン膜(TiN)や窒化シリコン膜(SiN)などの窒化膜、フッ化イットリウム(YF3)などの金属フッ化物とは反応せず、ランタン(La)やイットリウム(Y)の3A族金属を含む金属酸化物(希土類元素を含む金属酸化物)とは高い反応性を示した。その一例を図8に示す。反応率は反応前の物質の質量に対して、混合エッチングガス(錯体化材料ガス:ヘキサフルオロアセチルアセトン、錯体安定化材料ガス:ジエチレングリコールジメチルエーテル)を物質と反応して揮発して失われた当該物質の質量の比として求めている。これにより、本実施例の混合エッチングガスが高誘電率絶縁膜材料と選択的に反応して揮発性有機金属錯体を生成し、減圧加熱下で選択的に除去できることを確認した。
また、錯体安定化材料ガスなしに、高誘電率絶縁膜材料と錯体化材料ガスとを反応させると、有機金属錯体を高誘電率絶縁膜材料の表面から脱離・揮発する過程で残渣が発生する不具合が生じた。この残渣は有機金属錯体が分解して生じる炭素系の不純物であった。したがって、図5に示した徐速加熱の過程では(期間(d))では、錯体化材料ガスだけではなく、錯体安定化材料ガスの吸着濃度も半導体基板1の面内で均一化されるように条件を設定する必要がある。
1:半導体基板(ウェハ)、10:容器、11:処理室、12:ウェハステージ、13:真空ライン、14:開閉バルブ(真空系)、15:ガス供給ライン、16:開閉バルブ(ガス供給系)、17:真空ポンプ、20:ウェハ搬送口、30:薬液、31:薬液タンク、32:気化器、70:コールドトラップ、71:排ガス除害設備。

Claims (15)

  1. 処理室が設けられる容器と、
    前記処理室の内部に設けられ、半導体基板を保持するステージと、
    前記半導体基板を加熱する加熱ユニットと、
    前記処理室に反応性ガスを供給するガス供給ラインと、
    前記処理室に連通して配置され、前記半導体基板の処理中に前記処理室内部を排気する真空ラインとを有し、
    前記半導体基板は高誘電率絶縁膜を有し、
    前記反応性ガス、前記高誘電率絶縁膜に含まれる金属元素と反応して揮発性の有機金属錯体を形成するための錯体化材料ガスと、原料物質が分子骨格内に不対電子を有する元素を2個以上有し、かつ水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物であって、前記有機金属錯体の安定性を高める錯体安定化材料ガスとを含む混合ガスであり、
    前記半導体基板が所定の温度より低い温度にされた状態で、前記処理室内部に前記ガス供給ラインから前記反応性ガスが供給され、前記加熱ユニットは、前記処理室内部に前記反応性ガスが供給された後に、前記有機金属錯体が脱離する温度以上に前記半導体基板を加熱する半導体製造装置。
  2. 請求項1において、
    前記高誘電率絶縁膜に含まれる金属元素は、周期表において第5周期およびそれ以後に分類される金属元素である半導体製造装置。
  3. 請求項1において、
    前記高誘電率絶縁膜に含まれる金属元素は、希土類元素である半導体製造装置。
  4. 請求項1において、
    前記ガス供給ラインに接続される第1の気化器を有し、
    前記第1の気化器は、前記錯体化材料ガスの原料薬液と前記錯体安定化材料ガスの原料薬液との混合薬液を所定の温度、圧力条件下で気化させる半導体製造装置。
  5. 請求項4において、
    前記第1の気化器に接続され、前記混合薬液を充填する薬液タンクと、
    前記薬液タンクに充填されている前記混合薬液の組成をモニタし、前記混合薬液の組成調整を行う混合薬液組成調整ユニットとを有する半導体製造装置。
  6. 請求項4において、
    前記ガス供給ラインに接続される第2の気化器を有し、
    前記第2の気化器は、前記第1の気化器とは異なる原料薬液を所定の温度、圧力条件かで気化させる半導体製造装置。
  7. 請求項1において、
    前記真空ラインに設けられ、前記処理室から排気された前記反応性ガス及び前記有機金属錯体を回収するトラップを有する半導体製造装置。
  8. 請求項7において、
    前記トラップで回収された前記反応性ガス及び前記有機金属錯体を酸処理液と反応させることにより、前記錯体化材料ガスの原料物質と前記錯体安定化材料ガスの原料物質とを再生する分別回収装置を有する半導体製造装置。
  9. 高誘電率絶縁膜上に所定のパターン形状を有するマスク層が形成された半導体基板を処理室に置し、前記処理室に連通する真空ラインにより前記処理室内部を排気させた状態で、
    前記半導体基板の表面に吸着されている気体や異物を脱離させ、
    前記半導体基板の温度が所定の温度より低い温度にされた状態で、前記処理室内部に反応性ガスを供給し、
    前記処理室内部に前記反応性ガスが供給された後に、前記半導体基板を加熱し、
    前記高誘電率絶縁膜に含まれる金属元素と反応して生じる有機金属錯体を気化させて前記処理室より排気し、
    前記反応性ガスは、前記高誘電率絶縁膜に含まれる金属元素と反応して前記有機金属錯体を形成するための錯体化材料ガスと、原料物質が分子骨格内に不対電子を有する元素を2個以上有し、かつ水素原子およびフッ素原子を除いて5個以上の原子を持つ有機化合物であって、前記有機金属錯体の安定性を高める錯体安定化材料ガスとを含む混合ガスである半導体装置の製造方法。
  10. 請求項9において、
    前記高誘電率絶縁膜に含まれる金属元素は、周期表において第5周期およびそれ以後に分類される金属元素である半導体装置の製造方法。
  11. 請求項9において、
    前記高誘電率絶縁膜に含まれる金属元素は、希土類元素である半導体装置の製造方法。
  12. 請求項9において、
    前記錯体化材料ガスの原料物質は、遷移金属原子に対して少なくとも2座以上の配位結合を形成し得る有機化合物、いわゆる多座配位子分子である半導体装置の製造方法。
  13. 請求項12において、
    前記錯体化材料ガスの原料物質は、ジケトン類、ケトエステル、ケトイミンのいずれかを含む半導体装置の製造方法。
  14. 請求項9において、
    前記錯体安定化材料ガスの原料物質は、前記不対電子を有する元素として酸素原子または窒素原子を含む有機化合物である半導体装置の製造方法。
  15. 請求項14において、
    前記錯体安定化材料ガスの原料物質は、エーテル類である半導体装置の製造方法。
JP2017085910A 2017-04-25 2017-04-25 半導体製造装置及び半導体装置の製造方法 Active JP6980406B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2017085910A JP6980406B2 (ja) 2017-04-25 2017-04-25 半導体製造装置及び半導体装置の製造方法
KR1020180019176A KR102072479B1 (ko) 2017-04-25 2018-02-19 반도체 제조 장치 및 반도체 장치의 제조 방법
TW107106310A TWI683920B (zh) 2017-04-25 2018-02-26 半導體製造裝置及半導體裝置的製造方法
US15/904,878 US10460953B2 (en) 2017-04-25 2018-02-26 Semiconductor manufacturing apparatus for manufacturing a semiconductor device having a high-K insulating film, and a method for manufacturing the semiconductor device
US16/655,497 US10910230B2 (en) 2017-04-25 2019-10-17 Semiconductor manufacturing apparatus and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2017085910A JP6980406B2 (ja) 2017-04-25 2017-04-25 半導体製造装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2018186149A JP2018186149A (ja) 2018-11-22
JP6980406B2 true JP6980406B2 (ja) 2021-12-15

Family

ID=63854092

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017085910A Active JP6980406B2 (ja) 2017-04-25 2017-04-25 半導体製造装置及び半導体装置の製造方法

Country Status (4)

Country Link
US (2) US10460953B2 (ja)
JP (1) JP6980406B2 (ja)
KR (1) KR102072479B1 (ja)
TW (1) TWI683920B (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102483924B1 (ko) * 2016-02-18 2023-01-02 삼성전자주식회사 기화기 및 이를 구비하는 박막 증착 장치
JP6936700B2 (ja) * 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法
US11037779B2 (en) * 2017-12-19 2021-06-15 Micron Technology, Inc. Gas residue removal
WO2020165990A1 (ja) * 2019-02-14 2020-08-20 株式会社日立ハイテクノロジーズ 半導体製造装置
CN110420537A (zh) * 2019-08-28 2019-11-08 苏州普耀光电材料有限公司 一种三甲基铟低温提纯装置及低温提纯方法
CN114616651A (zh) * 2019-10-23 2022-06-10 中央硝子株式会社 干式蚀刻方法、半导体器件的制造方法和蚀刻装置
WO2021192210A1 (ja) * 2020-03-27 2021-09-30 株式会社日立ハイテク 半導体製造方法
CN114916240A (zh) * 2020-12-10 2022-08-16 株式会社日立高新技术 半导体制造方法和半导体制造装置
CN115715424A (zh) * 2021-06-21 2023-02-24 株式会社日立高新技术 等离子体处理装置
CN113739992B (zh) * 2021-08-13 2024-03-26 长鑫存储技术有限公司 半导体机台的气密性检测方法
JP2023131971A (ja) * 2022-03-10 2023-09-22 株式会社Screenホールディングス エッチング方法、および、エッチング装置

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1064311A (en) * 1975-09-02 1979-10-16 Vernon L. Bissonette Redox amplification process employing cobalt iii complex and peroxide as oxidizing agents
JP3456804B2 (ja) * 1995-07-31 2003-10-14 旭電化工業株式会社 酸化物エッチング製品の製造方法および装置
US6332925B1 (en) * 1996-05-23 2001-12-25 Ebara Corporation Evacuation system
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
DE19833448C2 (de) * 1998-07-24 2003-07-17 Infineon Technologies Ag Verfahren zur Reinigung von CVD-Anlagen
US6273951B1 (en) * 1999-06-16 2001-08-14 Micron Technology, Inc. Precursor mixtures for use in preparing layers on substrates
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
JP2001284330A (ja) * 2000-03-31 2001-10-12 Hitachi Ltd 半導体装置の製造方法、及び製造装置
US6777565B2 (en) * 2000-06-29 2004-08-17 Board Of Trustees, The University Of Illinois Organometallic compounds and their use as precursors for forming films and powders of metal or metal derivatives
JP2003082464A (ja) * 2001-09-10 2003-03-19 Mitsubishi Electric Corp 化学気相成長法用液体原料、化学気相成長法による膜形成方法、および、化学気相成長装置
US7094704B2 (en) * 2002-05-09 2006-08-22 Applied Materials, Inc. Method of plasma etching of high-K dielectric materials
JP2003347278A (ja) * 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US7357138B2 (en) * 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040011380A1 (en) * 2002-07-18 2004-01-22 Bing Ji Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
US20040129674A1 (en) * 2002-08-27 2004-07-08 Tokyo Electron Limited Method and system to enhance the removal of high-k dielectric materials
JP2004091829A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd エッチング方法及びエッチング装置
JP2006501651A (ja) * 2002-09-27 2006-01-12 東京エレクトロン株式会社 High−k誘電材料をエッチングするための方法及びシステム
JP4326285B2 (ja) * 2003-08-01 2009-09-02 東京エレクトロン株式会社 反応室及び処理装置
US7438949B2 (en) * 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP4852930B2 (ja) * 2005-08-12 2012-01-11 三菱化学株式会社 管端部接続体及びゼオライト分離膜エレメント
EP1937419A4 (en) * 2005-09-08 2009-11-04 Applied Materials Inc ELECTRICITY-FREE MODELING METALLIZATION PROCESS FOR LARGE-SURFACE ELECTRONICS
JP4598639B2 (ja) * 2005-09-27 2010-12-15 Okiセミコンダクタ株式会社 半導体装置の製造方法
EP1969619A1 (en) * 2005-10-20 2008-09-17 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) A method for fabricating a high-k dielectric layer
JP2009252895A (ja) 2008-04-03 2009-10-29 Toshiba Corp 半導体装置及びその製造方法
KR101566029B1 (ko) * 2008-04-10 2015-11-05 램 리써치 코포레이션 High-k 유전체 재료의 선택적 에칭
US8304349B2 (en) * 2008-08-18 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method to integrate gate etching as all-in-one process for high K metal gate
US20100047988A1 (en) * 2008-08-19 2010-02-25 Youn-Joung Cho Methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
US8809195B2 (en) * 2008-10-20 2014-08-19 Asm America, Inc. Etching high-k materials
US8563085B2 (en) * 2009-08-18 2013-10-22 Samsung Electronics Co., Ltd. Precursor composition, methods of forming a layer, methods of forming a gate structure and methods of forming a capacitor
US8507704B2 (en) * 2009-09-08 2013-08-13 Air Products And Chemicals, Inc. Liquid composition containing aminoether for deposition of metal-containing films
US20110207323A1 (en) * 2010-02-25 2011-08-25 Robert Ditizio Method of forming and patterning conformal insulation layer in vias and etched structures
JP5587716B2 (ja) * 2010-09-27 2014-09-10 マイクロンメモリジャパン株式会社 半導体装置及びその製造方法、並びに吸着サイト・ブロッキング原子層堆積法
US9212422B2 (en) * 2011-08-31 2015-12-15 Alta Devices, Inc. CVD reactor with gas flow virtual walls
US9238865B2 (en) * 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6029419B2 (ja) * 2012-11-02 2016-11-24 ダイキン工業株式会社 半導体ドライプロセス後の残渣除去液及びそれを用いた残渣除去方法
US20160336175A1 (en) * 2013-12-18 2016-11-17 Yamagata University Method and apparatus for forming oxide thin film
WO2015137193A1 (ja) * 2014-03-12 2015-09-17 Jsr株式会社 半導体デバイス製造用組成物および該半導体デバイス製造用組成物を用いたパターン形成方法
JP6163446B2 (ja) 2014-03-27 2017-07-12 株式会社東芝 半導体装置の製造方法
US10047435B2 (en) * 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
KR102350589B1 (ko) * 2015-08-24 2022-01-14 삼성전자주식회사 박막 형성 방법 및 이를 이용한 집적회로 소자의 제조 방법
JP6817752B2 (ja) * 2016-09-09 2021-01-20 株式会社日立ハイテク エッチング方法およびエッチング装置
WO2018106955A1 (en) * 2016-12-09 2018-06-14 Asm Ip Holding B.V. Thermal atomic layer etching processes
JP6936700B2 (ja) * 2017-10-31 2021-09-22 株式会社日立ハイテク 半導体製造装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
KR20180119471A (ko) 2018-11-02
US10460953B2 (en) 2019-10-29
TWI683920B (zh) 2020-02-01
KR102072479B1 (ko) 2020-02-03
US20180308707A1 (en) 2018-10-25
JP2018186149A (ja) 2018-11-22
US20200051828A1 (en) 2020-02-13
TW201843336A (zh) 2018-12-16
US10910230B2 (en) 2021-02-02

Similar Documents

Publication Publication Date Title
JP6980406B2 (ja) 半導体製造装置及び半導体装置の製造方法
US11515169B2 (en) Method of making a semiconductor device including etching of a metal silicate using sequential and cyclic application of reactive gases
KR102168494B1 (ko) 금속성 막들의 선택적 퇴적
KR102208442B1 (ko) 반응 챔버 패시베이션 및 금속성 막들의 선택적 퇴적
US9257303B2 (en) Selective formation of metallic films on metallic surfaces
TWI768789B (zh) 半導體製造方法
US7479191B1 (en) Method for endpointing CVD chamber cleans following ultra low-k film treatments
Dhakal et al. Surface chemistry of a Cu (I) beta-diketonate precursor and the atomic layer deposition of Cu2O on SiO2 studied by x-ray photoelectron spectroscopy
JP7307175B2 (ja) 半導体製造方法
JP7307861B2 (ja) 半導体製造方法及び半導体製造装置
WO2024042597A1 (ja) 半導体装置の製造方法および半導体製造装置
TW202342800A (zh) 用於選擇性沉積之高純度炔類
CN101032005A (zh) 等离子体成膜方法及其装置
Pirolli Chemical and physical understanding of diffusion barrier layers on semiconductors:(hfac) copper (VTMS) and its ligands on silicon (100)-2 x 1 and titanium carbon nitride-covered silicon

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200422

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210129

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210405

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210519

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211026

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211117

R150 Certificate of patent or registration of utility model

Ref document number: 6980406

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150