TW201437414A - 用以在敏感基板上沉積薄膜的方法 - Google Patents

用以在敏感基板上沉積薄膜的方法 Download PDF

Info

Publication number
TW201437414A
TW201437414A TW102140721A TW102140721A TW201437414A TW 201437414 A TW201437414 A TW 201437414A TW 102140721 A TW102140721 A TW 102140721A TW 102140721 A TW102140721 A TW 102140721A TW 201437414 A TW201437414 A TW 201437414A
Authority
TW
Taiwan
Prior art keywords
sensitive
substrate
reactant
oxidation
plasma
Prior art date
Application number
TW102140721A
Other languages
English (en)
Other versions
TWI612173B (zh
Inventor
Hu Kang
Shankar Swaminathan
Adrien Lavoie
Jon Henri
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201437414A publication Critical patent/TW201437414A/zh
Application granted granted Critical
Publication of TWI612173B publication Critical patent/TWI612173B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文中提供用以在敏感基板上形成薄膜並同時防止傷害敏感基板的方法與設備。在某些實施例中,方法包含在敏感基板上形成雙層體薄膜,此雙層體薄膜既保護底層基板免受損傷,且具有所需要的電氣特性。用於評估與最佳化薄膜的方法與設備亦被提供,其包含用以估算由特定沉積製程所引起之基板損傷量的方法、及用以決定保護層之最小厚度的方法。本文中所描述的方法與設備可用以在各種敏感材料上沉積薄膜,敏感材料例如為矽、鈷、鍺-銻-碲、矽鍺、氮化矽、碳化矽、鎢、鈦、鉭、鉻、鎳、鈀、釕、或氧化矽。

Description

用以在敏感基板上沉積薄膜的方法 【相關申請案之交互參照】
本申請案主張先前的美國專利臨時申請案第61/724,217號的優先權,該優先權基礎案申請於2012年11月8日,且名為「METHODS FOR DEPOSITING FILMS ON SENSITIVE SUBSTRATES」,其藉由參考其整體內容並供所有目的而合併於本文中。
本發明係關於一種用以在敏感基板上沉積薄膜的方法。
一種在半導體元件的製造期間經常使用的製程係將各種薄膜(例如氧化物及氮化物)沉積到基板上。於某些情況下,在沉積期間基板對於會對其造成損傷的氧化或氮化特別敏感。此敏感性尤其可能發生在像是間隙填充(gapfill)及雙重圖案化(double-patterning)的應用中,雖然其在其他的情況下亦可能會有問題。敏感基板的例子包含矽(Si)、鈷(Co)、鍺-銻-碲合金(germanium-antimony-tellurium alloy,GST)、矽鍺(SiGe)、氮化矽(SiN)、碳化矽(SiC)、及氧化矽(SiO2)。因此,存在有對於一種可防止敏感基板損害的半導體薄膜沉積方法之需求。在某些實施例中,此方法防止氧化敏感基板的氧化,及/或氮化敏感基板的氮化。
於本文中所揭露的各種實施態樣係關於在氧化敏感基板表面之曝露表面上沉積薄膜的方法。這些方法通常包含表面介導反應(surface mediated reactions),於其中,薄膜經由反應物吸附及反應的多個循環而生長。在一個這樣的實施態樣中,此方法之特徵在於下列 操作:(a)將氧化敏感基板曝露於氣相的含矽反應物;(b)在反應腔室的一站中將氧化敏感基板曝露於氣相的氧化反應物;及(c)當含矽反應物的流動已停止時,使用介於約12.5與125W/站之間的高頻射頻週期性地激發反應腔室中的電漿。在本方法的某些實施方式中,氧化反應物持續流至基板,而含矽反應物間歇流至基板。在其他實施方式中,氧化反應物係被脈衝導入反應腔室。
在某些實施方式中,最終氧化矽薄膜之厚度係介於約10-50Å之間。在某些實施例中,基板係維持在約25-450℃之間,而在某些情況下係維持在約25-100℃之間、約50-150℃之間、或約50-200℃之間。氧化反應物可為氧氣(O2)與弱氧化劑的混合物,弱氧化劑例如為一氧化二氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)、一氧化氮(NO)、二氧化氮(NO2)、一氧化硫(SO)、二氧化硫(SO2)、含氧之烴類(CxHyOz)、及/或水(H2O)。在其他實施方式中,氧化反應物可全部為弱氧化劑。或者,氧化反應物可包含臭氧(O3)。在某些實施例中,氧化反應物係約0-50%的O2與約50-100%的弱氧化劑。在某些實施例中,射頻可被更加限制到介於50與125W/站之間。
在某些實施例中,上述方法的特徵更在於經由下列操作順序沉積第二氧化矽材料:(d)將氧化敏感基板曝露於氣相的第二含矽反應物;(e)將氧化敏感基板曝露於氣相的第二氧化反應物;及(f)當第二含矽反應物之氣相流已停止時,使用介於約250與1500W/站之間的高頻射頻週期性地激發反應腔室中的電漿。在某些實施方式中,操作(d)-(f)係在約50與400℃之間被執行,而在某些情況下這些操作被限制到150-250℃或300-400℃。雖然在某些實施例中操作(a)-(f)可被等溫執行,但在其他實施例中操作(a)-(c)與操作(d)-(f)係在不同溫度的情況下被執行。
在某些實施方式中,第一氧化矽材料與第二氧化矽材料之各者形成雙層體(bilayer)之層。第一氧化矽材料可被稱為保護層,而第二氧化矽材料可被稱為電適層(electrically favorable layer)。在一實施例中,保護層之厚度係介於雙層體之總厚度的約1與約20%之間。
在一實施例中,操作(a)-(c)與操作(d)-(f)使用相同的含矽 反應物之氣相流的流率及/或組成。在其他實施例中,含矽反應物之氣相流的流率及/或組成之任一者在此兩組操作之間會有所不同。具體而言,在一實施方式中,在操作(d)-(f)期間含矽反應物的氣相流含有相較於在操作(a)-(c)期間的流更高的O2百分比。雖然在某些實施例中氧化反應物的氣相流係持續的,但在其他實施例中此流係被脈衝導入反應腔室。
雖然許多具體揭露的操作係關於矽基的氧化敏感基板,但此方法可被用在許多不同種類的氧化敏感基板表面上。第4-11族金屬、矽、非晶矽、碳薄膜(包含例如經由電漿輔助化學氣相沉積或旋轉塗佈技術而沉積的薄膜)、及III-V族材料均有可能為敏感基板。舉例來說,在某些實施方式中,基板表面可為鈷(Co)、鍺-銻-碲、矽鍺、氮化矽、碳化矽、鎢(W)、鈦(Ti)、鉭(Ta)、鉻(Cr)、鎳(Ni)、鈀(Pd)、釕(Ru)、或二氧化矽(SiO2)。一個在本技術領域中具有通常知識者應當瞭解,本文中所述的方法可被用在各種氧化敏感基板上,各種氧化敏感基板不限於那些前面所提及者。
在某些實施例中,不超過約2Å的氧化敏感基板被氧化。
在另一實施態樣中,在氧化敏感基板之曝露表面形成氧化矽材料的方法之特徵在於下列操作順序:(a)將氧化敏感基板曝露於氣相的含矽反應物;(b)在維持於約25-200℃之間的反應腔室的一站中,將氧化敏感基板曝露於氣相的氧化反應物;及(c)當含矽反應物的氣相流已停止時,激發反應腔室中的電漿。
在一實施例中,最終氧化矽材料係介於約10與約50Å之間。根據多種實施方式,係使用介於約12.5與約125W/站之間的高頻射頻來激發電漿,而在某些實施方式中,此範圍被限縮到介於約50與約125W/站之間。
氧化反應物可為O2與弱氧化劑(例如N2O、CO、CO2、NO、NO2、SO、SO2、CxHyOz、及/或H2O)的混合物。在其他實施方式中,氧化反應物可全部為弱氧化劑。或者,氧化反應物可包含O3。在某些實施例中,氧化反應物係約0-50%的O2與約50-100%的弱氧化劑。
在某些實施例中,上述方法的特徵更在於經由下列操作 順序沉積第二氧化矽材料:(d)在維持於比在操作(a)-(c)期間至少高約50℃之溫度的反應腔室的一站中,將氧化敏感基板曝露於氣相的第二含矽反應物;(e)將氧化敏感基板曝露於第二氧化反應物的第二氣相流;及(f)當第二含矽反應物之氣相流已停止時,使用高頻射頻在反應腔室中激發電漿。
在某些實施方式中,步驟(d)-(f)係在約300與約400℃之間被執行。在某些實施例中,第一氧化矽材料與第二氧化矽材料之各者形成雙層體之層。第一氧化矽材料可被稱為保護層,而第二氧化矽材料可被稱為電適層。在一實施例中,保護層的厚度係介於雙層體之總厚度的約1與約20%之間。
雖然許多具體揭露的操作係關於矽基的氧化敏感基板,但此方法可被用於許多不同種類的氧化敏感基板表面上。舉例來說,在某些實施方式中,基板表面可為鈷(Co)、鍺-銻-碲、矽鍺、氮化矽、碳化矽、或氧化矽。一個在本技術領域中具有通常知識者應當瞭解,本文中所述的方法可被用在各種氧化敏感基板上,各種氧化敏感基板不限於那些前面所提及者。
在一實施方式中,不超過約2Å的氧化敏感基板被氧化。在某些實施例中,操作(f)係以介於約250-1500W/站之間的RF功率來執行。
於另一實施態樣中,在反應敏感基板上形成含矽雙層體的方法其特徵在於下列操作:(a)經由電漿輔助原子層沉積製程形成含矽薄膜的第一層;及(b)藉由使用比在操作(a)中所使用還高之射頻功率的電漿輔助原子層沉積製程,而在第一層上形成含矽薄膜的第二層。於不同的實施態樣中,在反應敏感基板上形成含矽雙層體的方法其特徵在於下列操作:(a)經由電漿輔助原子層沉積製程形成含矽薄膜之第一層;及(b)藉由使用比在操作(a)期間所使用還要高之溫度的電漿輔助原子層沉積製程,而在第一層上形成含矽薄膜的第二層。如之前所提,第一層可被稱為保護層,而第二層可被稱為電適層。
在這些方法的一實施例中,保護層之厚度係介於雙層體之總厚度的約1與約20%之間。在一實施方式中,保護層之厚度係介於 約10與約50Å之間。
氧化反應物可為O2與弱氧化劑(例如N2O、CO、CO2、NO、NO2、SO、SO2、CxHyOz、及/或H2O)的混合物。在其他實施方式中,氧化反應物可全部為弱氧化劑。或者,氧化反應物可包含O3。在某些實施例中,氧化反應物係約0-50%的O2與約50-100%的弱氧化劑。
雖然許多具體揭露的操作係關於矽基的氧化敏感基板,但此方法可被用在許多不同種類的氧化敏感基板表面上。舉例來說,在某些實施方式中,基板表面可為鈷(Co)、鍺-銻-碲、矽鍺、氮化矽、碳化矽、或氧化矽。一個在本技術領域中具有通常知識者應當瞭解,本文中所述的方法可被用在各種氧化敏感基板上,各種氧化敏感基板不限於那些前面所提及者。在某些實施例中,含矽薄膜為氧化矽、氮化矽、氮氧化矽、或碳化矽薄膜。
這些及其他特徵將參照附圖而於下面進行說明。
100‧‧‧時序圖
110A‧‧‧沉積循環
110B‧‧‧沉積循環
120A‧‧‧反應物A曝露階段
120B‧‧‧反應物A曝露階段
130‧‧‧反應物A後飽和曝露時間
140A‧‧‧反應物B曝露階段
140B‧‧‧反應物B曝露階段
150‧‧‧反應物B後飽和曝露時間
160A‧‧‧清掃階段
160B‧‧‧清掃階段
180A‧‧‧電漿活化階段
180B‧‧‧電漿活化階段
190‧‧‧電漿後飽和曝露時間
200‧‧‧時序圖
210‧‧‧沉積循環
220‧‧‧反應物A曝露階段
240A‧‧‧反應物B曝露階段
240B‧‧‧反應物B曝露階段
260A‧‧‧清掃階段
260B‧‧‧清掃階段
280‧‧‧電漿活化階段
2900‧‧‧時序圖
3000‧‧‧時序圖
3100‧‧‧時序圖
1300‧‧‧CFD處理站
1301‧‧‧反應物傳送系統
1302‧‧‧處理腔室本體
1303‧‧‧汽化點
1304‧‧‧混合槽
1306‧‧‧噴淋頭
1307‧‧‧微容積
1308‧‧‧基座
1310‧‧‧加熱器
1312‧‧‧基板
1314‧‧‧RF電源供應器
1316‧‧‧匹配網路
1318‧‧‧蝶形閥
1320‧‧‧混合槽進口閥
2400‧‧‧處理工具
2402‧‧‧入站負載鎖室
2404‧‧‧出站負載鎖室
2406‧‧‧自動機械
2408‧‧‧箱
2410‧‧‧環境空氣通口
2412‧‧‧基座
2414‧‧‧處理腔室
2416‧‧‧腔室傳送通口
2418‧‧‧基座
2450‧‧‧系統控制器
2452‧‧‧處理器
2454‧‧‧大量儲存裝置
2456‧‧‧記憶體裝置
2458‧‧‧系統控制軟體
2490‧‧‧晶圓搬運系統
圖1A-1E提供根據某些公開實施例以沉積薄膜的範例時序圖。
圖2顯示了說明對基板的氧化損傷之實驗數據。
圖3A顯示對於在不同射頻(RF,radio frequency)磁通位準所沉積的薄膜,薄膜厚度相對於沉積循環數量的關係。
圖3B顯示在不同的射頻磁通位準及沉積期間所傳送之不同的O2:弱氧化劑之比例的情況下基板氧化的總量。
圖4顯示有關於崩潰電壓相對於保護層之相對厚度的數據。
圖5顯示有關於薄膜緻密化的數據,此數據可用以決定保護層的最小厚度。
圖6描繪了依據某些公開實施例用以進行原子層沉積的反應腔室。
圖7描繪了依據某些公開實施例可用以沉積薄膜的多工具設備。
在本申請案中,術語「半導體晶圓」、「晶圓」、「基板」、「晶圓基板」、及「部分製造的積體電路」可被交換使用。一個在本技術領域中具有通常知識者應當理解,術語「部分製造的積體電路」能夠指在積體電路製造的許多階段之任一階段期間的矽晶圓。用於半導體元件工業中的晶圓或基板通常具有200mm、或300mm、或450mm的直徑。下列詳細說明假定本發明係實施於晶圓上。然而,本發明並非如此受限。工作件可以是各種形狀、尺寸、及材料。除了半導體晶圓之外,其他可能利用到本發明的工作件包含各種物件,如印刷電路板等。
在以下敘述中,大量的具體細節被闡明以提供所示實施例的通盤瞭解。所揭露的實施例可在沒有一些或全部這些具體細節時被實施。在其他情況中,為人所熟知的製程作業並未被詳述,以避免不必要地混淆了公開實施例。雖然公開實施例將結合特定的實施例來描述,應當理解的是,其並非旨在限制公開實施例。
本文中所揭露的各種實施態樣係關於在基板表面上沉積薄膜的方法。此方法包含電漿活化表面介導反應,其中,薄膜經由反應物吸附及反應的多個循環而生長。在某些實施方案中,此方法係保形膜沉積(CFD,conformal film deposition)反應,在此CFD反應中,一或更多反應物吸附到基板表面,接著藉由與電漿的交互作用而在基板上反應以形成薄膜。
沉積氧化物層的傳統方法會導致對敏感基板的氧化損傷。此損傷對於某些應用係有問題的,這些應用包含但不限於間隙填充及雙重圖案化。
本文中所提供的係CFD製程及其他防止它們所沉積之基板氧化的沉積製程。亦提供有CFD製程及其他防止底層基板氮化、或產生其他反應的沉積製程。一般而言,此製程可用以減少或消除與敏感基板之間不樂見的反應及使部分敏感基板轉成另一種材料的變化。這些製程可對下列敏感基板與薄膜種類特別有用,敏感基板例如為矽(Si)、鈷(Co)、鍺銻碲合金(germanium-antimony-tellurium alloy, GST)、矽鍺(SiGe)、氮化矽(SiN)、碳化矽(SiC)、及氧化矽(SiO2),而薄膜種類例如為SiO2、SiN、SiCN、SiC、貴金屬、及高介電常數(high K)材料,其包含鑭系元素氧化物、4族金屬氧化物、及5族金屬氧化物。
在某些實施例中,係藉由薄保護層之沉積來防止對基板的傷害,薄保護層可在相對低的溫度,及/或在相對低的壓力,及/或在相對低的RF功率/磁通位準的情況下被沉積。在某些情況下,保護層被併入雙層結構,其中,底層為保護層而頂層為電適層(electrically favorable layer)。相較於電適層,保護層可在較低的溫度,及/或較低的RF功率,及/或較短的RF時間,及/或較低的壓力,及/或以不同的反應物的情況下被沉積。這些沉積條件可幫助防止損傷底層基板,同時能生產可用的元件。
此外,本文中所提供的各種實施例係關於使用普通矽基板作為測試載具以評估基板氧化的方法,藉此避免在元件基板上的氧化測試之複雜性。
並且,本文中所提供的多個實施例係關於決定在雙層方法中底保護層之最小厚度的方法,底保護層的厚度須提供對抗基板氧化的足夠保護,同時對整體薄膜電性具有最小的影響。
美國專利申請案第13/084,399號係申請於2011年4月20日且名為「PLASMA ACTIVATED CONFORMAL FILM DEPOSITION」,其乃藉由參照其整體內容之方式併入於本文中,並描述了包含電漿活化CFD製程之各種實施例之時序圖的CFD反應,其中,基板係曝露於反應物A及B。本文中所描述的方法包含此種CFD製程。雖然下面的描述主要係指來自含矽的反應物例如雙(第三丁基胺基)矽烷(bis(tert-butylamino)silane,BTBAS)及氧化劑反應物(也被稱為氧化反應物,例如為氧氣、一氧化二氮、或它們的混合物)的氧化矽薄膜之沉積,本文中所描述的方法亦可用於其他種類的薄膜之電漿活化沉積,其他種類的薄膜包含但不限於氮化矽。用於氮化矽及其他薄膜種類之沉積的反應物及製程流程係描述於該第13/084,399號申請案中以及美國專利申請案第13/084,305號中,美國專利申請案第13/084,305號係申請於2011年4月11日且名為「SILICON NITRIDE FILMS AND METHODS」,其乃藉由參照其整體內容之方式併入於本文中。
半導體元件的製造通常包含在整合製程中沉積一或更多薄膜於非平面基板上。在整合製程的某些實施態樣中,沉積符合基板表面形貌的薄膜係有用的。例如,可將氮化矽薄膜沉積於隆起的閘極堆疊的頂部上,以作為用於保護輕度摻雜的源極與汲極區域免受後續離子植入製程影響的間隔層。
在間隔層沉積製程中,化學氣相沉積(chemical vapor deposition,CVD)製程可用以在非平面基板上形成氮化矽薄膜,氮化矽薄膜接著進行非等向性蝕刻而形成間隔結構。然而,當閘極堆疊之間的距離減小時,CVD氣相反應的質量傳輸限制可能會引起「麵包塊(bread-loafing)」沉積效應。此種效應一般在閘極堆疊的頂面表現出較厚的沉積,並在閘極堆疊的底角表現出較薄的沉積。再者,由於某些晶粒可能有具不同元件密度的區域,遍及晶圓表面的質量傳輸效應可能導致晶粒內(within-die)及晶圓內(within-wafer)的薄膜厚度變化。這些厚度變化可導致某些區域的過蝕刻(over-etching)及其他區域的蝕刻不足(under-etching)。這可能會降低元件效能及/或晶粒良率。
一些用以解決這些問題的方法包含原子層沉積(atomic layer deposition,ALD)。與在其中使用熱活化之氣相反應來沉積薄膜的CVD製程相比,ALD製程使用表面介導沉積反應以使薄膜逐層沉積。在一ALD製程的例子中,包含一群表面活性位置的基板表面曝露於第一薄膜前驅物(P1)的氣相分布。P1的某些分子可在基板表面頂上形成凝相,其包含P1的化學吸附物種及物理吸附分子。接著將反應器排空以移除氣相與物理吸附的P1,俾使只有化學吸附物種留下。接著將第二薄膜前驅物(P2)導入至反應器,俾使P2的某些分子吸附到基板表面。反應器可再次被排空,此次用於移除未結合的P2。隨後,提供到基板的熱能活化了在P1與P2的吸附分子之間的表面反應,而形成薄膜層。最後,將反應器排空以移除反應副產物及可能未反應的P1與P2,而結束ALD循環。額外的ALD循環可被包含在內以增加薄膜厚度。
根據前驅物用劑(dosing)步驟的曝露時間以及前驅物的黏附係數,在一例子中,每一ALD循環可沉積介於0.5與3埃厚之間的 薄膜層。
保形膜亦可被沉積於平面基板上。舉例來說,用於微影圖案化應用的抗反射層可由包括交替之薄膜種類的平面堆疊來形成。此種抗反射層可為大約100至1000埃厚,使得較慢的ALD製程相較於較快的CVD製程不具吸引力。然而,此種抗反射層也可能具有比由許多CVD製程所能提供者還低的對晶圓內厚度變化之容忍度。例如,600埃厚的抗反射層可容忍低於3埃的厚度範圍。
本文中所提供的各種實施例提供了用於在非平面及平面基板上之電漿活化ALD及保形膜沉積(CFD,conformal film deposition)的製程及設備。這些實施例一般係在相對低的溫度、及/或相對低的壓力、及/或相對低的RF功率/磁通位準(即,低損傷條件)的情況下進行。在某些情況下,係使用在低損傷條件下形成底保護層,而在不同的條件下形成上方之電適層的這種雙層方法。
如前面所指出,本文中所描述的實施例可包含CFD製程以及ALD製程。通常,CFD並不依賴在用以形成薄膜的反應之前的一或更多反應物之完全清除。例如,在電漿(或其他活化能量)被激發時,可能有一或更多存在於氣相中的反應物。因此,在一範例CFD製程中,描述於ALD製程中的一或更多處理步驟可被縮短或去除。此外,在某些實施例中,沉積反應的電漿活化可導致比熱活化反應更低的沉積溫度,而潛在地減少整合製程的熱預算(thermal budget)。雖然實施例包含CFD,但本文中所述的方法並不限於CFD。其他適合的方法包含ALD。
為瞭解相關背景,提供CFD的一個簡短說明。CFD「循環」的觀念係與本文中各種實施例之討論有關。一般而言,一個循環係執行一次表面沉積反應所需之最小組的操作。一個循環的結果係在基板表面上產生至少一部分的薄膜層。通常,CFD循環僅包含那些對於傳送與吸附每一反應物到基板表面,接著使這些被吸附的反應物反應以形成薄膜之部分層係必要的步驟。當然,此循環可包含某些輔助步驟,例如清掃一或更多的反應物或副產物、及/或處理剛沉積的部分薄膜。一般而言,一個循環僅包含一種具特定操作順序的情形。作為 範例,一個循環可包含下列操作:(i)反應物A的傳送/吸附、(ii)反應物B的傳送/吸附、(iii)將B掃出反應腔室、及(iv)應用電漿驅動A與B的表面反應以在表面上形成部分薄膜層。
本文中的敘述使用術語「主要(principal)」及「輔助(auxiliary)」反應物。如本文中所使用者,主要反應物包含在室溫下為固體的元素,該元素有助於由CFD形成的薄膜。此種元素的例子為金屬(例如,鋁、鈦等等)、半導體(例如,矽、鍺等等)、及非金屬或類金屬(例如,硼)。如本文中所使用者,輔助反應物係任何非主要反應物的反應物。術語共反應物(co-reactants)有時係用來指輔助反應物。輔助反應物的例子包含氧、臭氧、氫、一氧化碳、一氧化二氮、氨、烷基胺、及類似者。
本文中的實施例可使用各種不同的製程順序。一種可能的製程包含下列操作順序:(1)持續流入輔助反應物、(2)提供含矽或其他主要反應物的劑量、(3)清潔1、(4)將基板曝露於RF電漿、(5)清潔2。另一種替換的製程包含下列操作順序:(1)持續流入惰性氣體、(2)提供含矽或其他主要反應物的劑量;(3)清潔1;(4)當提供氧化劑或其他輔助反應物的劑量時,使基板曝露於RF電漿;(5)清潔2。其他的範例製程流程係顯示於圖1A-1E中。
本文中所提供的化合物、流率、及用劑時間均為舉例。任何合適的含矽反應物及氧化劑可被用於氧化矽的沉積。同樣地,對於氮化矽的沉積,可使用任何合適的含矽反應物及含氮反應物。又,對於金屬氧化物或金屬氮化物的沉積,可使用任何合適的含金屬反應物及共反應物。本文中的技術對於實施廣泛種類的薄膜化學物質係有所助益。超出所提供之範圍的流率與時間可能在某些實施例中係合適的。對於300mm的晶圓給定範例流率,而對於其他尺寸的晶圓範例流率可能被適當調整。亦可使用其他的製程流程,一些其他的製程流程係參照顯示於下面之圖1A與圖1B中的時序圖而加以描述。
在某些情況下,反應物其中之一者可被持續地傳送(例如,甚至在傳送其他反應物的期間及/或在電漿曝露的期間)。持續流動的反應物可與運載氣體(例如氬氣)一起被傳送至反應腔室。在某些情況 下,持續流動之反應物至反應腔室的傳送係藉由使用分流閥/進口閥切換來控制。氣流的改變可能係被轉向或合流。在一範例中,持續流動的反應物係週期性地由反應腔室轉向,如此一來此反應物只會在某些期間被傳送到反應腔室。使用適當的閥可將持續流動的氣體轉向至出口/廢料場。例如,氧化反應物可持續流動,但僅週期性地被輸送至反應腔室。當氧化反應物未被輸送至反應腔室時,其可被轉向至出口、回收系統等等。
持續流動實施例的一個優點是,所建立的流動避免了流動之暫態初始化及穩定化所引起的延遲及流動變化,流動之暫態初始化及穩定化係與開啟及關閉流動有關。
作為一具體範例,氧化物薄膜可藉由使用主要反應物(有時稱為「固體成份」前驅物,或,在此範例中,簡稱為「反應物B」)的保形膜沉積製程來沉積。雙(第三丁基胺基)矽烷(BTBAS)為一個這樣的主要反應物。在此範例中,氧化物沉積製程包含例如氧氣或一氧化二氮的氧化劑之傳輸,此氧化劑在個別曝露階段中的主要反應物傳送期間係初始並持續地流動。此氧化劑在個別電漿曝露階段期間亦持續流動。參閱例如在圖1A中所繪之順序。
在某些具體範例中,持續流動的反應物係輔助反應物。可以固定的流率或變化但受控制的流率提供持續流動的反應物。在後者的情況下,作為範例,當主要反應物被傳送時,輔助反應物的流率可在曝露階段期間下降。舉例來說,在氧化物沉積中,氧化劑(例如氧氣或一氧化二氮)在整個沉積序列期間可持續流動,但當主要反應物(例如,BTBAS)被傳送時,氧化劑的流率可降低。這使得BTBAS的分壓在其用劑期間增加,藉此減少使基板表面飽和所需之曝露時間。在激發電漿之前不久,氧化劑的流動可被增加,以降低在電漿曝階段期間BTBAS存在的可能性。在某些實施例中,持續流動的反應物在二或更多沉積循環的過程中以不同的流率流動。舉例來說,反應物可在第一CFD循環期間以第一流率流動,並在第二CFD循環期間以第二流率流動。在許多實施例中,係在某些沉積條件下執行第一組反應循環以沉積第一薄膜,而在不同條件下執行第二組反應循環以在第一薄膜上沉 積第二薄膜。此二薄膜可具有不同的特性,例如,第二薄膜具有在電學上對所需之應用更有利的特性。
在使用多個輔助反應物的情況,其中,其可在傳送至反應腔室之前先被混合,或以分開的流動傳送。在某些實施例中,輔助反應物持續輸送,而惰性氣體流係脈衝傳送以供清潔作業。在某些實施例中,惰性氣體流可為連續,並具有/不具有惰性氣體流之流率增加以供清潔作業。可選的清潔可發生在電漿熄滅之後。
CFD「清掃」(sweep)或「清潔」(purge)步驟或階段的概念出現於本文中各種實施例的討論中。一般而言,清掃階段將氣相反應物其中一者由反應腔室移除或清除,且通常只發生在此種反應物傳送完成之後。換言之,在清掃階段期間,此反應物不再被傳送至反應腔室。然而,在清掃階段期間,此反應物仍然吸附於基板表面上。一般而言,在此反應物被吸附至基板表面上達到所需程度之後,清掃有助於移除腔室內任何殘餘的氣相反應物。清掃階段亦可由基板表面移除弱吸附物種(例如,某些前驅物配位基或反應副產物)。在ALD中,清掃階段被視為對防止二反應物之氣相交互作用或一反應物與熱、電漿、或其他用於表面反應的驅動力之交互作用係必須的。一般而言,且在本文中除非另外具體指定,則清掃/清潔階段可藉由(i)排空反應腔室,及/或(ii)使不含待掃出之物種的氣體流過反應腔室來完成。在(ii)的情況下,此種氣體可例如為惰性氣體或輔助反應物(例如持續流動的輔助反應物)。
不同的實施例可在不同的時間實施清掃階段。舉例來說,在某些情況下,清掃步驟可能發生在下列任何時間:(1)在主要反應物傳送之後、(2)在傳送主要反應物的脈衝之間、(3)在傳送輔助反應物之後、(4)在電漿曝露之前、(5)在電漿曝露之後、及(6)任何(1)~(5)之組合。某些此等時間框架(timeframes)可重疊。已被證明的是,在主要反應物傳送後執行第一清掃,及在電漿激發後執行第二清掃,均在沉積均勻薄膜中特別有用。
不同於許多其他的沉積製程,特別是那些需要熱活化的製程,CFD製程可在相對低的溫度下進行。一般來說,CFD的溫度會 介於約20與400℃之間。此種溫度可被選擇以容許在溫度敏感製程之情況下的沉積,例如在光阻核心(photoresist core)上的沉積。在一具體實施例中,介於約20與100℃之間的溫度被用於雙重圖案化應用(使用,例如,光阻核心)。在另一實施例中,介於約200與350℃之間的溫度被用於記憶體製造的處理。在某些實施方式中,係在第一溫度進行第一組反應循環,並在第二溫度進行第二組反應循環,而第二溫度係高於第一溫度。
如前面所提議,CFD相當適合用於沉積先進技術節點中的薄膜。因此,舉例來說,CFD處理可被整合於在32nm節點、22nm節點、16nm節點、11nm節點、以及超過任何這些節點的製程。這些節點係描述於國際半導體技術藍圖(ITRS,International Technology Roadmap for Semiconductors)、多年來對於微電子技術需求的業界共識中。通常,其係參照記憶體單元(memory cell)的二分之一節距。在一具體範例中,CFD處理係應用於「2X」元件(具有在20-29nm之範圍內的元件特徵)及此元件以外者。
雖然在本文中出現的CFD薄膜的大多數範例係關於矽基的微電子元件,此薄膜亦可供其他領域的應用。使用非矽半導體(例如砷化鎵及其他III-V族半導體,以及II-VI族材料例如HgCdTe)的微電子及光電子技術,可得益於使用本文所揭露之CFD製程。保形介電薄膜在太陽能領域(例如光伏元件)中、電致變色領域中、及其他領域中的應用均為可能的。
CFD薄膜的其他範例應用包含但不限於用於後段製程互連隔離應用的保形低介電常數(low-k)薄膜(例如,在某些非限制性的例子中,k約為3.0或更低)、用於蝕刻終止及間隔層應用的保形氮化矽薄膜、保形抗反射層、及銅附著與阻障層。用於後段製程(BEOL,back-end-of-line)處理之低介電常數介電質的許多不同組成可使用CFD來製造。範例包含氧化矽、氧摻雜碳化物、碳摻雜氧化物、氮氧化物、及類似者。
圖1A概略地顯示電漿活化CFD製程之範例實施例的時序圖100。兩個完整的CFD循環被繪出。如圖所示,每一循環包含反應 物A曝露階段120、隨即接著反應物B曝露階段140、反應物B清掃階段160、及最後的電漿活化階段180。在電漿活化階段180A及180B期間所提供的電漿能量活化了表面所吸附之反應物物種A及B之間的反應。於所描繪的實施例中,在傳送一反應物(反應物A)之後沒有執行清掃階段。事實上,此反應物在薄膜沉積製程期間係持續流動。因此,當反應物A處於氣相中時激發電漿。在所描繪的實施例中,反應物氣體A及B可共存於氣相中且不發生反應。因此,在此範例CFD製程中,可縮短或去除描述於ALD製程中的一或更多製程步驟。例如,可去除在A曝露階段120A及120B之後的清掃步驟。
圖1A也顯示了對各種CFD製程參數的範例CFD製程階段之時間進程的一實施例。圖1A描繪了兩個範例沉積循環110A及110B,雖然應瞭解的是在CFD製程中可包含任何適當數量的沉積循環以沉積所需薄膜厚度。範例CFD製程參數包含但不限於惰性與反應物物種的流率、電漿功率與頻率、基板溫度、及處理站壓力。
一個CFD循環通常包含每一反應物的曝露階段。在此「曝露階段」期間,反應物被傳送至處理腔室以在基板表面上引起反應物的吸附。一般而言,在曝露階段開始時,基板表面不具有任何可觀數量的反應物吸附。於圖1A中,在反應物A曝露階段120A及B時,將反應物A以受控制的流率供應至處理站,以使基板的曝露表面飽和。反應物A可為任何適當的沉積反應物;例如主要反應物或輔助反應物。在其中以CFD產生二氧化矽薄膜的範例中,反應物A可為氧氣。
在圖1A中所示的實施例中,反應物A在整個沉積循環110A及110B係持續流動。不同於一般的ALD製程,其中薄膜前驅物曝露係被分開以防止氣相反應,在CFD製程的某些實施例之氣相中允許反應物A與B混合。如前面所指出,在某些實施例中,在電漿能量的施加或表面反應的活化之前,於在反應器中所遭遇的條件下,選擇反應物A及B以使其能夠共存於氣相中且相互間沒有明顯的反應。在某些情況下,選擇此等反應物,俾使:(1)其間的反應在熱力學上是有利的(即,吉布斯自由能<0)、及(2)此反應具有足夠高的活化能,以使在所需要的沉積溫度且沒有電漿激發時存有可忽略的反應。
相較於其中反應物A先被開啟、接著穩定化並曝露於基板、而後被關閉、及最後由反應器移除的ALD製程,持續將反應物A供應至處理站可減少或去除反應物A的流率開啟及穩定化時間。雖然在圖1A中所示的實施例描繪反應物A曝露階段120A及B為具有固定流率,惟應當理解的是,在本揭露內容的範圍內可使用包含可變流動的任何適當的反應物A之流動。再者,雖然圖1A顯示反應物A在整個CFD循環(沉積循環110A)期間具有固定流率,但此並非必須的情況。例如,反應物A的流率可在反應物B曝露階段140A及140B期間降低。這可增加B的分壓,並藉此增加使反應物B吸附於基板表面上的驅動力。在其他情況下,可各自分劑傳送反應物A與B(即,兩反應物均非持續流動)。
在某些實施例中,反應物A曝露階段120A可具有超過反應物A之基板表面飽和時間的持續時間。例如,圖1A的實施例包含於反應物A曝露階段120A中的反應物A後飽和(post-saturation)曝露時間130。可選地,反應物A曝露階段120A包含惰性氣體的受控流率。範例惰性氣體包含但不限於氮氣、氬氣、及氦氣。可提供惰性氣體以協助處理站的壓力及/或溫度控制、液體前驅物的蒸發、前驅物的更快速輸送、及/或作為用於由處理站及/或處理站管路將製程氣體移除的清掃氣體。
在圖1A中所示之實施例的反應物B曝露階段140A,將反應物B以受控流率供應至處理站,以使曝露的基板表面飽和。在一範例二氧化矽薄膜中,反應物B可為BTBAS。雖然圖1A的實施例描繪反應物B曝露階段140A為具有固定流率,惟應當理解的是,在本揭露內容的範圍內可使用包含可變流動的任何適當的反應物B之流動。再者,應當理解的是,反應物B曝露階段140A可具有任何適當的持續時間。在某些實施例中,反應物B曝露階段140A可具有超過反應物B之基板表面飽和時間的持續時間。例如,圖1A中所示的實施例描繪了包含於反應物B曝露階段140A中的反應物B後飽和曝露時間150。可選地,反應物B曝露階段140A可包含適當之惰性氣體的受控流動,其如上所述,可協助處理站的壓力及/或溫度控制、液體前驅物的蒸發、前驅物的更快速輸送、及防止處理站氣體的逆擴散(back-diffusion)。
雖然圖1A中所描繪的CFD製程實施例係電漿活化,惟應當理解的是,在本揭露內容的範圍內可使用其他非熱能來源。非熱能來源的非限制性範例包含但不限於紫外線燈、下游或遠端電漿源、電容耦合電漿、感應耦合電漿、及微波表面波電漿。
在某些情況下,表面吸附的B物種可像不連續島嶼一般存在於基板表面上,而使其難以達成反應物B的表面飽和。各種表面條件可能會延遲在基板表面上反應物B的成核與飽和。舉例來說,在反應物A及/或B吸附時所釋放的配位基可阻障某些表面活性位置,而妨礙反應物B的進一步吸附。因此,在某些實施例中,可在反應物B曝露階段140A期間,藉由調節反應物B的流動及/或使反應物B不連續脈衝進到處理站來提供反應物B的連續吸附層(adlayers)。相較於固定流動的情況,此可能會提供表面吸附及脫附(desorption)過程的額外時間,但節省了反應物B。
附加或替代地,在某些實施例中,在反應物B的連續曝露之間可包含一或更多清掃階段。舉例來說,圖1B的實施例概略地顯示沉積循環210的範例CFD製程時序圖200。在反應物B曝露階段240A,反應物B曝露於基板表面。接著,在清掃階段260A,反應物B被關閉,且將反應物B的氣相物種由處理站移除。在一情況下,可藉由持續流動的反應物A及/或惰性氣體來置換氣相反應物B。在另一情況下,可藉由排空處理站來移除氣相反應物B。氣相反應物B的移除可改變吸附/脫附過程平衡、使配位基脫附、促進吸附之反應物B的表面重新排列以將吸附之反應物B的不連續島嶼合併。在反應物B曝露階段240B,反應物B再次曝露於基板表面。雖然在圖1B中所示的實施例包含反應物B清掃及曝露循環的一個實例,惟應當理解的是,在本揭露內容的範圍內,可使用任何適當數量的交替清掃與曝露循環的迭代(iteration)。
回到圖1A的實施例,在階段180A藉由電漿活化之前,於某些實施例中,可在清掃階段160A中將氣相反應物B由處理站移除。除了上述曝露階段之外,CFD循環可包含一或更多清掃階段。清掃處理站可避免氣相反應,其中,反應物B易受電漿活化影響。再者, 清掃處理站可移除表面吸附的配位基,否則其可能殘留並汙染薄膜。清掃氣體的例子包含但不限於氬氣、氦氣、及氮氣。在圖1A所示的實施例中,清掃階段160A的清掃氣體係藉由惰性氣體流來供應。在某些實施例中,清掃階段160A可包含用於將處理站排空的一或更多排空子階段(subphases)。或者,應當理解的是,在某些實施例中可省略清掃階段160A。
清掃階段160A可具有任何適當的持續時間。在某些實施例中,增加一或更多清掃氣體的流率可減少清掃階段160A的持續時間。例如,清掃氣體的流率可依據各種反應物的熱力學特性及/或處理站及/或處理站管路的幾何特性來調整,而用於修改掃描階段160A的持續時間。在一非限制性的例子中,清掃階段的持續時間可藉由清掃氣體流率的調整而加以優化。此可減少沉積循環時間,其可增進基板的產量。
除了上述的曝露與可選清掃階段以外,CFD循環通常包含「活化階段」。活化階段有助於驅動吸附在基板表面上之一或更多反應物的反應。在圖1A中所示之實施例的電漿活化階段180A,提供電漿能量以活化介於表面所吸附之反應物A與B之間的表面反應。舉例來說,電漿可直接或間接活化反應物A的氣相分子以形成反應物A自由基。這些自由基可接著與表面所吸附的反應物B產生交互作用,導致成膜的表面反應。沉積循環110A以電漿活化階段180A作結,而在圖1A的實施例中,沉積循環110A之後接著以反應物A曝露階段120B開始的沉積循環110B。
在某些實施例中,在電漿活化階段180A中激發的電漿可直接形成於基板表面上方。此可提供較高的電漿密度及增加的介於反應物A與B之間的表面反應速率。例如,可藉由使用兩電容耦合板施加射頻(RF,radio frequency)場於低壓氣體來產生CFD製程的電漿。在替代實施例中,遠端產生的電漿可在主反應腔室外部被產生。
可使用任何適當的氣體來形成電漿。在第一範例中,可使用惰性氣體例如氬氣或氦氣來形成電漿。在第二範例中,可使用反應氣體例如氧氣或氨來形成電漿。在第三範例中,可使用清掃氣體例 如氮氣來形成電漿。當然,可使用這些氣體種類的組合。因RF場所造成的這些板之間氣體之離子化激發了電漿,並在電漿放電區域中產生自由電子。這些電子藉由RF場加速並可與氣相反應物分子碰撞。這些電子與反應物分子的碰撞可形成參與沉積製程的自由基物種。應當理解的是,RF場可經由任何適當的電極而被耦合。電極的非限制性範例包含製程氣體散佈噴淋頭及基板支撐基座。應當理解的是,CFD製程的電漿可藉由除RF場對氣體之電容耦合之外的一或更多適當的方法來形成。
電漿活化階段180A可具有任何適當的持續時間。在某些實施例中,電漿活化階段180A可具有一持續時間,該持續時間超過電漿活化的自由基與所有曝露的基板表面及被吸附物之間交互作用,以在基板表面頂上形成連續薄膜所需的時間。舉例來說,在圖1A中所示的實施例包含在電漿活化階段180A中的電漿後飽和曝露時間190。
在一情況下,CFD製程可將保形的二氧化矽薄膜沉積於非平面基板上。舉例來說,CFD二氧化矽薄膜可用於結構的間隙填充,例如淺溝槽隔離(STI,shallow trench isolation)結構的溝槽填充。雖然以下所述的各種實施例係關於間隙填充應用,惟應當理解的是,此僅為一非限制性、說明性的應用,而利用其他適當薄膜材料的其他適當應用可落入本揭露內容的範圍之中。CFD二氧化矽薄膜的其他應用包含但不限於層間介電質(ILD,interlayer dielectric)應用、金屬間介電質(IMD,intermetal dielectric)應用、前金屬介電質(PMD,pre-metal dielectric)應用、矽穿孔(TSV, through-silicon via)的介電質襯裡應用、電阻式隨機存取記憶體(ReRAM,resistive random access memory)應用、及/或在動態隨機存取記憶體(DRAM,dynamic random access memory)應用中的堆疊電容製造。
摻雜的氧化矽可被用作為硼、磷、或甚至砷摻雜物的擴散來源。例如,可使用硼摻雜矽酸鹽玻璃(BSG)、磷摻雜矽酸鹽玻璃(PSG)、或甚至硼磷摻雜矽酸鹽玻璃(BPSG)。經摻雜的CFD層可用以提供保形摻雜於例如三維電晶體的結構(像是多閘極鰭式場效電晶體(FinFET,fin-shaped field effect transistor)及三維記憶體元件)中。傳統的 離子佈植機無法輕易對側壁進行摻雜,尤其在高深寬比的結構中。
作為擴散來源的CFD摻雜氧化物具有許多優點。首先,其在低溫下提供高保形度。相較之下,低壓CVD所生產之經摻雜的四乙基正矽酸鹽(TEOS,tetraethylorthosilicate)係已為人所知,但此需要在高溫下沉積,而次大氣壓(sub-atmospheric)CVD及電漿輔助CVD(PECVD,plasma-enhanced CVD)的經摻雜氧化物薄膜均有可能在較低的溫度下生產,但具有的保形度不夠。摻雜的保形度係重要的,但薄膜本身的保形度亦同樣重要,因為薄膜通常為犧牲應用且接著會需要被移除。非保形薄膜在移除方面通常會面臨更多的挑戰,即,某些區域會被過蝕刻。
此外,CFD提供極佳的受控摻雜濃度。如所提到的,CFD製程能夠由隨後進行單層摻雜的數層未摻雜氧化物開始提供。摻雜的程度能藉由用以沉積摻雜層的頻率及摻雜循環的條件而被嚴格控制。在某些實施例中,摻雜循環係藉由例如使用具有明顯立體阻礙(steric hindrance)的摻雜劑來源來控制。除了傳統矽基的微電子技術之外,其他CFD摻雜的應用包括以III-V族半導體(例如GaAs)以及II-VI族半導體(例如HgCdTe)為基礎的微電子技術與光電子技術、光伏元件、平面顯示器、及電致變色技術。
在某些實施例中,可控制電漿產生器以在電漿活化階段期間提供電漿能量的間歇脈衝。例如,可以一或更多頻率脈衝產生電漿,此頻率包含但不限於介於10Hz與500Hz之間的頻率。相較於連續電漿,此可藉由減低離子轟擊的定向性而加強階梯覆蓋性。再者,此可減低離子轟擊對基板的傷害。舉例來說,在連續電漿期間,光阻基板可能被離子轟擊侵蝕。脈衝產生電漿能量可減低光阻侵蝕。
在反應物B與反應物A共存於電漿環境中的情況下,可發生並發的PECVD型與CFD型反應。在某些實施例中,於電漿環境中反應物的共存可導因於在反應物B的供應已中斷後在處理站中反應物B的滯留,而持續使反應物B曝露於基板。舉例來說,圖1C顯示包含清掃階段的CFD製程之實施例的時序圖2900,該清掃階段在中斷反應物B到處理站之供給與電漿活化之間具有正持續時間。作為另一範例,圖 1D顯示CFD製程之實施例的另一時序圖3000,此CFD製程在中斷反應物B之供給與電漿活化之間不包含清掃階段(例如具有清掃時間=0)。
在某些實施例中,反應物於電漿環境中的共存可起因於並發的反應物B到處理站之供給以及電漿活化。舉例來說,圖1E顯示CFD製程之實施例的時序圖3100,此CFD製程在反應物B到處理站之供給與電漿活化之間具有交疊(以「負」清掃時間來表示)。
雖然上述的各種CFD沉積製程係針對單薄膜類型的沉積、處理、及/或蝕刻,惟應當理解的是,在本揭露內容之範圍內的某些CFD製程可包含多個薄膜類型的原位(in-situ)沉積。例如,可原位沉積多個薄膜類型的交替層。在第一個情況下,閘極元件的雙間隔物(spacer)可藉由氮化矽/氧化矽間隔物堆疊的原位沉積來製造。此可減少循環時間並增加處理站的產量,且可避免由潛在的薄膜層不相容性而形成的層間缺陷。在第二個情況下,微影圖案化應用的抗反射層可被沉積為具有可調光學特性的SiOC與SiON或非晶矽的堆疊。在另一情況下,保護薄膜層首先被沉積於敏感基板(例如,在本文中所描述的低損傷條件下)上,接著電適薄膜層被沉積於保護薄膜層上。此雙層方法可用以防止氧化、氮化、或在敏感基板上的其他反應。
許多不同的反應物可被用於實施所揭露之實施例。在所沉積的薄膜包含有矽的情況下,矽化合物可為,例如,矽烷、鹵素矽烷或胺基矽烷。矽烷含有氫及/或碳基團,但不含有鹵素。矽烷的例子為甲矽烷(SiH4)、乙矽烷(Si2H6)、及有機矽烷如甲基矽烷、乙基矽烷、異丙基矽烷、第三丁基矽烷、二甲基矽烷、二乙基矽烷、二第三丁基矽烷、烯丙基矽烷、第二丁基矽烷、叔己基矽烷(thexylsilane)、異戊基矽烷、第三丁基乙矽烷、二第三丁基乙矽烷、及類似者。鹵素矽烷含有至少一鹵素基團且可含有或不含有氫及/或碳基團。鹵素矽烷的例子為碘矽烷、溴矽烷、氯矽烷、及氟矽烷。雖然鹵素矽烷,特別是氟矽烷,可形成能蝕刻矽材料的反應性鹵化物物種,但在本文中所述的某些實施例中,當電漿被激發時不存有含矽的反應物。具體的氯矽烷為四氯矽烷(SiCl4)、三氯矽烷(HSiCl3)、二氯矽烷(H2SiCl2)、一氯矽烷(ClSiH3)、氯烯丙基矽烷、氯甲基矽烷、二氯甲基矽烷、氯二甲基矽烷、 氯乙基矽烷、第三丁基氯矽烷、二第三丁基氯矽烷、氯異丙基矽烷、氯第二丁基矽烷、第三丁基二甲基氯矽烷、叔己基二甲基氯矽烷(thexyldimethylchlorosilane)、及類似者。胺基矽烷包含至少一鍵結至矽原子的氮原子,但也可包含氫、氧、鹵素、及碳。胺基矽烷的例子為一、二、三及四胺基矽烷(分別為H3Si(NH2)、H2Si(NH2)2、HSi(NH2)3、及Si(NH2)4),以及經取代的一、二、三及四胺基矽烷如,第三丁基胺基矽烷、甲基胺基矽烷、第三丁基矽烷胺、雙(第三丁基胺基)矽烷(SiH2(NHC(CH3)3)2)(BTBAS)、第三丁基矽烷基胺基甲酸酯、SiH(CH3)-(N(CH3)2)2、SiHCl-(N(CH3)2)2、(Si(CH3)2NH)3、雙二乙基胺基矽烷(BDEAS,bisdiethylaminosilane)、二異丙基胺基矽烷(DIPAS,diisopropylaminosilane)、三二甲基胺基鈦(TDMAT,tridimethylaminotitanium)、及類似者。胺基矽烷的另一個例子為三甲矽烷胺(N(SiH3)3)。
在其他情況下,所沉積的薄膜含有金屬。可形成的含金屬薄膜的範例包括鋁、鈦、鉿、鉭、鎢、錳、鎂、鍶等等的氧化物及氮化物,以及金屬元素薄膜。示例的前驅物可包含金屬烷基胺類、金屬烷氧化物、金屬烷基醯胺類、金屬鹵化物、金屬ß-二酮化合物、金屬羰基化合物、有機金屬化合物等等。合適的含金屬前驅物可包含欲合併進到薄膜的金屬。例如,含鉭層可藉由使五(二甲基醯胺基)鉭與氨或其他還原劑發生反應而沉積。可使用的含金屬前驅物之另外範例包含三甲基鋁、四乙氧基鈦、四-二甲基-醯胺基鈦、鉿四(乙基甲基醯胺)、雙(環戊二烯基)錳、及雙(正丙基環戊二烯基)鎂。
在某些實施例中,所沉積的薄膜含有氮,而必須使用含氮反應物。含氮反應物包含至少一個氮,例如,氨、胼、胺類(例如含碳胺類)如甲基胺、二甲基胺、乙基胺、異丙基胺、第三丁基胺、二第三丁基胺、環丙基胺、第二丁基胺、環丁基胺、異戊基胺、2-甲基丁-2-胺(2-methylbutan-2-amine)、三甲基胺、二異丙基胺、二乙基異丙基胺、二第三丁基胼、以及含胺類的芳香族如苯胺、吡啶(pyridines)、及苯甲胺。胺類可為一級、二級、三級或四級(例如,四烷基銨化合物)。含氮反應物可含有除了氮以外的異種原子,舉例來說,羥基胺、第三丁基 氧羰基胺(t-butyloxycarbonyl amine)及N-第三丁基羥基胺(N-t-butyl hydroxylamine)均為含氮反應物。
在某些實施方法中,含氧的氧化反應劑被使用。含氧的氧化反應劑之實例包含氧氣、臭氧、一氧化二氮、一氧化氮、二氧化氮、一氧化碳、二氧化碳、一氧化硫、二氧化硫、含氧的烴類(CxHyOz)、水(H2O)、其混合物等等。
在某些實施例中,在電漿熄滅後可選擇性執行抽氣到小於約1Torr(例如,使用0的設定點),若有執行此抽氣,可於後電漿(post-plasma)清潔之前、之中、之後任一時間。
如上面所提到,傳統沉積氧化物的方法會導致底層基板損傷。圖2顯示了在T=400℃及RF功率=625W/站並使用N2/O2為氧化劑來沉積氧化矽層的CFD方法中所發生的,對於底層基板的氧化相關損傷之存在。藉由使用薄膜厚度(CFDOx厚度)相對於CFD循環數量的線性配適,y-截距提供了關於基板表面上原生氧化層厚度的資訊。y-截距為零係表示在沉積期間底層基板沒有氧化。然而,如圖2所示,此方法導致了大約0.6nm或6Å的原生氧化層厚度。
存有幾個影響敏感基板上之氧化量的關鍵因素。這些因素包含在沉積製程期間的基板溫度、用以激發電漿的功率、氧化反應物的選擇、腔室壓力、及在沉積期間電漿功率施加的時間長度。在許多情況下,保護層能夠藉由使基板保持在約25℃及450℃之間而形成。一般而言,較低的基板溫度會導致較少的基板氧化。在某些實施例中,保護層能夠藉由使基板保持在約25℃與200℃之間(例如在約50℃及150℃之間)而形成。然而,若其他的條件(例如電漿功率)被調整,則在某些實施例中可使用高達450℃或甚至更高的溫度。
影響基板氧化之程度的另一關鍵因素為在CFD製程期間用以激發電漿的功率。較低的功率導致較少的基板氧化。圖3A顯示在2.5Torr、使用N2O作為氧化劑的CFD沉積中,RF功率對基板氧化厚度的影響。在此所比較的RF值為500W(以菱形表示)、350W(以方塊表示)、250W(以三角形表示)、及250W並在3xRF時間下(以X表示)。在圖3A中,如在圖2中般,y-截距代表基板氧化量。因此,可以看出較 高的RF功率值具有較高的y-截距,而對應於較高程度的基板氧化。在圖3A及3B(於之後有進一步討論)中以及下面的表1中,所記述的RF位準代表所使用的全部RF功率。此全部功率被分配在四個RF站之間。氧化之RF功率肇始值(onset value)可介於約60-90W/站之間。62.5W/站的RF功率值顯示出幾乎沒有基板氧化。因此,在某些實施例中,可於氧化敏感基板上以每站不高於約60-90W、低於氧化之RF功率肇始值的情形下,進行電漿輔助沉積。
下面的圖1其對應於顯示於圖3A中的條件及數據,並說明了薄膜應力可藉由改變RF磁通(即,RF功率及/或RF時間)來調整。在較高RF功率(例如4kW左右)的情形下,壓縮應力為約-200至-250MPa。在此處所示之較低RF功率的情形下,應力變得較不具壓縮性且較接近中性。表1亦指出,在相同RF功率值的情況下,較短的RF時間亦會導致較中性的應力值。舉例來說,在RF功率為250W的情況下,增加三倍的RF時間會增加約三倍的薄膜應力。增加RF時間亦會導致薄膜的崩潰電壓(BDV,breakdown voltage)之增加(即,BDV變得負更多)。這暗示了在低損傷條件下所形成的薄膜可能遭受低崩潰電壓問題。然而,這可能並非總是此種情況,其藉由以500W形成的薄膜顯示出比以250W形成的薄膜還要低的BDV(負較少)此一事實得到證明。
本文中所提供的所有RF位準係針對300mm的晶圓,對於不同尺寸的晶圓可適當地調整RF位準大小。RF功率位準隨晶圓面積作線性調整(其他尺寸晶圓的RF功率位準轉換可藉由維持每單位面積的電漿密度及分佈固定不變來完成)。舉例來說,對於直徑450mm的晶 圓,125W/站的報告值可被調整到約280W/站。
在一實施例中,用以產生保護層的RF功率係介於約12.5與125W/站之間。在另一實施例中,用以產生保護層的RF功率係介於約50與125W/站之間,或低於約100W/站。
施加RF功率的時間量(RF時間)亦能影響基板氧化量。一般而言,較長的RF時間會導致較多的基板氧化。在電漿輔助ALD或CFD循環期間的RF時間之持續期間,其範圍可由約50ms至約1s,例如約0.25s。
本文中所描述的RF位準係指高頻(HF,high-frequency)RF,雖然在某些實施例中,除了HF RF之外可使用低頻(LF,low frequency)RF。示例的高頻RF頻率可包含但不限於介於約1.8MHz與2.45GHz之間的頻率。示例的低頻RF頻率可包含但不限於介於約50kHz與500kHz之間的頻率。
氧化反應物的選擇亦會影響基板氧化量。氧化反應物通常為O2與弱氧化劑的混合物。弱氧化劑的例子包含碳氧化物(例如二氧化碳(CO2)與一氧化碳(CO))、氮氧化物(例如一氧化二氮(N2O)、一氧化氮(NO)與二氧化氮(NO2))、及硫氧化物(例如一氧化硫(SO)與二氧化硫(SO2))。其他弱氧化劑的例子包含任何含氧烴類(CxHyOz)及水(H2O)。一般而言,較低的O2相對量及較高的弱氧化劑相對量導致較少的基板氧化。在某些情況下,O2可由氧化反應物中完全去除。在某些實施例中,除了或取代了弱氧化劑,氧化反應物可包含臭氧。雖然臭氧通常為強氧化劑,但像是那些發生於CFD期間的表面反應可非為離子驅動,從而使臭氧成為氧化反應物或其中成分的可能候選者。在使用臭氧的情況中,對基板的表面損傷可被限制於藉由單重態(singlet state)致能的自由基反應。相反地,以電漿(例如,電容耦合或感應耦合電漿)撞裂O2可能因O-之存在而對基板造成與離子相關的損傷。
圖3B顯示了使用兩個不同氧化反應物的效果。此數據係顯示於兩個不同位準的RF功率。當氧化反應物僅為N2O時,相較於當氧化反應物為N2O及O2的混合物時,基板氧化量減少。
在用於在敏感基板上形成保護薄膜的實施例中,氧化反 應物係介於0與約50%之間的O2,及介於約50與100%之間的弱氧化劑。氧化反應物可為介於0與約50%之間的O2,其餘部分為一或更多弱氧化劑。總體之氧化反應物流率的範圍可由約1-25SLM開始。舉例來說,總體之氧化反應物可以約20SLM之流率流動,並有約10SLM的O2及約10SLM的弱氧化劑(例如N2O)。氧化反應物可在RF激發的同時被引入,或可持續流動。
在某些實施例中,使用了含矽反應物。可將此反應物以介於約0.25mL/min與約4mL/min之間的流率引入,且在某些實施例中,以約0.5mL/min的流率引入。
儘管在本文中許多的描述著重於氧化矽薄膜之形成,在本文中所描述的方法亦可用以在反應敏感基板上形成其他種類的薄膜。舉例來說,前述的溫度與RF功率位準可用以在電漿輔助反應中使用矽前驅物及含氮共反應物來形成SiN。在這種方式中,可防止不樂見的氮化敏感基板之氮化。此外,此方法亦可用於沉積非含矽薄膜,非含矽薄膜包含金屬氧化物及金屬氮化物薄膜。
影響基板氧化的另一重要因素係在發生CFD製程時的壓力。較低的壓力可導致較少的氧化,使其在產生保護層時較佳是在低壓下進行CFD製程。在一實施例中,壓力可介於約2至10Torr之間,例如約6Torr。
保護層之厚度對於最終產品的效能而言係重要特性。用以製造保護層的處理條件可能導致薄膜中的電氣特性不佳。因此,保護層必須足夠厚,以充分防止在後續的處理與使用期間底層基板之氧化,同時足夠薄,以達到薄膜整體所需要的電氣特性。在一實施例中,保護層的範圍可由約10到約50Å。儘管50Å可能足以用來防止在更具侵略性之製程條件期間的後續氧化,但在某些實施例中,保護層可以更厚,例如小於100Å或在某些情況下甚至大於100Å。在某些實施例中,保護層可全部為SiO2(或其他材料)層。
在本發明的一實施態樣中,保護層被併入到雙層體中。保護層形成了底層,而電適層係沉積於保護層的頂部上。由於保護層可能具有不利的電氣特性,例如肇因於氧化轉換不足的低崩潰電壓 (BDV,breakdown voltage)及高漏電流,所以需要在頂部沉積電適層,藉此確保最終產品具有所需要的電氣特性。相較於那些用於生產底部保護層的條件,可在較高的基板溫度、較高的RF功率、較高的壓力、較長的RF時間、及/或使用不同的氧化(或其他輔助)反應物的情況下沉積電適層。
在形成電適層的過程中,基板溫度通常維持在約50℃與約400℃之間。在某些實施例中,基板係維持在約150℃與約250℃之間,而在其他實施例中,基板係維持在約300℃與約400℃之間。雖然在某些實施例中,各層的形成係發生於相同的基板溫度(即,此雙層體係等溫產生),但在其他的實施例中,在電適層的形成期間基板溫度較高。
用以產生電適層的RF功率位準之範圍可由約62.5W/站到約375W/站,例如250W/站。RF時間之範圍可由約50ms到約1s,而在一實施例中約為0.25s。
類似於用以產生保護層的氧化反應物,用以產生電適層的氧化反應物通常為O2與弱氧化劑的混合,其含有介於0與約50%之間的O2及50-100%的弱氧化劑。在某些實施例中,相較於用於保護層沉積的O2:弱氧化劑之比例,在電適層沉積期間此比例更高。在其他實施例中,各層的沉積係使用相同的O2:弱氧化劑之比例。氧化反應物的整體流量之範圍可由約1-25SLM開始,而在一實施例中約為20SLM並有約10SLM的O2與約10SLM的弱氧化劑(例如N2O)。
在某些實施例中,含矽反應物被用於沉積電適層。可以介於約0.25mL/min與約4mL/min的速率引入此反應物,而在某些實施例中係以0.5mL/min的速率引入。
用於產生電適層的壓力之範圍可由約2Torr到約10Torr,例如約6Torr。在某些實施例中,保護層與電適層兩者在相同的壓力下被沉積,然而在其他實施例中,電適層係在相對較高的壓力下被沉積,以達到所需的電氣特性。
電適層之厚度係決定於將使用該電適層的應用。例如,對於邏輯技術中的覆蓋,此層可介於約1nm與約25nm之間,而對於邏 輯技術中的界面層,此層可介於約5Å-40Å之間。在不同狀況中其他的厚度可以是適當的。
對最終產品的功能很重要的另一特性為保護層及電適層的相對厚度,在某些實施例中,保護層係介於雙層體之總厚度的約1%與約20%之間。一些應用可能需要超出此範圍的比率,例如小於總厚度的1%或大於總厚度的20%。
圖4顯示了對於保護層之相對厚度範圍的崩潰電壓(BDV)。對此資料集的所有薄膜厚度均為1000±50Å。在圖4中的x軸上越靠右的資料點代表雙層體具有相對較厚的保護薄膜與相對較薄的電適薄膜。用以形成電適層的製程係在T=150℃、HF功率=625W/站、3.5T、使用O2/N2O氧化劑的情況下進行。用以形成保護層的製程係在T=150℃、HF功率=65W/站、2.5T、使用N2O作為氧化劑的情況下進行。雙層體的BDV顯示了對雙層體中保護層之相對厚度強烈的依賴性,這表示雙層薄膜堆疊的電氣特性為可調的。此資料顯示當保護層為雙層體之總厚度的約20%左右或更少時,BDV仍相對尚佳。
本發明的另一實施態樣為一方法,此方法係使用普通矽基板作為測試載具來評估基板氧化,藉此避免在元件基板上測試氧化的複雜性。此方法包含進行CFD製程經過多次循環,及繪製薄膜層厚度對循環數量的圖。藉由使用這些變數之間的線性配適(從而假定每一CFD循環沉積了大致相同的薄膜厚度),可外插得出y-截距以提供在基板表面上的原生氧化物厚度。較高的y-截距表示較多的基板氧化,而y-截距為零係表示沒有基板氧化。此方法的一個例子係描述於上面相關的圖2。當實施此方法時,在做第一厚度測量之前必須沉積一些薄膜層。這可幫助提供關於基板損傷的更精確之資訊。於一些實施方式中,在做第一厚度測量之前沉積至少約5、或至少約10層。在沉積的成核階段期間基板被塗佈之後,基板損傷預期會為最小。
本發明的另一實施態樣係關於一方法,此方法用以決定上述雙層方法中保護層的最小厚度。此方法可允許保護層厚度之選擇係足夠厚以保護氧化敏感基板,然而又不會過厚以致於不利地影響了雙層體所需的電氣特性。
一系列不同厚度的保護薄膜層可被沉積於個別的基板上,基板包含但不限於矽晶圓。例如,在個別的基板上沉積範圍由約0Å到約300Å的不同厚度層。測量每一基板上的層的前電漿厚度。接著,將薄膜層一一曝露於非沉積電漿的100次循環。舉例來說,可將基板曝露於O2/N2O電漿之混合物的非沉積循環,其係以RF功率=2500W(625W/站)、基板溫度=150℃、及壓力=3.5T的條件進行。接著,測量每一層的後電漿厚度。將前電漿厚度與後電漿厚度之間的差異計算為厚度差。繪製此厚度差對薄膜層之前電漿厚度的圖。用以保護基板免受氧化的最小厚度可藉由找出在厚度差飽和之處的厚度(即,在厚度差變平或實質上變穩定之處)來決定。實質上穩定可意味當一薄膜的附加層所導致的厚度差之改變小於約0.5Å之時。在此點,藉由保護薄膜來防止肇因於表面氧化的厚度增加,而厚度差係單純起因於由電漿離子轟擊所造成的薄膜緻密化之貢獻。
以上的分析假定厚度差有二個主要的貢獻因素:(1)由於基板氧化所造成的厚度增加,而基板氧化係由O物種穿過保護層所引起;及(2)由電漿離子轟擊造成之薄膜緻密化所導致的厚度減少。由於每一晶圓係以相同的RF循環來處理,因此在不同晶圓間的薄膜緻密化被認為是一致的。
圖5顯示了一組使用此方法而獲得的實驗數據。如圖5中所見,此數據顯示藉由50-100Å厚的保護層有效地防止了基板氧化。此外,此數據亦顯示,由在前述的條件下進行100次循環所引起的薄膜緻密化導致了大約5Å的薄膜緻密化。在使用其他沉積條件的情況下(例如,不同的薄膜類型、底層基板、RF磁通、溫度、壓力等),最小厚度可能不同。所揭露的方法可被用於修改特定的雙層體形成製程,以達到必要的電氣特性。
用於描述本方法的數字係僅以範例的方式提供,而並非意圖限制本發明之範圍。一個在本技術領域中具有通常知識者應當瞭解,可使用廣泛範圍的溫度、RF功率、壓力、以及電漿組成。
裝置
應當理解的是,可將任何合適的處理站與上述的一或更 多實施例一起使用。舉例來說,圖6概略地顯示CFD處理站1300的一實施例。為簡化之目的,將CFD處理站1300描繪為一個具有用以維持低壓環境的處理腔室本體1302的獨立處理站。然而,應當理解的是,在共同處理工具環境中可包含多個CFD處理站1300。例如,圖7描繪了多站處理工具2400的一實施例。再者,應當理解的是,在某些實施例中,CFD處理站1300的一或更多硬體參數(包含那些前面所詳細討論的)可藉由一或更多電腦控制器以編程方式調整。
CFD處理站1300與反應物傳送系統1301流體連通,以將製程氣體傳送至散佈噴淋頭1306。反應物傳送系統1301包含混合槽1304,混合槽1304用於混合及/或調節傳送至噴淋頭1306的製程氣體。一或更多混合槽進口閥1320可控制製程氣體到混合槽1304的引入。
可將像是BTBAS的某些反應物在汽化及後續傳送至處理站之前,以液體形式儲存。舉例來說,圖6的實施例包含汽化點1303,汽化點1303用以使液體反應物汽化而被供應至混合槽1304。在某些實施例中,汽化點1303可為加熱汽化器。由此種汽化器產生的飽和反應物蒸氣可能在下游的傳輸管路中凝結。不相容氣體對凝結的反應物之曝露可能會產生小顆粒。這些小顆粒可能堵塞管路、妨礙閥運作、汙染基板等等。一些用以處理這些問題的方法包含清掃及/或排空傳輸管路,以移除殘留的反應物。然而,清掃傳輸管路可能增加處理站循環時間、減少處理站的產量。因此,在某些實施例中,汽化點1303下游的傳輸管路可被熱追蹤(heat traced)。在某些實施例中,混合槽1304亦可被熱追蹤。在一非限制性的例子中,汽化點1303下游的管路具有由約攝氏100度延伸到在混合槽1304處約攝氏150度的漸增溫度分佈。
在某些實施例中,反應物液體可在液體注入器被汽化。舉例來說,液體注入器可在混合槽上游將液體反應物的脈衝注入到運載氣流中。在一情況下,液體注入器可藉由將液體由較高壓力急驟降至較低壓力而將反應物汽化。在另一情況下,液體注入器可將液體霧化(atomize)成為分散的微滴,此微滴接著在加熱傳輸管路中被汽化。應當理解的是,較小的液滴可汽化得比較大的液滴快,而減少液體注入與完全汽化之間的延遲。較快的汽化可減少由汽化點1303往下游的 管路之長度。在一情況下,液體注入器可直接設置於混合槽1304。在另一情況下,液體注入器可直接設置於噴淋頭1306。
在某些實施例中,可在汽化點1303上游設置一液體流量控制器,此液體流量控制器用以控制汽化並輸送至處理站1300的液體之質量流量。舉例來說,液體流量控制器(LFC,liquid flow controller)可包含位於此LFC下游的熱質量流量計(MFM,mass flow meter)。此LFC的柱塞閥可響應於回授控制信號而進行調整,此控制信號係由與MFM電連接的比例積分微分(PID,proportional-integral-derivative)控制器所提供。然而,使用回授控制來穩定液體流量可能要花上一秒或更久。這可能會延長注入液體反應物的時間。因此,在某些實施例中,LFC可在回授控制模式與直接控制模式之間動態切換。在某些實施例中,可藉由停用LFC的感測管與PID控制器,而將LFC由回授控制模式動態切換到直接控制模式。
噴淋頭1306朝向基板1312散佈製程氣體。在圖6所示的實施例中,基板1312係位於噴淋頭1306下方,並被顯示置於基座1308上。應當理解的是,噴淋頭1306可具有任何適當的形狀,且可具有用以將製程氣體散佈至基板1312的任何適當數量與排列的通口。
在某些實施例中,微容積1307係位於噴淋頭1306下方。在微容積中而非在處理站的全部容積中進行CFD製程,可減少反應物曝露與清掃時間、可減少用於改變CFD製程條件(例如壓力、溫度等等)的時間、可限制處理站的自動機械對製程氣體的曝露等等。範例微容積的大小包含但不限於介於0.1公升與2公升之間的容積。
在某些實施例中,基座1308可被升起或降下,以使基板1312曝露於微容積1307及/或更改微容積1307的容積。舉例來說,在基板傳送階段,基座1308可被降下,以允許基板1312被裝載到基座1308上。在CFD製程階段期間,基座1308可被升起以將基板1312定位於微容積1307之內。在某些實施例中,微容積1307可完全包住基板1312以及一部份的基座1308,以在CFD製程期間產生高流阻的區域。
可選地,在部分的CFD製程期間,基座1308可被降下及/或升起以調整微容積1307內的製程壓力、反應物濃度等等。在一情況 下,其中,處理腔室本體1302在CFD製程期間係維持於一基礎壓力,降下基座1308可允許微容積1307被排空。微容積對處理腔室容積的範例比例包含但不限於介於1:500與1:10之間的容積比例。應當理解的是,在某些實施例中,可藉由適當的電腦控制器以編程方式來調整基座高度。
在另一情況下,調整基座1308的高度可允許在CFD製程中所包含之電漿活化及/或處理循環的期間改變電漿密度。在CFD製程階段結束時,基座1308可在另一基板傳送階段的期間被降下,以允許將基板1312由基座1308移除。
在某些實施例中,基座1308可被冷卻,以幫助防止在沉積製程期間對基板的損傷。此裝置/硬體的其他部分亦可被冷卻以幫助降低對基板的損傷。例如,可使用冷卻的噴淋頭及/或冷卻的腔室。可被冷卻之腔室表面的例子包含頂板、腔室本體、肋條、填隙板、轉軸、傳送臂等等。此冷卻可抵消可能以其他方法發生的溫度升高。冷卻的一個目的為使基板保持在較低的溫度。這些冷卻元件的溫度可在約25-300℃的範圍中,或在約35-100℃之間。舉例來說,冷卻可藉由提供一冷卻迴路來完成,該冷卻迴路使液體由冷卻機開始循環。其他冷卻的方法亦可被使用,且一般為本技術領域中具有通常知識者所熟知。
雖然本文中所述之範例微容積變化係與高度可調的基座有關,惟應當理解的是,在某些實施例中,噴淋頭1306的位置可相對於基座1308而被調整,以改變微容積1307的容積。再者,應當理解的是,在本公開內容的範圍內,可藉由任何適合的機構來改變基座1308及/或噴淋頭1306的垂直位置。在某些實施例中,基座1308可包含用於旋轉基板1312方向的轉軸。應當理解的是,在某些實施例中,可藉由一或更多適當的電腦控制器以編程方式來執行這些範例調整其中之一或更多者。
回到圖6中所示的實施例,噴淋頭1306與基座1308電連接於RF電源供應器1314與匹配網路1316以對電漿供電。在某些實施例中,可藉由控制處理站壓力、氣體濃度、RF來源功率、RF來源頻率、及電漿功率脈衝時序其中之一或更多者來控制電漿能量。舉例來說, RF電源供應器1314與匹配網路1316可被操作在任何適當的功率,以形成具有所需自由基物種之組成的電漿。前文包含適當功率的例子。同樣地,RF電源供應器1314可提供任何適當頻率的RF功率。在某些實施例中,RF電源供應器1314可被配置以控制彼此獨立的高及低頻RF功率來源。範例低頻RF頻率可包含但不限於介於50kHz與500kHz之間的頻率。範例高頻RF頻率可包含但不限於介於1.8MHz與2.45GHz之間的頻率。應當理解的是,可不連續地或連續地調變任何適當的參數,以提供用於表面反應的電漿能量。在一非限制性的例子中,可間歇產生電漿功率脈衝,以相對於連續供電的電漿,減少基板表面的離子轟擊。
在某些實施例中,可藉由一或更多電漿監測器來原位監測電漿。在一情況下,可藉由一或更多電壓、電流感測器(例如,VI探針)來監測電漿功率,在另一情況下,可藉由一或更多光放射光譜(OES,optical emission spectroscopy)感測器來測量電漿密度及/或製程氣體濃度。在某些實施例中,可以來自於這些原位電漿監測器之量測為基礎,而以編程方式調整一或更多電漿參數。舉例來說,OES感測器可被用於回授迴路,此回授迴路係用以提供電漿功率之程式化控制。應當理解的是,在某些實施例中,可使用其他監測器來監測電漿及其他製程特性。此種監測器可包含但不限於紅外線(IR,infrared)監測器、聲波監測器、及壓力傳感器。
在某些實施例中,可通過輸入/輸出控制(IOC,input/output control)定序指令來控制電漿。在一範例中,用以設定電漿活化階段之電漿條件的指令可被包含於CFD製程配方的對應電漿活化配方階段中。在某些情況下,可依序安排製程配方階段,俾使CFD製程階段的所有指令與此製程階段同時被執行。在某些實施例中,用以設定一或更多電漿參數的指令可被包含於電漿處理階段前的配方階段。例如,第一配方階段可包含用以設定惰性及/或反應物氣體之流率的指令、用以將電漿產生器設定至功率設定點的指令、及用於第一配方階段的時間延遲指令。第二、緊接著的配方階段可包含用於使電漿產生器致能的指令及用於第二配方階段的時間延遲指令。第三配方階段可包含用於使電漿產生器失效的指令及用於第三配方階段的時間延 遲指令。應當理解的是,在本揭露內容的範圍內,可以任何適當的方式將這些配方階段進一步細分及/或重複。
在習知的沉積製程中,電漿激發維持了數秒以上程度的持續期間。在本文中所描述的某些實施方式中,更加短暫的電漿激發可被應用於CFD循環期間。這些電漿激發可為50ms至1秒的數量級,以0.25秒為一具體範例。如此短暫的RF電漿激發需要快速的電漿穩定化。為達成此需求,可將電漿產生器配置為阻抗匹配係預設定至特定電壓,而頻率被允許浮動。傳統上,高頻電漿係以約13.56MHz的RF頻率產生。在本文中所揭露的許多實施例中,此頻率可被允許浮動至與此標準值不同的值。藉由在將阻抗匹配固定至預設電壓的同時允許頻率浮動,電漿可更加快速地穩定化,當使用與CFD循環相關之極短暫電漿激發時,此結果可能相當重要。
在某些實施例中,可通過加熱器1310來對基座1308做溫度控制。再者,在某些實施例中,可藉由蝶形閥1318來提供CFD處理站1300的壓力控制。如圖6的實施例中所示,蝶形閥1318調節由下游的真空泵浦(未圖示)所提供的真空。然而,在某些實施例中,亦可藉由改變引入至CFD處理站1300的一或更多氣體之流率,而調整處理站1300的壓力控制。
如前所述,一或更多處理站可被包含於多站處理工具中。圖7顯示了多站處理工具2400之一實施例的示意圖,該多站處理工具2400具有入站負載鎖室(load lock)2402及出站負載鎖室2404,其之一或二者可包括遠端電漿源。在大氣壓力下,設置自動機械2406以將晶圓由透過箱2408所裝載的卡匣經由環境空氣通口2410移動進到入站負載鎖室2402。藉由自動機械2406將晶圓放置於入站負載鎖室2402中的基座2412上,關閉環境空氣通口2410,接著對負載鎖室進行抽氣。在入站負載鎖室2402包括遠端電漿源的情況中,晶圓可在被導入至處理腔室2414之前在此負載鎖室中曝露於遠端電漿處理。再者,晶圓亦可在入站負載鎖室2402中被加熱,例如,用以移除水分及吸附的氣體。接著,開啟通往處理腔室2414的腔室傳送通口2416,而另一自動機械(圖未示)將晶圓放進反應器中,並將其放置在反應器中所示的第一站的 基座上以供處理。雖然圖7中所繪的實施例包含負載鎖室,惟應當瞭解的是,在某些實施例中,可提供使晶圓直接進入處理站的方式。
所繪的處理腔室2414包括四個處理站,在圖7所示之實施例中編號為由1到4。每一站均具有加熱基座(顯示於站1的2418)、及氣體管路入口。應當理解的是,在某些實施例中,每一處理站可具有不同或多個目的。舉例來說,在某些實施例中,處理站在CFD與PECVD處理模式之間係可切換的。另外或可選地,在某些實施例中,處理腔室2414可包含CFD與PECVD處理站的一或更多配對。雖然所繪的處理腔室2414包括四個站,惟應瞭解的是,根據本揭露內容所載之處理腔室可具有任何適當數量的站。舉例來說,在某些實施例中,處理腔室可具有五或更多站,然而在其他實施例中,處理腔室可具有三或更少的站。
圖7亦描繪了用於在處理腔室2414內傳送晶圓的晶圓搬運系統2490之一實施例。在某些實施例中,晶圓搬運系統2490可在各種處理站之間及/或在處理站與負載鎖室之間傳送晶圓。應當理解的是,可使用任何適當的晶圓搬運系統。非限制性的例子包含晶圓旋轉料架(carousels)及晶圓搬運自動機械。圖7亦描繪了系統控制器2450之一實施例,系統控制器2450用以控制處理工具2400的硬體狀態及製程條件。系統控制器2450可包含一或更多記憶體裝置2456、一或更多大量儲存裝置2454、及一或更多處理器2452。處理器2452可包含中央處理單元(CPU,central processing unit)或電腦、類比及/或數位之輸入/輸出連接、步進馬達控制器板等等。
在某些實施例中,系統控制器2450控制處理工具2400的所有活動。系統控制器2450執行系統控制軟體2458,系統控制軟體2458係儲存於大量儲存裝置2454中、被載入至記憶體裝置2456中、且在處理器2452上被執行。系統控制軟體2458可包含多個指令,該等指令係用於控制時序、氣體之混合、腔室及/或站壓力、腔室及/或站溫度、晶圓溫度、目標功率位準、RF功率位準、RF曝露時間、基板基座、夾盤及/或接受器(susceptor)位置、以及由處理工具2400所執行之特定製程的其他參數。系統控制軟體2458可以任何適當的方式來設置。例如, 可撰寫各種處理工具元件的子程式或控制物件,以控制對實現各種處理工具製程極為重要的處理工具元件之操作。系統控制軟體2458可以任何適當的電腦可讀程式語言編寫而成。
在某些實施例中,系統控制軟體2458可包含用於控制上述各種參數的輸入/輸出控制(IOC)定序指令。例如,CFD製程的每一階段可包含一或更多由系統控制器2450所執行的指令。用以設定CFD製程階段用之製程條件的指令可被包含於對應的CFD配方階段中。在某些實施例中,可依序安排CFD配方階段,俾使CFD製程階段的所有指令與此製程階段同時被執行。
儲存於大量儲存裝置2454及/或記憶體裝置2456上並與系統控制器2450相關的其他電腦軟體及/或程式可被使用於某些實施例中。用於此目的之程式或程式的區段之例子包含基板定位程式、製程氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
基板定位程式可包含處理工具元件的程式碼,其係用於將基板運送至基座2418上,並控制基板與處理工具2400的其他部件之間的間距。
製程氣體控制程式可包含用以控制氣體組成及流率及,可選地,為穩定處理站中之壓力而在沉積前使氣體流入一或更多處理站的程式碼。在某些實施例中,控制器包含用於在第一組反應物條件下沉積保護層的指令,及用於在第二組反應物條件下沉積電適層的指令。第二組反應物條件可包含較高的強氧化劑:弱氧化劑之比率。
壓力控制程式可包含用於控制處理腔室中之壓力的程式碼,處理腔室中之壓力係藉由調節,例如,處理站之排空系統中的節流閥、進入到處理站的氣體流動等等來控制。在某些實施例中,控制器包含用於在第一壓力沉積保護層的指令,及用於在第二壓力將電適層沉積於保護層之上的指令,其中第二壓力高於第一壓力。
加熱器控制程式可包含用以控制流至加熱單元之電流的程式碼,此加熱單元係用於加熱基板。或者,加熱器控制程式可控制通往基板之熱傳導氣體(例如氦)的傳送。在某些實施方法中,控制器包含用於在第一溫度沉積保護層的指令,及在第二溫度將電適層沉積 於保護層之上的指令,其中第二溫度高於第一溫度。
電漿控制程式可包含用以設定一或更多處理站中之RF功率位準及曝露時間的程式碼,一或更多處理站中之RF功率位準及曝露時間係依據於本文中的實施例。在某些實施例中,控制器包含用於以第一RF功率位準與RF持續時間沉積保護層的指令,及以第二RF功率位準與RF持續時間將電適層沉積於保護層之上的指令。第二RF功率位準及/或第二RF持續時間可能比第一RF功率位準/持續時間還要高/長。
在某些實施例中,可存有與系統控制器2450相關的使用者介面。此使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等等)。
在某些實施例中,由系統控制器2450調整的參數可與製程條件有關。非限制性的例子包括製程氣體之組成與流率、溫度、壓力、電漿條件(例如RF偏壓功率位準與曝露時間)等等。可以配方的形式將這些參數提供給使用者,這些參數可利用使用者介面來輸入。
可藉由系統控制器2450的類比及/或數位輸入連接部而由各種處理工具感測器提供用於監測製程的信號。用於控制製程的信號可在處理工具2400的類比及數位輸出連接部上被輸出。可被監測之處理工具感測器的非限制性範例包含質量流量控制器、壓力感測器(例如壓力計)、熱電偶等等。適當的程式化回授與控制演算法可與來自這些感測器的數據一起被使用,以維持製程條件。
系統控制器2450可提供用以實施上述沉積製程的程式指令。程式指令可控制各種製程參數,例如直流(DC,direct current)功率位準、RF偏壓功率位準、壓力、溫度等等。這些指令可控制參數以操作依據於本文中所述之各種實施例的薄膜堆疊之原位沉積。
系統控制器通常會包含一或更多記憶體裝置及一或更多設置來執行指令的處理器,俾使此設備能執行依據於本發明的方法。機器可讀非暫態媒體可被耦合至系統控制器,此媒體包含用以控制依據於本發明的製程作業之指令。
1300‧‧‧CFD處理站
1301‧‧‧反應物傳送系統
1302‧‧‧處理腔室本體
1303‧‧‧汽化點
1304‧‧‧混合槽
1306‧‧‧噴淋頭
1307‧‧‧微容積
1308‧‧‧基座
1310‧‧‧加熱器
1312‧‧‧基板
1314‧‧‧RF電源供應器
1316‧‧‧匹配網路
1318‧‧‧蝶形閥
1320‧‧‧混合槽進口閥

Claims (43)

  1. 一種在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,包含:(a)週期性地將該氧化敏感及/或氮化敏感基板曝露於一含矽反應物的氣相流;(b)在一反應腔室之一站中將該氧化敏感及/或氮化敏感基板曝露於一氧化劑反應物或含氮反應物的氣相流;及(c)當該含矽反應物的氣相流已停止時,使用一介於每站約12.5與約125瓦之間的高頻射頻功率在該反應腔室中週期性地激發一電漿。
  2. 如申請專利範圍第1項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化矽材料或氮化矽材料的厚度係介於約10及約50埃之間。
  3. 如申請專利範圍第1項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係在約25℃與約450℃之間執行該方法。
  4. 如申請專利範圍第1項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係在約50℃與約200℃之間執行該方法。
  5. 如申請專利範圍第1項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化劑反應物包含介於約50與100%之間的弱氧化劑、及介於約0與50%之間的O2,該弱氧化劑係選自於由CO、CO2、NO、NO2、N2O、亞碸、含氧烴類(CxHyOz)及/或H2O所組成的群組。
  6. 如申請專利範圍第1至5項中任一項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係使用一介於每站約50與125瓦之間的高頻射頻功率激發該電漿。
  7. 如申請專利範圍第1至5項中任一項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,更 包含藉由下列步驟而在該氧化矽或氮化矽材料上沉積第二氧化矽材料或第二氮化矽材料:(d)在該反應腔室之一站中週期性地將該基板曝露於第二含矽反應物的氣相流;(e)將該基板曝露於第二氧化劑反應物的氣相流或第二含氮反應物的氣相流;及(f)當該第二含矽反應物的氣相流已停止時,使用一介於每站約250與約1500瓦之間的高頻射頻功率在該反應腔室中週期性地激發該電漿。
  8. 如申請專利範圍第7項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係在約50℃與約400℃之間執行操作(d)-(f)。
  9. 如申請專利範圍第8項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係在約300℃與約400℃之間執行操作(d)-(f)。
  10. 如申請專利範圍第7項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,操作(a)-(f)係被等溫執行。
  11. 如申請專利範圍第7項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化矽材料與該第二氧化矽材料、或該氮化矽材料與該第二氮化矽材料係為一雙層體中之各層,且其中該氧化矽材料層或氮化矽材料層之厚度係介於該雙層體之總厚度的約1與約20%之間。
  12. 如申請專利範圍第7項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,由操作(a)-(c)轉換到操作(d)-(f)包含維持該含矽反應物的氣相流,俾使該第二含矽反應物的氣相流與該含矽反應物的氣相流相同。
  13. 如申請專利範圍第7項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,由操作(a)-(c)轉換到操作(d)-(f)包含改變該氧化劑反應物或含氮反應物的 氣相流,俾使該第二氧化劑反應物或第二含氮反應物的氣相流係與該氧化劑反應物或含氮反應物的氣相流不同。
  14. 如申請專利範圍第13項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該第二氧化劑反應物的氣相流包含比該氧化劑反應物的氣相流還高的O2百分比。
  15. 如申請專利範圍第7項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化劑反應物或含氮反應物的氣相流及/或該第二氧化劑反應物的氣相流或該第二含氮反應物的氣相流係被脈衝導入該反應腔室。
  16. 如申請專利範圍第7項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化劑反應物、含氮反應物、第二氧化劑反應物、及第二含氮反應物的氣相流中之一或更多者係連續的。
  17. 如申請專利範圍第1至5項中任一項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化敏感或氮化敏感基板的曝露表面係選自於由矽(Si)、鈷(Co)、鍺-銻-碲(germanium-antimony-tellurium,GST)、矽鍺(SiGe)、氮化矽(SiN)、及碳化矽(SiC)所組成的群組。
  18. 如申請專利範圍第1至5項中任一項所述之在氧化敏感及/或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,不超過2埃的該氧化敏感基板或氮化敏感基板被氧化。
  19. 一種在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,包含:(a)在一反應腔室的一站中週期性地將該基板曝露於一含矽反應物的氣相流,其中該基板的溫度係維持在約5℃與約200℃之間;(b)將該氧化敏感或氮化敏感基板曝露於一氧化反應物或含氮反應物的氣相流;及(c)當該含矽反應物的氣相流已停止時,在該反應腔室中週期性地激發一電漿。
  20. 如申請專利範圍第19項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化矽材料或氮化矽材料之厚度係介於約10與約50埃之間。
  21. 如申請專利範圍第19項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係使用一介於每站約12.5與約125瓦之間的高頻射頻功率來激發該電漿。
  22. 如申請專利範圍第19項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係使用一介於每站約50與約125瓦之間的高頻射頻功率來激發該電漿。
  23. 如申請專利範圍第19項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化反應物包含介於約50與100%之間的弱氧化劑、及介於約0與50%之間的O2,該弱氧化劑係選自於由CO、CO2與NO、NO2、N2O、亞碸、含氧烴類(CxHyOz)、及/或H2O所組成的群組。
  24. 如申請專利範圍第19至23項中任一項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,使用冷卻硬體以使對基板之傷害減到最小,冷卻硬體包含一冷卻基座、一冷卻噴淋頭、及一冷卻腔室中之至少一者。
  25. 如申請專利範圍第19至23項中任一項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,更包含藉由下列步驟而在該氧化矽材料或氮化矽材料上沉積第二氧化矽材料或第二氮化矽材料:(d)在該反應腔室的一站中週期性地將該基板曝露於第二含矽反應物的氣相流,其中,該基板的溫度比在操作(a)-(c)中高至少約50℃;(e)將該基板曝露於第二氧化反應物或第二含氮反應物的氣相流;及(f)當該第二含矽反應物的氣相流已停止時,使用一高頻射頻功率在該反應腔室中週期性地激發該電漿。
  26. 如申請專利範圍第25項所述之在氧化敏感或氮化敏感基板之 曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係在約300℃與約400℃之間執行步驟(d)-(f)。
  27. 如申請專利範圍第25項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,係使用一介於每站約250與約1500瓦之間的高頻射頻功率來激發操作(f)中的電漿。
  28. 如申請專利範圍第25項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該氧化矽材料或氮化矽材料、及該第二氧化矽材料或第二氮化矽材料係為一雙層體中之各層,且其中該氧化矽材料或氮化矽材料係介於該雙層體之總厚度的約1與20%之間。
  29. 如申請專利範圍第19至23項中任一項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,該基板的曝露表面係選自於由矽(Si)、鈷(Co)、鍺-銻-碲(germanium-antimony-tellurium,GST)、矽鍺(SiGe)、氮化矽(SiN)、及碳化矽(SiC)所組成的群組。
  30. 如申請專利範圍第19至23項中任一項所述之在氧化敏感或氮化敏感基板之曝露表面上形成氧化矽材料或氮化矽材料的方法,其中,不超過2埃的該基板被氧化。
  31. 一種形成含矽雙層體的方法,該含矽雙層體係形成於一反應敏感基板上,該方法包含:(a)藉由電漿輔助原子層沉積製程來形成一含矽薄膜的第一層;及(b)藉由電漿輔助原子層沉積製程以於該第一層上形成該含矽薄膜的第二層,其中,操作(b)係使用比在操作(a)中還高的射頻功率來執行。
  32. 一種形成含矽雙層體的方法,該含矽雙層體係形成於一反應敏感基板之曝露表面上,該方法包含:(a)藉由電漿輔助原子層沉積製程來形成一含矽薄膜的第一層;及 (b)藉由電漿輔助原子層沉積製程來形成該含矽薄膜的第二層,其中,操作(b)係在比操作(a)還高的溫度被執行。
  33. 如申請專利範圍第31或32項其中任一項所述之形成含矽雙層體的方法,其中,該含矽薄膜的第一層係介於該雙層體之總厚度的約1與20%之間。
  34. 如申請專利範圍第31或32項其中任一項所述之形成含矽雙層體的方法,其中,該含矽薄膜的第一層之厚度係介於約10與約50埃之間。
  35. 如申請專利範圍第31或32項其中任一項所述之形成含矽雙層體的方法,其中,該電漿輔助原子層沉積製程使用一氧化反應物,該氧化反應物包含介於約50與100%之間的弱氧化劑、及介於約0與50%之間的O2,該弱氧化劑係選自於由CO、CO2、NO、NO2、N2O、亞碸、含氧烴類(CxHyOz)、及/或H2O所組成的群組。
  36. 如申請專利範圍第31或32項其中任一項所述之形成含矽雙層體的方法,其中,該反應敏感基板之曝露表面係選自於由矽(Si)、鈷(Co)、鍺-銻-碲(germanium-antimony-tellurium,GST)、矽鍺(SiGe)、氮化矽(SiN)、及碳化矽(SiC)所組成的群組。
  37. 如申請專利範圍第31或32項其中任一項所述之形成含矽雙層體的方法,其中,該含矽薄膜為氧化矽、氮化矽、碳化矽、氮氧化矽、碳氧化矽、或碳氮化矽薄膜。
  38. 如申請專利範圍第31或32項其中任一項所述之形成含矽雙層體的方法,其中,該含矽薄膜的第一層之厚度係藉由下列步驟來決定:(i)提供多個個別基板,該等基板具有不同厚度的含矽保護薄膜沉積於其上;(ii)測量在該等個別基板上的每一保護薄膜的一前電漿厚度;(iii)在(ii)之後,將該等個別基板曝露於多個電漿曝露循環,其中,實質上在該電漿曝露的期間沒有材料被沉積;(iv)在(iii)之後,測量在該等個別基板上的保護薄膜的一後電漿厚度; (v)計算每一個別基板的厚度差異,該厚度差異對應於該前電漿厚度減去該後電漿厚度;(vi)藉由估算在該厚度差異變得實質上穩定時的該保護薄膜厚度來決定該含矽薄膜的第一層之厚度。
  39. 一種測量在一薄膜的沉積期間對一基板之損傷程度的方法,包含:(a)藉由一循環沉積方法來沉積多個薄膜層,在該循環沉積方法中每一循環沉積一薄膜層;(b)測量所沉積薄膜層之厚度;(c)在(b)之後,藉由該循環沉積方法沉積一或更多額外的薄膜層;(d)在(c)之後,測量所沉積薄膜層之厚度;(e)在所執行的沉積循環之數量與所量測的薄膜厚度之間進行一線性回歸,以形成一回歸模型;(f)使用該回歸模型來估算在約零沉積循環時的一預測厚度,其中,該預測厚度對應於在該薄膜層之沉積期間所經歷的基板損傷之總量。
  40. 如申請專利範圍第39項所述之測量在一薄膜的沉積期間對一基板之損傷程度的方法,其中,該薄膜厚度被量測至少三次,且其中該至少三次的薄膜厚度量測之各者係在進行不同數量的沉積循環之後被執行。
  41. 如申請專利範圍第39項所述之測量在一薄膜的沉積期間對一基板之損傷程度的方法,其中,最早的薄膜厚度量測係在至少進行約5沉積循環之後被執行。
  42. 如申請專利範圍第39項所述之測量在一薄膜的沉積期間對一基板之損傷程度的方法,其中,該循環沉積方法包含:提供一第一反應物至一反應腔室,並允許該第一反應物吸附到該基板之表面上;提供一第二反應物至該反應腔室;及當該第一與第二反應物之至少一者的流動已停止時,在該反應 腔室中激發一電漿,以驅動在該第一反應物與該第二反應物之間的反應。
  43. 一種決定沉積於一基板上的保護薄膜層之最小有效厚度的方法,包含:(a)提供多個個別基板,該等基板具有不同厚度的保護薄膜沉積於其上;(b)測量在該等個別基板上的每一保護薄膜的一前電漿厚度;(c)在(b)之後,將該等個別基板曝露於多個電漿曝露循環,其中,實質上在該電漿曝露的期間沒有材料被沉積;(d)在(c)之後,測量在該等個別基板上的保護薄膜的一後電漿厚度;(e)計算每一個別基板的厚度差異,該厚度差異對應於該前電漿厚度減去該後電漿厚度;(f)藉由估算在該厚度差異變得實質上穩定時的該保護薄膜厚度來決定該保護薄膜層之最小有效厚度。
TW102140721A 2012-11-08 2013-11-08 用以在敏感基板上沉積薄膜的方法 TWI612173B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261724217P 2012-11-08 2012-11-08
US61/724,217 2012-11-08

Publications (2)

Publication Number Publication Date
TW201437414A true TW201437414A (zh) 2014-10-01
TWI612173B TWI612173B (zh) 2018-01-21

Family

ID=50728302

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102140721A TWI612173B (zh) 2012-11-08 2013-11-08 用以在敏感基板上沉積薄膜的方法
TW106140906A TWI675122B (zh) 2012-11-08 2013-11-08 用以在敏感基板上沉積薄膜的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106140906A TWI675122B (zh) 2012-11-08 2013-11-08 用以在敏感基板上沉積薄膜的方法

Country Status (5)

Country Link
US (4) US9287113B2 (zh)
JP (1) JP6538300B2 (zh)
KR (1) KR102183336B1 (zh)
SG (1) SG2013083654A (zh)
TW (2) TWI612173B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI720001B (zh) * 2015-07-28 2021-03-01 美商蘭姆研究公司 敏感材料上之含鹵化物原子層沉積膜的整合方法
TWI815806B (zh) * 2017-02-13 2023-09-21 美商蘭姆研究公司 氣隙之建立方法

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105336661B (zh) * 2014-05-29 2019-01-22 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9576792B2 (en) * 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9520295B2 (en) * 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
JP6456764B2 (ja) * 2015-04-28 2019-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6479560B2 (ja) * 2015-05-01 2019-03-06 東京エレクトロン株式会社 成膜装置
US9799511B2 (en) * 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
JP6529357B2 (ja) * 2015-06-23 2019-06-12 東京エレクトロン株式会社 エッチング方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10381655B2 (en) * 2015-07-13 2019-08-13 Sonata Scientific LLC Surface modified SOFC cathode particles and methods of making same
US20170040158A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Low temperature ald on semiconductor and metallic surfaces
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9786492B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR101942819B1 (ko) * 2016-02-05 2019-01-30 연세대학교 산학협력단 박막 형성 방법
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9824893B1 (en) * 2016-06-28 2017-11-21 Lam Research Corporation Tin oxide thin film spacers in semiconductor device manufacturing
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9929006B2 (en) 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9865456B1 (en) 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
CN109643671B (zh) * 2016-08-26 2023-06-06 应用材料公司 自我修复式半导体晶片处理
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10049911B2 (en) * 2016-09-16 2018-08-14 Lam Research Corporation Temporally pulsed and kinetically modulated CVD dielectrics for gapfill applications
US9824884B1 (en) * 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10049882B1 (en) * 2017-01-25 2018-08-14 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device including forming a dielectric layer on a structure having a height difference using ALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10546748B2 (en) 2017-02-17 2020-01-28 Lam Research Corporation Tin oxide films in semiconductor device manufacturing
US10242866B2 (en) * 2017-03-08 2019-03-26 Lam Research Corporation Selective deposition of silicon nitride on silicon oxide using catalytic control
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
WO2018193538A1 (ja) * 2017-04-19 2018-10-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置および記録媒体
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10822699B2 (en) * 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN113675081A (zh) 2018-01-30 2021-11-19 朗姆研究公司 在图案化中的氧化锡心轴
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111886689A (zh) 2018-03-19 2020-11-03 朗姆研究公司 无倒角通孔集成方案
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11521849B2 (en) * 2018-07-20 2022-12-06 Applied Materials, Inc. In-situ deposition process
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP2020066764A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
TW202034380A (zh) * 2018-11-01 2020-09-16 美商蘭姆研究公司 產生用於增強原子層沉積之高品質電漿的方法
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10704143B1 (en) * 2019-01-25 2020-07-07 Asm Ip Holding B.V. Oxide film forming method
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
EP3715502B1 (en) 2019-03-29 2024-01-24 Picosun Oy Coating of 3-dimensional substrates
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
CN114245832A (zh) * 2019-06-07 2022-03-25 朗姆研究公司 原子层沉积期间的膜特性的原位控制
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP7320085B2 (ja) 2019-06-27 2023-08-02 ラム リサーチ コーポレーション 交互のエッチングプロセスおよび不動態化プロセス
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
KR20220097974A (ko) * 2019-11-08 2022-07-08 램 리써치 코포레이션 무선 주파수 (rf) 전력 램핑을 사용한 플라즈마 강화된 원자층 증착 (ald)
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (440)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS4843472A (zh) 1971-10-04 1973-06-23
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
CA1327338C (en) 1987-02-02 1994-03-01 Chorng-Ping Chang Process for producing devices containing silicon nitride films
JPH0293071A (ja) 1988-09-29 1990-04-03 Toshiba Corp 薄膜の形成方法
JPH0311635A (ja) * 1989-06-08 1991-01-18 Sekiyu Sangyo Katsuseika Center 化合物半導体装置の製造方法
US5094984A (en) 1990-10-12 1992-03-10 Hewlett-Packard Company Suppression of water vapor absorption in glass encapsulation
JPH04364320A (ja) 1991-06-07 1992-12-16 Mitsubishi Electric Corp 脱調検出回路
US5230929A (en) 1992-07-20 1993-07-27 Dow Corning Corporation Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes
TW201848B (zh) 1991-11-08 1993-03-11 Advanced Micro Devices Inc
DE4136987A1 (de) 1991-11-11 1993-05-13 Leybold Ag Verfahren zur oberflaechenpassivierung von sensoren
JPH05226279A (ja) 1992-02-10 1993-09-03 Toshiba Corp 半導体装置の製造方法
US5223443A (en) 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
JPH06177120A (ja) 1992-10-27 1994-06-24 Sony Corp 層間絶縁膜の形成方法
US5932286A (en) 1993-03-16 1999-08-03 Applied Materials, Inc. Deposition of silicon nitride thin films
JPH0781271A (ja) * 1993-09-20 1995-03-28 Hitachi Ltd 自動頁めくり制御方式
US5496608A (en) 1993-09-22 1996-03-05 Brother Kogyo Kabushiki Kaisha Optical recording medium
JPH07176084A (ja) * 1993-12-20 1995-07-14 Kuraray Co Ltd 情報記録媒体用ポリカーボネート樹脂および情報記録媒体の製造方法
JPH09102494A (ja) * 1995-10-09 1997-04-15 Toshiba Corp 半導体装置の保護膜およびその形成方法
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5593914A (en) 1996-03-19 1997-01-14 Radiant Technologies, Inc. Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US5670432A (en) 1996-08-01 1997-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment to form a void free aluminum metal layer for a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JPH1098032A (ja) 1996-09-20 1998-04-14 Hitachi Ltd 薄膜形成方法及び薄膜形成装置
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6069058A (en) 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5874368A (en) 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5856003A (en) 1997-11-17 1999-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device
US6346741B1 (en) 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US6100202A (en) 1997-12-08 2000-08-08 Taiwan Semiconductor Manufacturing Company Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer
US6509601B1 (en) 1998-07-31 2003-01-21 Samsung Electronics Co., Ltd. Semiconductor memory device having capacitor protection layer and method for manufacturing the same
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6218293B1 (en) 1998-11-13 2001-04-17 Micron Technology, Inc. Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride
WO2000047404A1 (en) 1999-02-12 2000-08-17 Gelest, Inc. Chemical vapor deposition of tungsten nitride
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR100420753B1 (ko) 1999-03-17 2004-03-02 세미컨덕터300 게엠베하 운트 코 카게 반도체 웨이퍼 상의 갭 충진 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
KR100356473B1 (ko) 1999-12-29 2002-10-18 주식회사 하이닉스반도체 반도체 소자의 알루미늄 옥사이드 박막 형성 방법
JP3437832B2 (ja) 2000-03-22 2003-08-18 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2001274404A (ja) 2000-03-24 2001-10-05 Toshiba Corp 薄膜トランジスタおよびその製造方法
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US20030008070A1 (en) 2001-06-12 2003-01-09 Applied Materials,Inc Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor
JP2002009072A (ja) 2000-06-23 2002-01-11 Tokyo Electron Ltd シリコン窒化膜の形成方法及び形成装置
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100721503B1 (ko) 2000-06-08 2007-05-23 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US20050230047A1 (en) 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US6482726B1 (en) 2000-10-17 2002-11-19 Advanced Micro Devices, Inc. Control trimming of hard mask for sub-100 nanometer transistor gate
JP2002134497A (ja) 2000-10-23 2002-05-10 Sony Corp 半導体装置の製造方法
US6689220B1 (en) 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
JP3437830B2 (ja) 2000-11-28 2003-08-18 東京エレクトロン株式会社 成膜方法
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
KR100408733B1 (ko) 2001-02-02 2003-12-11 주성엔지니어링(주) 박막 증착 방법
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP4406178B2 (ja) * 2001-03-28 2010-01-27 株式会社渡辺商行 成膜装置
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US6610169B2 (en) 2001-04-21 2003-08-26 Simplus Systems Corporation Semiconductor processing system and method
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
JP2003045864A (ja) 2001-08-02 2003-02-14 Hitachi Kokusai Electric Inc 基板処理装置
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6962876B2 (en) 2002-03-05 2005-11-08 Samsung Electronics Co., Ltd. Method for forming a low-k dielectric layer for a semiconductor device
US7250083B2 (en) 2002-03-08 2007-07-31 Sundew Technologies, Llc ALD method and apparatus
KR20030081144A (ko) 2002-04-11 2003-10-17 가부시키가이샤 히다치 고쿠사이 덴키 종형 반도체 제조 장치
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US7374617B2 (en) 2002-04-25 2008-05-20 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
KR100472777B1 (ko) 2002-06-26 2005-03-10 동부전자 주식회사 박막 적층 방법
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6794284B2 (en) 2002-08-28 2004-09-21 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using disilazanes
US6967159B2 (en) 2002-08-28 2005-11-22 Micron Technology, Inc. Systems and methods for forming refractory metal nitride layers using organic amines
US6784049B2 (en) 2002-08-28 2004-08-31 Micron Technology, Inc. Method for forming refractory metal oxide layers with tetramethyldisiloxane
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6774040B2 (en) 2002-09-12 2004-08-10 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
WO2004032196A2 (en) 2002-10-03 2004-04-15 Pan Jit Americas, Inc. Method of fabricating semiconductor by nitrogen doping of silicon film
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
CN101572232B (zh) 2002-12-20 2011-12-21 应用材料有限公司 形成高质量的低温氮化硅层的方法
US6890656B2 (en) 2002-12-20 2005-05-10 General Electric Company High rate deposition of titanium dioxide
KR100546852B1 (ko) 2002-12-28 2006-01-25 동부아남반도체 주식회사 반도체 소자의 제조 방법
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US6930059B2 (en) 2003-02-27 2005-08-16 Sharp Laboratories Of America, Inc. Method for depositing a nanolaminate film by atomic layer deposition
US6930058B2 (en) 2003-04-21 2005-08-16 Micron Technology, Inc. Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge
EP1616043B1 (en) 2003-04-23 2020-09-23 Eugenus Inc. Transient enhanced atomic layer deposition
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6949442B2 (en) 2003-05-05 2005-09-27 Infineon Technologies Ag Methods of forming MIM capacitors
US6765303B1 (en) 2003-05-06 2004-07-20 Advanced Micro Devices, Inc. FinFET-based SRAM cell
JP4329403B2 (ja) 2003-05-19 2009-09-09 東京エレクトロン株式会社 プラズマ処理装置
US6930060B2 (en) 2003-06-18 2005-08-16 International Business Machines Corporation Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric
US7125815B2 (en) 2003-07-07 2006-10-24 Micron Technology, Inc. Methods of forming a phosphorous doped silicon dioxide comprising layer
US7264849B2 (en) 2003-07-11 2007-09-04 Optisolar, Inc. Roll-vortex plasma chemical vapor deposition method
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US6943097B2 (en) 2003-08-19 2005-09-13 International Business Machines Corporation Atomic layer deposition of metallic contacts, gates and diffusion barriers
KR100568859B1 (ko) 2003-08-21 2006-04-10 삼성전자주식회사 디램 반도체 장치의 트랜지스터 제조방법
KR100500472B1 (ko) 2003-10-13 2005-07-12 삼성전자주식회사 리세스 게이트 트랜지스터 구조 및 형성방법
US7261919B2 (en) 2003-11-18 2007-08-28 Flx Micro, Inc. Silicon carbide and other films and method of deposition
US20050109276A1 (en) 2003-11-25 2005-05-26 Applied Materials, Inc. Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber
US7291271B2 (en) 2003-12-09 2007-11-06 Separation Design Group, Llc Meso-frequency traveling wave electro-kinetic continuous adsorption system
JP2005210076A (ja) 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
KR100545697B1 (ko) 2003-12-29 2006-01-24 주식회사 하이닉스반도체 반도체소자의 트렌치 소자분리 방법
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181535A1 (en) 2004-02-17 2005-08-18 Yun Sun J. Method of fabricating passivation layer for organic devices
US7088003B2 (en) 2004-02-19 2006-08-08 International Business Machines Corporation Structures and methods for integration of ultralow-k dielectrics with improved reliability
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
KR100538096B1 (ko) 2004-03-16 2005-12-21 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
JP2005310927A (ja) 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法
US7259050B2 (en) 2004-04-29 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of making the same
US7001844B2 (en) 2004-04-30 2006-02-21 International Business Machines Corporation Material for contact etch layer to enhance device performance
US7651729B2 (en) 2004-05-14 2010-01-26 Samsung Electronics Co., Ltd. Method of fabricating metal silicate layer using atomic layer deposition technique
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100591157B1 (ko) 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
US7449345B2 (en) 2004-06-15 2008-11-11 Headway Technologies, Inc. Capping structure for enhancing dR/R of the MTJ device
JP4396547B2 (ja) 2004-06-28 2010-01-13 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20050287747A1 (en) 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
US7488690B2 (en) 2004-07-06 2009-02-10 Applied Materials, Inc. Silicon nitride film with stress control
JP4595702B2 (ja) 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7241686B2 (en) 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
JP4179311B2 (ja) 2004-07-28 2008-11-12 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
CN101053063B (zh) 2004-09-01 2012-10-03 艾克塞利斯技术公司 用于增加光阻移除率之装置及等离子体灰化方法
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
KR100648252B1 (ko) 2004-11-22 2006-11-24 삼성전자주식회사 텅스텐막 형성 방법 및 이를 이용하는 반도체 소자의 형성방법
JP4701691B2 (ja) 2004-11-29 2011-06-15 東京エレクトロン株式会社 エッチング方法
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7482247B1 (en) 2004-12-30 2009-01-27 Novellus Systems, Inc. Conformal nanolaminate dielectric deposition and etch bag gap fill process
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7838072B2 (en) 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
KR100622609B1 (ko) 2005-02-16 2006-09-19 주식회사 하이닉스반도체 박막 형성 방법
US7629267B2 (en) 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
CN100554506C (zh) 2005-03-09 2009-10-28 东京毅力科创株式会社 半导体处理用的成膜方法及装置
US7109129B1 (en) 2005-03-09 2006-09-19 Novellus Systems, Inc. Optimal operation of conformal silica deposition reactors
JP4258518B2 (ja) 2005-03-09 2009-04-30 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
KR100640638B1 (ko) 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
JP4506677B2 (ja) 2005-03-11 2010-07-21 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7341959B2 (en) 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) 2005-03-21 2008-10-14 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) 2005-03-21 2008-01-01 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4228150B2 (ja) 2005-03-23 2009-02-25 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7361538B2 (en) 2005-04-14 2008-04-22 Infineon Technologies Ag Transistors and methods of manufacture thereof
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7176084B2 (en) 2005-06-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4752349B2 (ja) 2005-06-23 2011-08-17 大日本印刷株式会社 パターン形成体およびその製造方法
JP2007019145A (ja) 2005-07-06 2007-01-25 Tokyo Electron Ltd シリコン酸窒化膜の形成方法、シリコン酸窒化膜の形成装置及びプログラム
JP2007043147A (ja) 2005-07-29 2007-02-15 Samsung Electronics Co Ltd 原子層蒸着工程を用いたシリコンリッチナノクリスタル構造物の形成方法及びこれを用いた不揮発性半導体装置の製造方法
JP4305427B2 (ja) 2005-08-02 2009-07-29 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7132353B1 (en) 2005-08-02 2006-11-07 Applied Materials, Inc. Boron diffusion barrier by nitrogen incorporation in spacer dielectrics
KR100652427B1 (ko) 2005-08-22 2006-12-01 삼성전자주식회사 Ald에 의한 도전성 폴리실리콘 박막 형성 방법 및 이를이용한 반도체 소자의 제조 방법
KR100734748B1 (ko) 2005-09-08 2007-07-03 주식회사 아이피에스 인시튜 질화물(in-situ nitride) 박막증착방법
US20070065576A1 (en) 2005-09-09 2007-03-22 Vikram Singh Technique for atomic layer deposition
US20070087581A1 (en) 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US7524743B2 (en) 2005-10-13 2009-04-28 Varian Semiconductor Equipment Associates, Inc. Conformal doping apparatus and method
CN101288162B (zh) 2005-10-14 2010-06-09 日本电气株式会社 半导体装置的制造方法及其制造装置
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7897217B2 (en) 2005-11-18 2011-03-01 Tokyo Electron Limited Method and system for performing plasma enhanced atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7615438B2 (en) 2005-12-08 2009-11-10 Micron Technology, Inc. Lanthanide yttrium aluminum oxide dielectric films
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7829159B2 (en) 2005-12-16 2010-11-09 Asm Japan K.K. Method of forming organosilicon oxide film and multilayer resist structure
JP2007180362A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
JP4434149B2 (ja) 2006-01-16 2010-03-17 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US20070218701A1 (en) 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070215036A1 (en) 2006-03-15 2007-09-20 Hyung-Sang Park Method and apparatus of time and space co-divided atomic layer deposition
US7959985B2 (en) 2006-03-20 2011-06-14 Tokyo Electron Limited Method of integrating PEALD Ta-containing films into Cu metallization
US7645484B2 (en) 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
KR20080106984A (ko) 2006-03-31 2008-12-09 어플라이드 머티어리얼스, 인코포레이티드 유전체막들에 대한 스텝 커버리지 및 패턴 로딩 개선 방법
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
JP4929811B2 (ja) 2006-04-05 2012-05-09 東京エレクトロン株式会社 プラズマ処理装置
JP2007287890A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法、プラズマcvd装置
JP2007287889A (ja) 2006-04-14 2007-11-01 Kochi Univ Of Technology 絶縁膜の成膜方法、半導体装置の製法
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7727413B2 (en) 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
KR100756809B1 (ko) 2006-04-28 2007-09-07 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
EP2032738A1 (en) 2006-06-16 2009-03-11 Fuji Film Manufacturing Europe B.V. Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
KR100791334B1 (ko) 2006-07-26 2008-01-07 삼성전자주식회사 원자층 증착법을 이용한 금속 산화막 형성 방법
US7435684B1 (en) 2006-07-26 2008-10-14 Novellus Systems, Inc. Resolving of fluorine loading effect in the vacuum chamber
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US7592231B2 (en) 2006-08-01 2009-09-22 United Microelectronics Corp. MOS transistor and fabrication thereof
US7749879B2 (en) 2006-08-03 2010-07-06 Micron Technology, Inc. ALD of silicon films on germanium
JP4929932B2 (ja) 2006-09-01 2012-05-09 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP5241499B2 (ja) 2006-09-19 2013-07-17 東京エレクトロン株式会社 プラズマクリーニング方法、プラズマcvd方法、およびプラズマ処理装置
JP5258229B2 (ja) 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
WO2008042981A2 (en) 2006-10-05 2008-04-10 Asm America, Inc. Ald of metal silicate films
US20080087890A1 (en) 2006-10-16 2008-04-17 Micron Technology, Inc. Methods to form dielectric structures in semiconductor devices and resulting devices
US20080139003A1 (en) 2006-10-26 2008-06-12 Shahid Pirzada Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process
KR100816759B1 (ko) 2006-11-09 2008-03-25 삼성전자주식회사 가변저항 스토리지를 갖는 비휘발성 기억 장치 및 동작방법
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7923068B2 (en) 2007-02-12 2011-04-12 Lotus Applied Technology, Llc Fabrication of composite materials using atomic layer deposition
US20080213479A1 (en) 2007-02-16 2008-09-04 Tokyo Electron Limited SiCN film formation method and apparatus
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
KR100805018B1 (ko) 2007-03-23 2008-02-20 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7776733B2 (en) 2007-05-02 2010-08-17 Tokyo Electron Limited Method for depositing titanium nitride films for semiconductor manufacturing
KR101457656B1 (ko) 2007-05-17 2014-11-04 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체장치의 제조방법, 표시장치의 제조방법, 반도체장치,표시장치 및 전자기기
JP2008294260A (ja) 2007-05-25 2008-12-04 Sony Corp 半導体装置とその製造方法並びに積層絶縁膜とその形成方法
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
KR100956210B1 (ko) 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US8017182B2 (en) 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2011898B1 (en) 2007-07-03 2021-04-07 Beneq Oy Method in depositing metal oxide materials
US7572052B2 (en) 2007-07-10 2009-08-11 Applied Materials, Inc. Method for monitoring and calibrating temperature in semiconductor processing chambers
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
JP5098882B2 (ja) 2007-08-31 2012-12-12 東京エレクトロン株式会社 プラズマ処理装置
US7633125B2 (en) 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US20090065896A1 (en) 2007-09-07 2009-03-12 Seoul National University Industry Foundation CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME
EP2193541A1 (en) 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US8119424B2 (en) 2007-09-28 2012-02-21 Everspin Technologies, Inc. Electronic device including a magneto-resistive memory device and a process for forming the electronic device
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090057665A (ko) 2007-12-03 2009-06-08 주식회사 아이피에스 금속을 함유하는 박막 형성방법
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
KR101221598B1 (ko) 2007-12-18 2013-01-14 삼성전자주식회사 유전막 패턴 형성 방법 및 이를 이용한 비휘발성 메모리소자 제조방법.
US7964515B2 (en) 2007-12-21 2011-06-21 Tokyo Electron Limited Method of forming high-dielectric constant films for semiconductor devices
KR20090067576A (ko) 2007-12-21 2009-06-25 삼성전자주식회사 트렌치의 매립 방법 및 이를 이용한 소자 분리막 구조물의형성 방법
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5297048B2 (ja) 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
TWI438953B (zh) 2008-01-30 2014-05-21 Osram Opto Semiconductors Gmbh 電子組件之製造方法及電子組件
JP4959733B2 (ja) 2008-02-01 2012-06-27 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
US20090203197A1 (en) 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
JP5405031B2 (ja) 2008-03-06 2014-02-05 AzエレクトロニックマテリアルズIp株式会社 シリカ質膜の製造に用いる浸漬用溶液およびそれを用いたシリカ質膜の製造法
JP2009260151A (ja) 2008-04-18 2009-11-05 Tokyo Electron Ltd 金属ドープ層の形成方法、成膜装置及び記憶媒体
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR101436564B1 (ko) 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5190307B2 (ja) 2008-06-29 2013-04-24 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US8373254B2 (en) 2008-07-29 2013-02-12 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for reducing integrated circuit corner peeling
ES2335638B1 (es) 2008-08-01 2011-02-09 Cosentino, S.A. Articulo en forma de tabla o losa fabricado de aglomerado petreo recubierto con laminas delgadas transparentes de tio2 o zno mediante tecnicas de deposicion en via seca con alta resistencia frente a la degradacion solar.
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8357617B2 (en) 2008-08-22 2013-01-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a metal gate of semiconductor device
US20100051578A1 (en) 2008-09-04 2010-03-04 Shuo-Che Chang Method for fabricating an integrated circuit
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US8303780B2 (en) 2008-09-30 2012-11-06 Tdk Corporation Method of forming mask for dry etching and manufacturing method of magnetic head using the same method
JP5233562B2 (ja) 2008-10-04 2013-07-10 東京エレクトロン株式会社 成膜方法及び成膜装置
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US7745346B2 (en) 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US8252653B2 (en) 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
US8580993B2 (en) 2008-11-12 2013-11-12 Air Products And Chemicals, Inc. Amino vinylsilane precursors for stressed SiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
CN101736326B (zh) 2008-11-26 2011-08-10 中微半导体设备(上海)有限公司 电容耦合型等离子体处理反应器
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
GB0823565D0 (en) 2008-12-24 2009-01-28 Oxford Instr Plasma Technology Signal generating system
JP5293168B2 (ja) 2008-12-25 2013-09-18 富士通株式会社 レジスト組成物及びそれを用いた半導体装置の製造方法
JP2010183069A (ja) * 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP2010177652A (ja) 2009-02-02 2010-08-12 Toshiba Corp 半導体装置の製造方法
JP5298938B2 (ja) 2009-02-24 2013-09-25 住友電気工業株式会社 半導体素子の製造方法
JP4792097B2 (ja) 2009-03-25 2011-10-12 株式会社東芝 不揮発性記憶装置及びその製造方法
US8197915B2 (en) 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
JP2010251654A (ja) 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP5408483B2 (ja) 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
JP2011023576A (ja) 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2011023655A (ja) * 2009-07-17 2011-02-03 Shimadzu Corp 窒化シリコン薄膜成膜方法および窒化シリコン薄膜成膜装置
US8169024B2 (en) 2009-08-18 2012-05-01 International Business Machines Corporation Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
KR101680899B1 (ko) 2009-09-02 2016-11-29 소니 주식회사 고체 촬상 장치 및 그 제조 방법
KR101732187B1 (ko) 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8072800B2 (en) 2009-09-15 2011-12-06 Grandis Inc. Magnetic element having perpendicular anisotropy with enhanced efficiency
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8076241B2 (en) 2009-09-30 2011-12-13 Tokyo Electron Limited Methods for multi-step copper plating on a continuous ruthenium film in recessed features
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
WO2011058947A1 (ja) 2009-11-11 2011-05-19 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8691675B2 (en) 2009-11-25 2014-04-08 International Business Machines Corporation Vapor phase deposition processes for doping silicon
TWI579916B (zh) 2009-12-09 2017-04-21 諾菲勒斯系統公司 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
CN102652186A (zh) 2009-12-22 2012-08-29 应用材料公司 利用持续的等离子体的pecvd多重步骤处理
US8662053B2 (en) 2009-12-22 2014-03-04 Cummins Inc. Pre-combustion device for an internal combustion engine
US8501629B2 (en) * 2009-12-23 2013-08-06 Applied Materials, Inc. Smooth SiConi etch for silicon-containing films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
JP5514129B2 (ja) * 2010-02-15 2014-06-04 東京エレクトロン株式会社 成膜方法、成膜装置、および成膜装置の使用方法
JP5742185B2 (ja) 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
KR101226876B1 (ko) 2010-04-01 2013-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 아미노-금속 및 할로겐화 금속 전구체의 조합을 사용한 금속 질화물 함유 필름 퇴적
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
ES2923774T3 (es) 2010-05-21 2022-09-30 Asm Int Nv Método de fabricación de una celda solar
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
KR101710658B1 (ko) 2010-06-18 2017-02-27 삼성전자 주식회사 관통 전극을 갖는 3차원 적층 구조의 반도체 장치 및 그 반도체 장치의 시그널링 방법
KR20130062980A (ko) 2010-07-22 2013-06-13 시너스 테크놀리지, 인코포레이티드 원자층 증착에서 불활성 기체 플라즈마를 이용한 기판 표면의 처리
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
KR101147728B1 (ko) 2010-08-02 2012-05-25 주식회사 유진테크 사이클릭 박막 증착 방법
US8394466B2 (en) 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
US20120064682A1 (en) 2010-09-14 2012-03-15 Jang Kyung-Tae Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
KR101815527B1 (ko) 2010-10-07 2018-01-05 삼성전자주식회사 반도체 소자 및 그 제조 방법
WO2012057889A1 (en) 2010-10-29 2012-05-03 Applied Materials, Inc. Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making
CN103228983A (zh) 2010-11-10 2013-07-31 纳米系统公司 量子点薄膜、照明器件及照明方法
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US8901016B2 (en) 2010-12-28 2014-12-02 Asm Japan K.K. Method of forming metal oxide hardmask
JP2012160671A (ja) 2011-02-02 2012-08-23 Toshiba Corp 磁気ランダムアクセスメモリ及びその製造方法
JP5661523B2 (ja) 2011-03-18 2015-01-28 東京エレクトロン株式会社 成膜方法及び成膜装置
US20120258261A1 (en) 2011-04-11 2012-10-11 Novellus Systems, Inc. Increasing etch selectivity of carbon films with lower absorption co-efficient and stress
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US20140120270A1 (en) 2011-04-25 2014-05-01 James M. Tour Direct growth of graphene films on non-catalyst surfaces
US9006802B2 (en) * 2011-08-18 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device manufacturing methods and methods of forming insulating material layers
JP2013058521A (ja) 2011-09-07 2013-03-28 Toshiba Corp 記憶装置及びその製造方法
JP5551129B2 (ja) 2011-09-07 2014-07-16 株式会社東芝 記憶装置
TW201319299A (zh) 2011-09-13 2013-05-16 Applied Materials Inc 用於低溫電漿輔助沉積的活化矽前驅物
WO2013039881A2 (en) 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
WO2013043330A1 (en) 2011-09-23 2013-03-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8809169B2 (en) 2011-09-30 2014-08-19 Tokyo Electron Limited Multi-layer pattern for alternate ALD processes
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6065840B2 (ja) 2011-11-02 2017-01-25 宇部興産株式会社 トリス(ジアルキルアミド)アルミニウム化合物及び当該アルミニウム化合物を用いるアルミニウム含有薄膜の製造方法
US9318431B2 (en) 2011-11-04 2016-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit having a MOM capacitor and method of making same
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
KR20170002668A (ko) 2011-12-20 2017-01-06 인텔 코포레이션 등각 저온 밀봉 유전체 확산 장벽들
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP5843318B2 (ja) 2012-02-14 2016-01-13 株式会社Adeka Ald法用窒化アルミニウム系薄膜形成用原料及び該薄膜の製造方法
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8846484B2 (en) 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
JP5547763B2 (ja) 2012-03-16 2014-07-16 三井造船株式会社 プラズマ生成方法、この方法を用いた薄膜形成方法及びプラズマ生成装置
JP6125247B2 (ja) 2012-03-21 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8952765B2 (en) 2012-03-23 2015-02-10 Mks Instruments, Inc. System and methods of bimodal automatic power and frequency tuning of RF generators
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8956704B2 (en) 2012-05-21 2015-02-17 Novellus Systems, Inc. Methods for modulating step coverage during conformal film deposition
US8716149B2 (en) * 2012-05-29 2014-05-06 GlobalFoundries, Inc. Methods for fabricating integrated circuits having improved spacers
US8962078B2 (en) 2012-06-22 2015-02-24 Tokyo Electron Limited Method for depositing dielectric films
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140049162A1 (en) 2012-08-15 2014-02-20 George Thomas Defect reduction in plasma processing
US8795774B2 (en) 2012-09-23 2014-08-05 Rohm And Haas Electronic Materials Llc Hardmask
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US8846550B1 (en) 2013-03-14 2014-09-30 Asm Ip Holding B.V. Silane or borane treatment of metal thin films
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9012336B2 (en) 2013-04-08 2015-04-21 Applied Materials, Inc. Method for conformal treatment of dielectric films using inductively coupled plasma
CN104347421A (zh) 2013-08-07 2015-02-11 中芯国际集成电路制造(北京)有限公司 鳍式场效应管的形成方法
KR102081195B1 (ko) 2013-08-28 2020-02-25 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9564361B2 (en) 2013-09-13 2017-02-07 Qualcomm Incorporated Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device
US9368348B2 (en) 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
KR102294252B1 (ko) 2013-10-17 2021-08-25 나노시스, 인크. 발광 다이오드 (led) 디바이스들
KR102219147B1 (ko) 2013-11-13 2021-02-22 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 5 족 전이 금속-함유 필름의 증착을 위한 5 족 전이 금속-함유 화합물
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI480415B (zh) 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US20150159271A1 (en) 2013-12-09 2015-06-11 Veeco Ald Inc. Deposition of non-isostructural layers for flexible substrate
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
CN103928396A (zh) 2014-04-08 2014-07-16 上海华力微电子有限公司 扩大沟槽开口的方法
US9305837B2 (en) 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9543375B2 (en) 2014-06-27 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. MIM/RRAM structure with improved capacitance and reduced leakage current
TWI735912B (zh) 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN105719954B (zh) 2014-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US9496169B2 (en) 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US9595424B2 (en) 2015-03-02 2017-03-14 Lam Research Corporation Impedance matching circuit for operation with a kilohertz RF generator and a megahertz RF generator to control plasma processes
US10043690B2 (en) 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9406693B1 (en) 2015-04-20 2016-08-02 Sandisk Technologies Llc Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US9859088B2 (en) 2015-04-30 2018-01-02 Lam Research Corporation Inter-electrode gap variation methods for compensating deposition non-uniformity
US9299830B1 (en) 2015-05-07 2016-03-29 Texas Instruments Incorporated Multiple shielding trench gate fet
US20160329206A1 (en) 2015-05-08 2016-11-10 Lam Research Corporation Methods of modulating residual stress in thin films
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9653571B2 (en) 2015-06-15 2017-05-16 International Business Machines Corporation Freestanding spacer having sub-lithographic lateral dimension and method of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
CN106373880B (zh) 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US9523148B1 (en) 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US9768272B2 (en) 2015-09-30 2017-09-19 International Business Machines Corporation Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity
KR102250656B1 (ko) 2015-10-08 2021-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI720001B (zh) * 2015-07-28 2021-03-01 美商蘭姆研究公司 敏感材料上之含鹵化物原子層沉積膜的整合方法
TWI815806B (zh) * 2017-02-13 2023-09-21 美商蘭姆研究公司 氣隙之建立方法

Also Published As

Publication number Publication date
SG2013083654A (en) 2014-06-27
KR102183336B1 (ko) 2020-11-27
US9786570B2 (en) 2017-10-10
US20170316988A1 (en) 2017-11-02
JP2014146786A (ja) 2014-08-14
US10741458B2 (en) 2020-08-11
US20180247875A1 (en) 2018-08-30
KR20140059751A (ko) 2014-05-16
US10008428B2 (en) 2018-06-26
US20140141542A1 (en) 2014-05-22
TWI675122B (zh) 2019-10-21
JP6538300B2 (ja) 2019-07-03
US9287113B2 (en) 2016-03-15
TWI612173B (zh) 2018-01-21
US20160155676A1 (en) 2016-06-02
TW201809345A (zh) 2018-03-16

Similar Documents

Publication Publication Date Title
US10741458B2 (en) Methods for depositing films on sensitive substrates
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US10804144B2 (en) Deposition of aluminum oxide etch stop layers
TWI776792B (zh) 硫族材料之封裝方法以及記憶體裝置
TWI595112B (zh) 次飽和之原子層沉積及保形膜沉積
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
KR20230039625A (ko) 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
TWI609426B (zh) 在基板上形成氮化矽膜之方法、設備及系統
TW201413044A (zh) 高氣壓、高電力電漿活化保形膜沉積
US10283404B2 (en) Selective deposition of WCN barrier/adhesion layer for interconnect
TW201348502A (zh) 用於電漿活化保形膜沉積之前驅物
US11832533B2 (en) Conformal damage-free encapsulation of chalcogenide materials
TW201327679A (zh) 電漿活化之保形介電薄膜沉積