TWI479562B - 高溫蝕刻高k值材料閘極結構之方法 - Google Patents

高溫蝕刻高k值材料閘極結構之方法 Download PDF

Info

Publication number
TWI479562B
TWI479562B TW097124332A TW97124332A TWI479562B TW I479562 B TWI479562 B TW I479562B TW 097124332 A TW097124332 A TW 097124332A TW 97124332 A TW97124332 A TW 97124332A TW I479562 B TWI479562 B TW I479562B
Authority
TW
Taiwan
Prior art keywords
layer
etching
degrees celsius
high dielectric
dielectric constant
Prior art date
Application number
TW097124332A
Other languages
English (en)
Other versions
TW200908144A (en
Inventor
Wei Liu
Eiichi Matsusue
Meihua Shen
Shashank Deshmukh
Yohei Kawase
Anh-Liet Quang Phan
David Palagashvili
Michael D Willwerch
Jong I Shin
Barrett Finch
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200908144A publication Critical patent/TW200908144A/zh
Application granted granted Critical
Publication of TWI479562B publication Critical patent/TWI479562B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

高溫蝕刻高K值材料閘極結構之方法
本發明的實施例主要涉及高溫蝕刻高介電常數材料的方法,更具體地,涉及在閘極結構製造期間,高溫蝕刻高介電常數材料的方法。
對於下一代半導體元件的極大型積體電路(very large scale integration,VLSI)和超大型積體電路(ultra large-scale integration,ULSI)來說,可靠地生產次半微米以及更小的部件是關鍵技術之一。然而,隨著朝電路技術的極限推進,VLSI和ULSI技術中在相互連接的尺寸減小上已對製程能力形成了額外的需求。閘極圖案能可靠的形成對VLSI和ULSI的成就,以及增加電路密度和不斷提高單個基板和晶片的品質極為重要。通常,閘極結構包括設置在閘極介電層上方的閘極。利用閘極結構控制在該閘極介電層下方汲極區和源極區間所形成的通道區中電荷載流子的流動。
高介電常數介電材料(例如,具有大於4的介電常數的材料)在閘極結構應用中,廣泛地用於閘極介電層。高介電常數閘極介電材料具有低的等效氧化層厚度(equivalent oxide thickness,EOT)並減小閘極漏電流。儘管大多數高介電常數材料在周圍溫度下相對穩定,但已經證實這些材料在閘極結構製造順序期間很難被蝕刻。此 外,傳統蝕刻劑在蝕刻高介電常數材料上相對於閘極結構中存在的其他材料(諸如閘極和/或下層材料)具有低選擇性,從而在其他材料與高介電常數材料的接觸面上留下矽凹槽、印記(foot)或其他相關缺陷。
因此,本領域需要一種在閘極結構製造期間,用於蝕刻高介電常數材料的改良方法。
本發明提供一種蝕刻設置在閘極結構中之高介電常數材料的方法。在一實施例中,一種蝕刻高介電常數材料的方法可包括將一基板提供至一蝕刻腔室中,該基板具有一高介電常數材料層設置於其上;由一蝕刻氣體混合物形成一電漿至所述蝕刻腔室中,該蝕刻氣體混合物包括至少一含鹵素氣體;以及當在所述電漿的存在下蝕刻所述高介電常數材料層時,將一基板溫度保持在約攝氏100度和約攝氏250度之間。
在另一實施例中,一種蝕刻一薄膜疊層以形成一閘極結構的方法,可包括提供一基板至一蝕刻腔室中,該基板具有一薄膜疊層形成於其上,其中該薄膜疊層包括在一夾置在一第一和一第二多晶矽層間的高介電常數材料;蝕刻在基板上的第一多晶矽層,以形成一暴露高介電常數材料的溝槽;在溝槽的側壁上形成一保護層;利用一含鹵素氣體,在約攝氏100度和約攝氏250度間的溫度下,經由該經保護的溝槽來蝕刻高介電常數材料;以及蝕刻設置在基 板上的第二多晶矽層。
在另一實施例中,一種蝕刻一薄膜疊層以形成一閘極結構的方法,可包括提供一基板於一蝕刻腔室中,該基板具有一薄膜疊層形成於其上,其中所述薄膜疊層包括一夾置在一第一和第二多晶矽層間的含鉿氧化物層;以及在蝕刻腔室中依序蝕刻第一多晶矽層、含鉿氧化物層和第二多晶矽層,同時將所述基板保持在約攝氏100度和約攝氏250度間的溫度。
在另一實施例中,一種蝕刻一薄膜疊層以形成一閘極結構的方法,可包括提供一具有一金屬閘極的基板至一蝕刻腔室中,所述金屬閘極係設置在一形成於所述基板上的高介電常數材料層上;蝕刻所述金屬閘極層,以形成一暴露所述高介電常數材料的溝槽;以及利用一含鹵素氣體,在約攝氏100度和約攝氏250度間的溫度下,通過所述溝槽蝕刻所述高介電常數材料。
在一實施例中,一種蝕刻在一基板上高介電常數材料的方法,可包括提供一基板至一蝕刻腔室中,該基板具有一高介電常數材料層設置於其上;由一蝕刻氣體混合物形成一電漿至所述蝕刻腔室中,該蝕刻氣體混合物包含至少一含鹵素氣體的;當在所述電漿的存在下蝕刻所述高介電常數材料層時,將蝕刻腔室之內部表面的溫度保持在超過約攝氏100度;以及當在所述電漿的存在下蝕刻高介電常數材料層時,將一基板溫度保持在約攝氏100度和攝氏250度之間。
本發明主要涉及用於蝕刻高介電常數材料的方法,該高介電常數材料為適用於閘極結構製造之薄膜疊層的一部分。在一實施例中,在約攝氏100度至約攝氏250度間的溫度下蝕刻閘極結構薄膜疊層的高介電常數材料。在另一實施例中,在約攝氏100度和約攝氏250度間相近的溫度下,對高介電常數材料與相鄰的閘極層均進行蝕刻。在此所描述的製程有利地適用於具有次微型臨界尺寸的含高介電常數閘極結構應用中進行應用。該製程也保持所形成之閘極結構的光滑、垂直、無印(foot-free)、零矽凹槽(zero silicon recess)以及平直的外形。在單個蝕刻腔室中可依序執行蝕刻製程。
在此所描述的蝕刻製程可以在任何適合的電漿蝕刻腔室中執行,例如,去耦電漿源(Decopuled Plasma Source,DPS)、DPS-II、DPS-II AdvantEdge HT、DPS Plus或DPS DT、HART和HART TS蝕刻反應器,所有這些都可以從California的Santa Clara應用材料公司購買到。本發明也可以在從其他製造商購買的電漿蝕刻反應器中實施。
第1圖示出了適用於實施本發明至少一實施例之示意性蝕刻製程腔室100一實施例的示意圖。腔室100包括支撐罩(lid)120的導電腔室壁130。壁130連接到地線(electrical ground)134。罩120可以具有平頂(flat ceiling)、圓形的頂或用於限定製程腔室100內部體積上邊 界之其他幾何形狀的頂。
至少一個感應線圈天線部分112通過匹配網路119耦合到射頻(radio-frequency,RF)源118。天線部分112位於罩120的外部並用於保持在腔室中由製程氣體形成的電漿155。在一實施例中,施加於感應線圈天線112的源RF功率係在約50 kHz和約13.56 MHz之間的頻率下於約0瓦到約2500瓦之間的範圍。在另一實施例中,用於感應線圈天線112的源RF功率在約200瓦到約2000瓦之間的範圍,諸如約800瓦。
罩120可包括適於在製程期間控制罩120內表面162溫度的一個或多個溫度控制元件。在一實施例中,溫度控制元件包括一個或多個設置成使液體流動於其中的管道164。迴流通過管道164的液體溫度可以根據需要,藉由選擇地加熱或冷卻罩120,來控制罩120內表面162溫度的方式而調節,以保持預定溫度。可選地,控制元件可以是電阻加熱器、燈和/或冷卻元件。
製程腔室100還包括基板支撐基座116(偏置元件),其連接到第二(偏置)RF源122,該第二(偏置)RF源122通常能夠產生RF信號以在約13.56MHz的頻率下產生約1500瓦或更少(例如,沒有偏置功率)的偏置功率。偏置源122通過匹配網路123連接到基板支撐基座116。用於基板支撐基座116的偏置功率通常可以為DC或RF。
在操作中,基板114設置在基板支撐基座116上,並通過諸如靜電卡盤、真空或機械夾具的傳統方法保持在其 上。通過氣體面板138從入口將氣體成分提供給製程腔室100以形成氣體混合物150。電漿155由氣體混合物形成。在一個實施例中,入口包含在噴嘴170中,該噴嘴170設置在製程腔室100的罩120中。噴嘴170包括至少一第一出口172,用於將向下引導的第一氣體成分朝基板114中心提供,以及至少一個第二出口174,用於將徑向向外引導的第二氣體成分朝製程腔室100的壁130提供。在該方式中,氣體混合物中第一和第二氣體成分的分佈可被徑向地控制在基板的中心和邊緣間。藉由將分別來自RF源118和122的RF功率施加在天線112和基板支撐基座116上,使由混合物150形成的電漿保持在製程腔室100中。利用位於腔室100和真空泵136之間的節流閥127可以控制蝕刻腔室100內部體積的壓力。利用含有液體的管道(未示出)可以控制腔室壁130表面的溫度,含有液體的管道位於腔室100的壁130中。
可以通過穩定支撐基座116的溫度以及將熱交換氣體從源148經由管道149流到基板114的背部和基座表面上的凹槽(未示出)間所限定的溝道來控制基板114的溫度。氦氣可用作熱交換氣體以便於基板支撐基座116和基板114之間的熱傳遞。在蝕刻製程期間,通過設置在基板支撐基座116內的電阻加熱器125經DC電源124將基板114加熱到穩態溫度。設置在基座116和基板114之間的氦便於基板114的均勻受熱。利用對罩120和基板支撐基座116兩者的熱控制,基板114可以保持在約攝氏100度和約攝 氏500度之間的溫度。
熟悉本領域的技術人員應該理解其他形式的蝕刻腔室也可用於實施本發明。例如,具有遠端電漿源的腔室、微波電漿腔室、電子迴旋共振(electron cyclotron resonance,ECR)電漿腔室等可用於實施本發明。
包括中央處理單元(CPU)144、記憶體142和用於CPU之輔助電路146的控制器140係連接到DPS蝕刻製程腔室100的不同元件以便於蝕刻製程的控制。為了便於上述腔室的控制,CPU144可以為用於工業設置中便於控制不同腔室和子處理器之任何一種形式的通用電腦處理器。記憶體142連接到CPU144。記憶體142或電腦可讀媒介可以是一種或多種易得的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟或任何其他形式的數位記憶體、本地或遠端。輔助電路146連接到CPU144用於以傳統的方式輔助處理器。這些電路包括緩存、電源、時鐘電路、輸入/輸出電路和子系統等。諸如在此所描述的蝕刻製程,通常作為軟體程式存儲在記憶體142中。軟體程式還可以通過遠離由CPU144控制之硬體設置的第二CPU(未示出)存儲和/或執行。
第2圖為可在示例腔室100或其他適合的製程腔室中實施蝕刻製程200之一實施例的流程圖。製程200在方框202開始,將基板114傳送(即,提供)到蝕刻製程腔室,諸如示例的製程腔室100,如第1圖所示。基板114具有設置在其上包含高介電常數材料介電層的薄膜疊層。在一 實施例中,高介電常數材料層為二氧化鉿(HfO2 )、二氧化鋯(ZrO2 )矽酸鉿氧化合物(HfSiO4 )、鉿鋁氧化物(HfAlO)、矽酸鋯氧化物(ZrSiO4 )、二氧化鉭(TaO2 )、氧化鋁、鋁摻雜的二氧化鉿、鈦鍶酸鉍(bismuth strontium titanium,BST)或鈦鋯酸鉑(platinum zirconium titanium,PZT)等中的至少一種。高介電常數材料介電層的一些部分通過經圖案化的遮罩層暴露。基板114可以是半導體基板、矽晶圓、玻璃基板等中的任何一種。
在方框204,暴露的高介電常數材料層通過經圖案化的遮罩層所限定的開口在高溫環境下進行電漿蝕刻。蝕刻高介電常數材料層直到薄膜疊層之下層的上表面露出為止。高介電常數材料層在高溫環境下進行蝕刻。具體地,在高介電常數材料層蝕刻期間,至少一些腔室元件保持在大於約攝氏100度的溫度。在室溫下執行的傳統高介電常數蝕刻製程期間,在蝕刻期間產生的經蝕刻高介電常數材料副產物易於凝聚在相對冷的腔室表面上,而不是形成可以從腔室抽出的揮發性氣體。在一實施例中,在蝕刻期間,罩120保持在約攝氏100度和約攝氏250度之間的溫度,從而使電絕緣的高介電常數蝕刻副產物不會凝聚在罩120上,於該罩120處電絕緣的高介電常數蝕刻副產物將阻礙用於執行蝕刻製程之電漿的功率耦合。因此,通過使罩120保持在充分清潔的條件,實現更均勻並精確的電漿控制,由此產生更可預測的、均勻的和精確的蝕刻性能。
此外,將被蝕刻的高介電常數材料層的溫度係保持在 大於約攝氏80度的溫度。在一實施例中,利用加熱的基板支架將高介電常數材料層的溫度保持在約攝氏100度到約攝氏250度之間,例如在約攝氏130度和約攝氏220度之間(諸如約攝氏150度)。如上述注意到的,通過將蝕刻溫度控制在約攝氏100度和約攝氏250度之間,高介電常數蝕刻副產物易形成揮發性產物,而從腔室中抽出並移除,從而產生更精確的輪廓和圖案轉移。揮發性產物的形成也促進殘餘物從腔室排出以在基板114上留下清潔的後蝕刻表面。此外,由於為蝕刻高介電常數材料層而控制的基板溫度充分高於傳統技術,因此不需要偏置功率來維持合理的高介電常數材料蝕刻速率,從而避免可能在下層和/或基板中產生不需要的凹槽或表面損壞的轟擊。
在由製程氣體混合物形成的電漿中蝕刻高介電常數材料層。在一實施例中,製程氣體混合物至少包括含鹵素氣體。在一示例性實施例中,含鹵素氣體可以是含氯氣體。含鹵素氣體的適合示例包括BCl3 、Cl2 等。在含鹵素氣體中所提供的鹵素元素蝕刻高介電常數材料層,並從基板114移除高介電常數材料層。在高介電常數材料層包含鉿的實施例中,從製程氣體釋出的氯元素與從含鉿的材料中釋出的鉿元素反應,從而形成氯化鉿(HfCl4 ),其為易於從製程腔室中抽出的揮發性副產物。由於高溫高介電常數蝕刻製程增加了副產物的揮發性,因此蝕刻副產物可以有效地從基板支架和罩(或其他高溫腔室表面)移除,使得在蝕刻製程後的表面為清潔的且無殘餘物。
可選地,可以在製程氣體中提供烴氣。烴氣提供聚合材料,在蝕刻製程期間,該聚合材料沈積在經蝕刻的高介電常數材料層和遮罩層的側壁上。這改進了臨界尺寸和輪廓控制。烴氣包括CH4 、CHF3 、CH2 F2 及其混合物。
在蝕刻高介電常數材料層的同時可以調節幾個製程參數。在一個實施例中,將存在有高介電常數蝕刻製程氣體的腔室壓力調節在約2毫托到約500毫托之間(例如,在約20毫托)。可以施以RF源功率以保持由高介電常數蝕刻製程氣體形成的電漿。例如,約0瓦到約1500瓦的功率可以施加到感應耦合天線源以保持蝕刻腔室內部的電漿。可以施加在約0瓦到約1000瓦之間(例如約250瓦)的RF偏置功率。在一個實施例中,不使用偏置功率,這減少了離子轟擊,從而顯著減少閘極下面的矽源和汲極中不需要的凹槽的發生。可選地,可以根據需要消除偏置功率。高介電常數蝕刻製程氣體可以以約0 sccm到約500 sccm之間的速率流到腔室中。例如,可以約5 sccm和約500 sccm之間(例如,在約30 sccm和約100 sccm之間)的流速,提供含鹵素氣體。可以0 sccm和約100 sccm之間(諸如約0 sccm和約10 sccm之間)的流速,提供烴氣。可以以約0 sccm和約500 sccm之間的流速提供惰性氣體。基板溫度保持在約大於攝氏80度,諸如大於約攝氏120度,例如約攝氏150度。
第3圖示出了可在示例腔室100或其他適合的製程腔室中實施蝕刻製程250一實施例的流程圖。第4A-4D圖示 出了與製程250各個階段對應的複合基板一部分的示意性橫截面圖。儘管在第4A-4D圖中對用於形成閘極結構的製程250進行說明,但製程250可有益於製造其他結構。
製程250在方框252開始,將基板114傳送(即,提供)給蝕刻製程腔室,諸如第1圖所示的示例性製程腔室100。在第4A圖示出的實施例中,基板114具有薄膜疊層300,薄膜疊層300含有一高介電常數材料介電層304設置在其上,適於製造閘極結構。基板114可以是半導體基板、矽晶圓、玻璃基板等中的任何一種。利用一個或多個適合的傳統沈積技術諸如原子層沈積(atomic layer deposition,ALD)、物理氣相沈積(physical vapor deposition,PVD)、化學氣相沈積(chemical vapor deposition,CVD)、電漿增強CVD(PECVD)等形成包括薄膜疊層300的多層。
在一個實施例中,薄膜疊層300至少包括夾置高介電常數介電材料層304(高介電常數材料具有大於4.0的介電常數)的一個或多個層302、306。薄膜疊層300可以設置在介電層(未示出)之上,或直接位於基板114之上。介電層的適合示例包括,但不限於,氧化層、氮化層、氮化鈦層、氧化層和氮化層的組合,夾置衣氮化層的至少一或多個氧化層,及其組合等。圖案化的遮罩308(例如,硬遮罩)、光阻遮罩或其組合,可以用作通過開口310暴露薄膜疊層300的多個部分便於蝕刻其上特徵的蝕刻遮罩。
在第4A圖示出的實施例中,高介電常數材料可以是二氧化鉿(HfO2 )、二氧化鋯(ZrO2 )、矽酸鉿氧化物 (HfSiO4 )、鉿鋁氧化物(HfAlO)、矽酸鋯氧化物(ZrSiO4 )、二氧化鉭(TaO2 )、氧化鋁、鋁摻雜的二氧化鉿、鈦鍶酸鉍(BST)或鈦鋯酸鉑(PZT)等中的一層。在示例性實施例中,高介電常數材料為鉿鋁氧化物(HfAlO)。高介電常數材料層304具有約10和約500之間的厚度,諸如約50和約300(例如約150)。
第一層306設置在高介電常數材料層304上方,並可以包括一個或多個層。在一個實施例中,第一層306為多晶矽層,用於閘極結構中的閘極層。可選地,第一層306可以是用於閘極的金屬材料。金屬閘極的示例包括鎢(W)、矽化鎢(WSi)、多晶矽鎢(tungsten polysilicon,W/poly)、鎢合金、鉭(Ta)、氮化鉭(TaN)、氮矽化鉭(TaSiN)以及氮化鈦(TiN)等。在另一實施例中,閘極層306可以是包括設置在金屬材料之上之多晶矽層的複合薄膜。在該具體實施例中,閘極層306可以是設置在氮化鈦(TiN)層之上的多晶矽層。在第4A圖示出的實施例中,設置在高介電常數材料層304之上的第一層306為多晶矽層。第一層306可以具有約500和約4000之間的厚度,諸如在約800和約2500之間(例如約1650)。
第二層302設置在高介電常數材料層304下方,還可以包括一個或多個層。在一個實施例中,第二層302可以是介電層,諸如多晶矽層、氧化矽層、氮化矽層、氮氧化矽及其組合。在一些實施例中,其中沒有第二層302,薄 膜疊層300中的高介電常數材料層304可以直接設置在基板114上。在第4A圖示出的實施例中,第二層302為多晶矽層並具有約50和約2000之間的厚度,諸如約100和約1000之間(例如約500)。
在方框254,通過由圖案化的遮罩308限定的開口310,執行蝕刻製程以蝕刻基板114上的第一層306,以在第一層306中形成溝槽320,如第4B圖所示。方框254中執行的蝕刻製程可以具有一個或多個蝕刻步驟以蝕刻第一層306的不同部分。在一個實施例中,蝕刻製程可以是單個步驟的蝕刻製程,一步蝕刻第一層306,直到暴露高介電常數材料層304。在另一實施例中,蝕刻製程可以包括蝕刻基板114上第一層306不同部分的多個步驟。
在示例的實施例中,可以執行多個蝕刻步驟以蝕刻第一層306,並暴露薄膜疊層300的高介電常數材料層304。最初可以執行突破(break-through)蝕刻以蝕刻第一層306的上表面,例如俱生氧化物。用於突破蝕刻的蝕刻氣體混合物包括氟和碳氣體。由氣體混合物形成之電漿產生的反應物種與俱生氧化物(諸如二氧化矽)反應,以形成從製程腔室中抽出的揮發性反應物(諸如SiF4 和CO2 )。用於突破蝕刻的蝕刻氣體混合物的合適示例包括CF4 、CHF3 、CH2 F2 、CH3 F和C4 F8 等。可選地,惰性氣體可與蝕刻氣體混合物一同供給。惰性氣體的適合示例包括Ar、He、Kr、Ne等。
在突破蝕刻期間可以調節蝕刻氣體混合物中的腔室壓 力。在一個示例性實施例中,可以將蝕刻腔室中的氣體壓力調節在約2毫托到約100毫托(例如,約4毫托)之間。可以施加RF源功率以保持由氣體混合物形成的電漿。例如,約100瓦到約1500瓦(諸如約300瓦)的功率可以施加到感應耦合的天線源,以維持蝕刻腔室內部的電漿,可以施加約100瓦到約1500瓦(例如約100瓦)之間的RF偏置功率。用於突破蝕刻的蝕刻氣體混合物可以以約50sccm到約1000sccm之間的速率流到腔室。例如,氟和碳氣可以以約5sccm和約500sccm之間(諸如100sccm)的速率提供。惰性氣體可以以約0sccm和約500sccm之間的流速提供。基板溫度保持在約攝氏30度到約攝氏500度之間。在另一實施例中,基板溫度保持在約大於攝氏80度,諸如在約攝氏100度到約攝氏250度之間,例如,在約攝氏130度和約攝氏220度之間,諸如約攝氏150度。
在俱生氧化物突破之後,執行主蝕刻以蝕刻第一層306的主要部分。通過圖案化的遮罩308蝕刻第一層306以在第一層306中形成溝槽320,直到暴露下層高介電常數材料304的上表面312為止。突破蝕刻和主蝕刻可以在不將基板114從蝕刻腔室移除的情況下連續地執行。用於主蝕刻步驟的蝕刻氣體混合物至少包括含一鹵素氣體與一氟和碳氣體。含鹵素氣體的適合示例包括HBr、HCl、Cl2 、Br2 、NF3 及其組合等。用於氟和碳氣的適合示例包括CF4 ,CHF3 ,CH2 F2 ,CH3 F,C4 F8 及其組合等。可選地,諸如O2 、N2 及其組合等的載氣,和惰性氣體可以提供給蝕刻氣體混 合物。惰性氣體的適合示例包括Ar、He、Kr等。在具體的實施例中,主蝕刻氣體混合物可以包括HBr氣體、NF3 氣體、CF4 氣體、O2 氣體、N2 氣體和He氣體。
在一個實施例中,在突破蝕刻和主蝕刻期間用於蝕刻第一層306的製程參數可以通過大體上相似的方式控制。在另一實施例中,在突破蝕刻和主蝕刻期間,用於蝕刻第一層306的製程參數可以根據不同的製程要求改變。此外,在又一實施例中,在第一層306之主蝕刻期間的製程參數可以根據需要而改變,從而致使主蝕刻製程為兩步或更多步蝕刻製程。
在一個實施例中,在第一層306的蝕刻期間主蝕刻氣體混合物的壓力可以調節。在一個示例的實施例中,蝕刻腔室的製程壓力調節在約2毫托到約100毫托之間,例如在約7毫托。可以施加RF源功率以維持由製程氣體混合物形成的電漿。例如,約100瓦到約1500瓦(諸如約300瓦)的功率可以施加到感應耦合天線源,以維持蝕刻腔室內部的電漿。可以在約100瓦到約1000瓦(諸如約150瓦和約250瓦)之間施加RF偏置功率。主蝕刻期間的蝕刻氣體混合物可以以約50sccm到約1000sccm之間的速率流到腔室。例如,可以以約5sccm和約500sccm之間(諸如約170sccm)的流速提供含鹵素氣體。可以以約5sccm和約500sccn的流速,諸如在約40和約70sccm之間提供氟和碳氣體。可以以約0sccm和約500sccm的流速提供惰性氣體。基板的溫度保持在約攝氏30度到約攝氏500度之間。 在另一實施例中,基板溫度保持在約大於攝氏80度,諸如大於約攝氏120度(例如,約攝氏150度)。
在第一層306中形成溝槽320之後,如第4B圖所示,可以執行過度蝕刻製程,以蝕刻留在基板114上第一層306的地形(topography)以及在經蝕刻的第一層306之側壁322上沈積保護層。設置在暴露的側壁322上的保護層防止在蝕刻留在基板114上第一層306的地形時及/或在後續蝕刻製程期間,側壁受到衝擊,從而防止輪廓控制性的降低以及圖案的變形。
在一個實施例中,除了在方框254蝕刻第一層306的主蝕刻製程中使用的氣體混合物外,還可以添加含矽和鹵素氣體以蝕刻留在基板114上第一層306的地形。添加到氣體混合物中的氣體的適合示例包括SiCl4 等。由含矽和鹵素氣體產生的鹵素反應物種將蝕刻殘留物和剩餘的第一層306從基板表面移除。此外,由含矽和鹵素氣體產生的矽反應物種與第一層306反應,在經蝕刻的表面上形成矽側壁保護層,從而提供堅固的側壁保護。堅固的側壁保護層保護第一層306在隨後高介電常數材料層304的蝕刻和下面的第二層302蝕刻製程期間不受衝擊。
在方框256,在高溫環境下,通過由圖案化的遮罩308和溝槽320限定的開口310蝕刻高介電常數材料層304的暴露的上表面312。蝕刻高介電常數材料層304,直到暴露下面的第二層302的上表面314為止,如第4C圖所示。在一個實施例中,通過將製程腔室100的罩120保持在在 約攝氏100到約150度之間(諸如約攝氏120度)而提供高溫環境。
選擇用於蝕刻高介電常數材料層304的高介電常數蝕刻氣體混合物,相對於覆蓋第一層306之側壁322的矽保護層以及下面第二層302,該高介電常數蝕刻氣體混合物對於高介電常數材料層304具有高選擇性。由於第一層306的側壁322通過堅固的保護層保護,可以在不損壞在第一層306中所形成之溝槽外形的情況下,使用相對高的製程溫度(例如,高於用於傳統技術中的室溫製程)來蝕刻高介電常數材料層304。在一個實施例中,在約大於攝氏80度的溫度下,諸如在約攝氏100度到攝氏250度之間,例如,在約攝氏130度和約攝氏220度(諸如約攝氏150度)蝕刻高介電常數材料層304。在室溫下進行的傳統高介電常數蝕刻製程期間,在蝕刻期間產生的蝕刻的高介電常數材料副產物易於聚集在基板表面上,而不是形成可以從腔室中抽出的易揮發性反應產物,從而產生劣質圖案轉移。因此,通過控制蝕刻溫度在約攝氏100度和約攝氏250度之間,高介電常數蝕刻副產物很容易形成揮發性產物,而從腔室中抽出並移除,從而產生更精確的外形和圖案轉移。形成揮發性產物也促進殘餘物從腔室排出使得在基板114上留下清潔後蝕刻表面。此外,由於控制用於蝕刻高介電常數材料層304的基板溫度充分高於傳統技術,不需要偏置功率來維持合理的高介電常數材料蝕刻速率,從而避免將在下層和/或基板中產生不合需要的凹槽或表面損壞的轟擊。
在一個實施例中,供給蝕刻高介電常數材料層304的高介電常數氣體混合物至少包括一含鹵素氣體。在示例性實施例中,含鹵素氣體可以是含氯氣體。含鹵素氣體的適合示例包括BCl3 、Cl2 等。提供給含鹵素氣體的鹵元素蝕刻高介電常數材料層304並從基板114移除高介電常數材料層304。在該實施例中,含鹵素氣體為BCl3 ,含鹵素氣體在材料304和下面第一層306之間具有高選擇性。例如,在高介電常數材料層304為含鉿和氧之材料的實施例中,在蝕刻電漿分解期間由BCl3 所釋出的硼元素與從含鉿和氧化物的材料釋出的氧元素反應,從而在基板的暴露表面上形成Bx Oy 保護層。在蝕刻製程期間形成的Bx Oy 保護層鈍化矽表面(例如,經蝕刻的上層或初期暴露的下層),從而防止在基板上不希望被蝕刻的其他區域在蝕刻期間受到衝擊,而在基板上導致凹槽或缺損。由BCl3 氣體釋出的氯元素與由含鉿和氧的材料釋出的鉿元素反應,從而形成氯化鉿(HfCl4 )揮發性副產物,而從腔室中抽出。由於高溫高介電常數蝕刻製程增加副產物的揮發性,蝕刻副產物可以從基板有效移除,使得在蝕刻製程後的基板上留下後蝕刻(post-etch)清潔的和無殘餘物的表面。因此,得到能夠提供垂直、筆直、無缺損(零凹槽和無印)結構以及對於相鄰層具高選擇性的高介電常數製程。
可選地,在氣體混合物中可以提供烴氣。當在蝕刻製程期間電漿分解時,烴氣提供聚合材料,其沈積在第一層306的側壁322和經蝕刻的高介電常數材料層304的側壁 324上,如第4C圖所示。烴氣體的示例包括CH4 、CHF3 、CH2 F2 及其組合。
在一個實施例中,可以在蝕刻上方第一層306相同的腔室中蝕刻高介電常數材料304,從而在單個腔室中完成薄膜疊層300的蝕刻。可以在不從製程腔室100中移除基板114的情況下,連續執行方框254和256的蝕刻製程。選擇蝕刻第一層306的溫度是可控的,其大體上與設置為蝕刻高介電常數材料304的溫度相同。因而在不需要等待改變和穩定基板溫度的情況下,有效蝕刻基板114。在一個實施例中,方框256的高介電常數材料蝕刻製程和方框254之第一層306的蝕刻都可以在相似的溫度下執行,該溫度大於攝氏80度,諸如在約攝氏100度和約攝氏200度之間。在一個實施例中,設置為蝕刻第一層306和高介電常數材料304的溫度都控制為大於約攝氏80度,諸如在約攝氏100度到約攝氏250度之間,例如,在約攝氏130度和約攝氏220度之間(諸如約攝氏150度)。
在蝕刻高介電常數材料層304時,可以調節數個製程參數。在方框254調節的製程參數可以平順地轉換到在方框256調節的製程參數。在一個實施例中,高介電常數蝕刻氣體混合物中的腔室壓力在約2毫托到約500毫托之間(例如,在約20毫托)調節。可以施加RF源功率以維持由高介電常數蝕刻氣體混合物形成的電漿。例如,約0瓦到約1500瓦的功率可以施加到感應耦合天線源以保持蝕刻腔室內部的電漿。可以施加約0瓦到約100瓦之間(諸如約 250瓦)的RF偏置功率。在一個實施例中,沒有使用偏置功率,這減少了離子轟擊,從而顯著減少閘極下面的矽源和汲極中不合需要之凹槽的發生。可選地,可以根據需要消除偏置功率。高介電常數蝕刻氣體混合物可以以約0sccm到約500sccm的速率流到腔室。例如,可以以約5sccm和約500sccm之間(諸如約30sccm和約100sccm之間)的流速提供含鹵素氣體。可以以約0sccm和約100sccm之間(諸如約0sccm和約10sccm之間)的流速提供烴氣。可以以約0sccm和約500sccm之間的流速提供惰性氣體。基板溫度保持在大於約攝氏80度,諸如大於約攝氏120度(例如,約攝氏150度)。
可選地,可在方框256將高介電常數氣體混合物提供到蝕刻腔室之前,執行氧氣閃蒸製程(oxygen gas flash process)。氧氣閃蒸製程輔助在第一層306經蝕刻的側壁322上形成氧化物層,從而在經蝕刻的表面上提供表面鈍化層。由於第一層306可以是含矽的材料,供給到腔室的氧氣與第一層306的矽元素反應,形成側壁氧化矽保護層。可以調節在氧氣閃蒸製程中使用的製程參數。在一個實施例中,調節腔室壓力在約2毫托到約500毫托之間(例如,約20毫托)。約200瓦到約2000瓦的RF源功率可以施加到感應耦合的天線源以維持蝕刻腔室內部的電漿。可以以約20sccm和約500sccm之間(諸如在約50sccm和約150sccm之間)的流速提供氧氣。可選地,可以以約0sccm和約500sccm之間的流速提供諸如He或Ar的惰性氣體。 基板溫度保持在約大約攝氏80度,諸如在約攝氏100度到約攝氏250度之間,例如,在約攝氏130度和約攝氏220度之間(諸如約攝氏150度)。
在方框258,通過由圖案化的遮罩308和溝槽320限定的開口310蝕刻薄膜疊層300的第二層302。蝕刻製程蝕刻第二層302,直到暴露基板114的上表面316,如第4D圖所示。在方框258執行的蝕刻製程可以具有一個或多個蝕刻步驟以蝕刻第二層302的不同部分,類似於在方框254執行的蝕刻第一層306的蝕刻步驟。在一個實施例中,蝕刻製程可以是單個步驟蝕刻製程,蝕刻第二層302直到暴露下層基板114的上表面316。在另一實施例中,蝕刻製程可以包括多個步驟以蝕刻基板114上第二層302的不同部分。
在示例性的實施例中,在方框258可以執行二步驟蝕刻製程以蝕刻薄膜疊層300的第二層306。第一,最初可以執行突破蝕刻以蝕刻第二層302的表面。用於突破蝕刻的蝕刻氣體混合物包括氟和碳氣體。在方框258,用於突破第二層302的突破氣體混合物可以與方框254中用於突破第一層306的突破氣體混合物大體上相同,如上面所述。可選地,惰性氣體可與蝕刻氣體混合物一同提供。惰性氣體的適合示例包括Ar、He、Kr、Ne等。
在突破之後,執行主蝕刻以蝕刻通過遮罩開口310暴露之第二層306的剩餘部分。可以在不從蝕刻腔室移除基板114的情況下連續執行突破和主蝕刻。在第一和第二層 306、302均為多晶矽層的一實施例中,用於蝕刻第一和第二層306、302的主要部分的氣體混合物和製程參數基本相同。用於主蝕刻的蝕刻氣體混合物至少包括含鹵素氣體和氟碳氣體。含鹵素氣體的適合示例包括HBr、HCl、Cl2 、Br2 、NF3 及其組合等。用於氟碳氣體的適合示例包括CF4 、CHF3 、CH2 F2 、CH3 F、C4 F8 及其組合等。可選地,載氣(諸如O2 、N2 、N2 O、NO2 及其組合等)和惰性氣體可與蝕刻氣體混合物一併提供。惰性氣體的適合示例包括Ar、He、Kr、Ne等。在一個實施例中,用於主蝕刻步驟的蝕刻氣體混合物可以包括HBr氣體、NF3 氣體、CF4 氣體、O2 氣體、N2 氣體和He氣體。方框258中調節的製程參數是可控的,與方框254中的描述大體上相似。
可以在蝕刻上方第一層306和高介電常數材料304相同的腔室蝕刻薄膜疊層300中的第二層302,從而在單個腔室中完成薄膜疊層300的蝕刻。由於第一層306、高介電常數材料304和第二層302在相似的溫度下進行蝕刻,故降低了使基板達到穩定製程溫度以進行蝕刻各層之製程的所需時間,從而增加了產量。
一般預期其他高介電常數蝕刻製程可適於從在高溫環境下蝕刻高介電常數材料層(例如,保持腔室表面(諸如罩120),在大於約攝氏100度的溫度)中受益。不作限定,在2006年3月21日提交的美國專利申請序號11/386,054中,2007年7月12日提交的美國專利申請序號11/777,259中;2007年4月17日提交的美國專利申請序號11/736,562 中;2007年7月6日提交的美國專利臨時申請號60/948,376以及2002年3月6日提交的美國專利號6,806,095中描述的高介電常數蝕刻製程可以修改成包括如上述腔室表面之溫度的調節,以提供高溫蝕刻環境。
第5A-5C圖示出了對應於不同薄膜疊層之複合基板一部分的示意性橫截面圖,其中不同薄膜疊層可利於使用上述高介電常數蝕刻製程進行蝕刻。例如,第5A圖示出了具有高介電常數介電層504的薄膜疊層510。高介電常數介電層504為含鉿的材料,諸如Hf2 O、HfSiO、HfSiN等。高遷移率介面層502設置在高介電常數介電層504和基板114之間。高遷移率介面層502通常為薄膜SiON層。高遷移率介面層502之SiON材料可被分級,其中層502的化學計量(stoichiometry)可以包括Hf與SiON的混合以便為整個疊層提供更高淨值的k。在2003年4月4日提交的美國專利申請號10/407,930中描述適合的介面層的示例。金屬閘層512設置在高介電常數介電層504上。低電阻層514設置在金屬閘層512上。
在另一實施例中,第5B圖示出了具有高介電常數介電層504的薄膜疊層530。高遷移率介面層502設置在高介電常數介電層和基板114之間。薄介電蓋層532設置在高介電常數介電層504之上。金屬閘層534設置在介電蓋層532之上。諸如多晶矽的低電阻層536,設置在金屬閘層534之上。
在另一實施例中,第5C圖示出了適用於電荷捕獲快 閃記憶體元件的薄膜疊層550,例如,TANOS結構。薄膜疊層550包括設置在基板114上的溝道氧化層552。電荷捕獲氮化物層554設置在溝道氣化物層552之上。高介電常數介電層504設置在電荷捕獲氮化物層554之上。高WP金屬層556設置在高介電常數介電層504之上。低阻抗層558(諸如PVD金屬層),設置在高WP金屬層556之上。
因此,本發明提供通過高溫蝕刻製程,蝕刻高介電常數材料層的改進方法。高溫蝕刻製程在圖案轉移無損的情況下,有效蝕刻高介電常數材料,從而有利於形成具有無缺陷的、清潔後蝕刻表面以及理想外形和尺寸的結構。
雖然前述涉及本發明的實施例,但在不偏離本發明的基本範圍內可設計其他和另外的實施例,並且本發明的範圍由以下申請專利範圍確定。
100‧‧‧蝕刻製程腔室
112‧‧‧感應線圈天線部分
114‧‧‧基板
116‧‧‧基板支撐基座
118‧‧‧射頻源
119‧‧‧匹配網路
120‧‧‧罩
122‧‧‧第二(偏置)RF源
123‧‧‧匹配網路
124‧‧‧DC電源
125‧‧‧電阻加熱器
127‧‧‧節流閥
130‧‧‧導電腔室壁
134‧‧‧地線
136‧‧‧真空泵
138‧‧‧氣體面板
140‧‧‧控制器
142‧‧‧記憶體
144‧‧‧中央處理單元
146‧‧‧輔助電路
148‧‧‧源
149‧‧‧管道
150‧‧‧氣體混合物
155‧‧‧電漿
162‧‧‧內表面
164‧‧‧管道
170‧‧‧噴嘴
172‧‧‧第一出口
174‧‧‧第二出口
200‧‧‧製程
202‧‧‧方框
204‧‧‧方框
250‧‧‧製程
252‧‧‧方框
254‧‧‧方框
256‧‧‧方框
258‧‧‧方框
300‧‧‧薄膜疊層
302‧‧‧第二層
304‧‧‧高介電常數介電材料層
306‧‧‧第一層
308‧‧‧遮罩
310‧‧‧開口
312‧‧‧上表面
314‧‧‧上表面
320‧‧‧溝槽
322‧‧‧側壁
324‧‧‧側壁
502‧‧‧高遷移率介面層
504‧‧‧高介電常數介電層
510‧‧‧薄膜疊層
512‧‧‧金屬閘層
530‧‧‧薄膜疊層
532‧‧‧薄介電蓋層
534‧‧‧金屬閘層
536‧‧‧低電阻層
550‧‧‧薄膜疊層
552‧‧‧溝道氧化層
554‧‧‧電荷捕獲氮化物層
556‧‧‧高WP金屬層
558‧‧‧低阻抗層
通過以下詳細說明並結合附圖,可容易理解本發明的教示。其中:第1圖示出了根據本發明一實施例,用於執行蝕刻製程之電漿製程裝置的示意圖;第2圖示出了結合本發明一實施例之方法的製程流程圖;第3圖示出了結合本發明另一實施例之方法的製程流 程圖;第4A-4D圖示出了設置成形成閘極結構於其上之薄膜疊層的橫截面圖;以及第5A-5C圖示出了設置成形成閘極結構之不同薄膜疊層的可選橫截面視圖。
為了便於理解,附圖中相同的元件符號儘可能表示相同的元件。當知一實施例的元件和特徵可以在不需進一步描述的情況下,有利地結合到另一實施例中。
然而,應該注意到附圖只示出了本發明的示例性實施例,因此不能認為是對本發明範圍的限定,本發明可以有其他等效的實施例。
250‧‧‧製程
252‧‧‧方框
254‧‧‧方框
256‧‧‧方框
258‧‧‧方框

Claims (21)

  1. 一種蝕刻一高介電常數材料的方法,所述方法包括:將一基板提供至一蝕刻腔室中,所述基板上依序形成有一高介電常數材料層與一多晶矽層;未在所述蝕刻腔室中施加一偏置功率,由一蝕刻氣體混合物形成一電漿至所述蝕刻腔室中,所述蝕刻氣體混合物包含至少一BCl3 氣體;當在所述電漿的存在下蝕刻所述高介電常數材料層時,將一基板溫度保持在約攝氏100度和約攝氏250度之間,並將所述蝕刻腔室的一內部表面保持在一超過約攝氏100度的溫度;以及當將所述基板溫度保持在約攝氏100度和約攝氏250度之間,並將所述蝕刻腔室的所述內部表面保持在一超過約攝氏100度的溫度時,持續蝕刻所述多晶矽層。
  2. 如申請專利範圍第1項所述之方法,其中所述高介電常數材料選自由二氧化鉿(HfO2 )、二氧化鋯(ZrO2 )、矽酸鉿氧化物(HfSiO4 )、鉿鋁氧化物(HfAlO)、矽酸鋯氧化物(ZrSiO4 )、二氧化鉭(TaO2 )、氧化鋁、鋁摻雜的二氧化鉿、鈦鍶酸(bismuth strontium titanium,BST)以及鈦鋯酸鉑(platinum zirconium titanium,PZT)所組成之一群組。
  3. 如申請專利範圍第1項所述之方法,其中所述高介 電常數材料為鉿鋁氧化物。
  4. 如申請專利範圍第1項所述之方法,其中提供所述氣體混合物之步驟更包括:提供一含氯氣體至所述蝕刻腔室中。
  5. 如申請專利範圍第4項所述之方法,其中所述含氯氣體包括BCl3 和Cl2 中的至少一者。
  6. 如申請專利範圍第4項所述之方法,其中提供所述含氯氣體之步驟更包括:將一碳氫氣體與所述含氯氣體一同提供到所述蝕刻腔室中。
  7. 如申請專利範圍第4項所述之方法,其中提供所述含氯氣體之步驟更包括:將一惰性氣體與所述含氯氣體一同提供。
  8. 一種蝕刻一薄膜疊層以形成一閘極結構的方法,所述方法包括:提供一基板至一蝕刻腔室中,所述基板上形成有一薄膜疊層,其中所述薄膜疊層包括在一夾置在一第一和一第二多晶矽層間的高介電常數材料; 在所述蝕刻腔室中蝕刻所述在基板上的第一多晶矽層,以形成一露出所述高介電常數材料的溝槽;在所述溝槽的側壁上形成一保護層;當在所述電漿的存在下蝕刻所述高介電常數材料層時,未在所述蝕刻腔室中施加一偏置功率,利用至少一BCl3 氣體,在一約攝氏100度至約攝氏250度間的溫度下,經由所述經保護的溝槽來蝕刻所述高介電常數材料;以及當在所述相同蝕刻腔室中保持所述基板溫度在約攝氏100度與約攝氏250度之間且將所述蝕刻腔室的一內部表面保持在一超過約攝氏100度的溫度時,蝕刻所述設置在所述基板上的第二多晶矽層。
  9. 如申請專利範圍第8項所述之方法,更包括:當在所述電漿的存在下蝕刻所述高介電常數材料層時,將所述蝕刻腔室的一內部表面保持在一超過約攝氏100度的溫度。
  10. 如申請專利範圍第8項所述之方法,其中所述高介電常數材料為鉿氧化物層或鉿鋁氧化物層。
  11. 如申請專利範圍第8項所述之方法,其中蝕刻所述第一多晶矽層之步驟更包括: 提供一第一氣體混合物,以蝕刻所述第一多晶矽層;以及提供一第二氣體混合物,以過度蝕刻所述第一多晶矽層。
  12. 如申請專利範圍第11項所述之方法,其中提供所述第二氣體混合物之步驟更包括:將一含矽氣體與所述第二氣體混合物一起提供。
  13. 如申請專利範圍第12項所述之方法,其中所述含矽氣體包括SiCl4
  14. 如申請專利範圍第8項所述之方法,其中將蝕刻所述第一和第二多晶矽層的溫度控制在大體上與蝕刻所述高介電常數材料的溫度相同。
  15. 如申請專利範圍第8項所述的方法,其中保護所述第一多晶矽層之側壁的步驟更包括:執行一氧氣閃蒸製程(oxygen flash process)。
  16. 一種蝕刻一薄膜疊層以形成一閘極結構的方法,所述方法包括:提供一基板於一蝕刻腔室中,所述基板上形成有一薄 膜疊層,其中所述薄膜疊層包括一夾置在一第一和一第二多晶矽層間的含鉿氧化物層;在所述相同蝕刻腔室中將所述基板保持在一約攝氏100度和約攝氏250度間的溫度時,在所述蝕刻腔室中依序蝕刻所述第一多晶矽層、所述含鉿氧化物層和所述第二多晶矽層,其中在蝕刻所述含鉿氧化物層時未施加偏置功率;以及當在所述電漿的存在下蝕刻所述高介電常數材料層時,將所述蝕刻腔室的一內部表面保持在一超過約攝氏100度的溫度。
  17. 如申請專利範圍第16項所述之方法,其中依序蝕刻所述第一多晶矽層、所述含鉿氧化物層和所述第二多晶矽層的步驟更包括:在蝕刻所述含鉿氧化物層之前,提供一含矽氣體,以在所述經蝕刻之第一多晶矽層的一側壁上形成一保護層。
  18. 如申請專利範圍第17項所述之方法,其中所述含矽氣體包括SiCl4
  19. 如申請專利範圍第16項所述之方法,其中依序蝕刻所述第一多晶矽層、所述含鉿氧化物層和所述第二多晶矽層之步驟更包括: 提供一含鹵素氣體,以蝕刻所述含鉿氧化物層。
  20. 如申請專利範圍第19項所述之方法,其中所述含鹵素氣體包括BCl3 和Cl2 中的至少一者。
  21. 一種蝕刻一高介電常數材料的方法,其包括:提供一具有一金屬閘極的基板至一蝕刻腔室中,所述金屬閘極係設置在一依序形成於所述基板上的高介電常數材料層與一多晶矽層上;蝕刻所述金屬閘極層,以形成一暴露所述高介電常數材料的溝槽;當將所述蝕刻腔室的一內部表面保持在一超過約攝氏100度的溫度時,未在所述蝕刻腔室中施加一偏置功率,利用至少一BCl3 氣體,在一約攝氏100度和約攝氏250度間的溫度下,通過所述溝槽蝕刻所述高介電常數材料;以及當將所述基板溫度保持在約攝氏100度和約攝氏250度之間,並將所述蝕刻腔室的所述內部表面保持在一超過約攝氏100度的溫度時,持續蝕刻所述多晶矽層。
TW097124332A 2007-06-27 2008-06-27 高溫蝕刻高k值材料閘極結構之方法 TWI479562B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94658107P 2007-06-27 2007-06-27
US98715907P 2007-11-12 2007-11-12

Publications (2)

Publication Number Publication Date
TW200908144A TW200908144A (en) 2009-02-16
TWI479562B true TWI479562B (zh) 2015-04-01

Family

ID=39683533

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097124332A TWI479562B (zh) 2007-06-27 2008-06-27 高溫蝕刻高k值材料閘極結構之方法

Country Status (6)

Country Link
US (2) US8501626B2 (zh)
EP (1) EP2009681A3 (zh)
JP (1) JP2009021584A (zh)
KR (1) KR101106882B1 (zh)
CN (1) CN102610515B (zh)
TW (1) TWI479562B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8304349B2 (en) * 2008-08-18 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method to integrate gate etching as all-in-one process for high K metal gate
US8679962B2 (en) * 2008-08-21 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit metal gate structure and method of fabrication
US7776755B2 (en) * 2008-09-05 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Solution for polymer and capping layer removing with wet dipping in HK metal gate etching process
JP5377993B2 (ja) * 2009-01-30 2013-12-25 株式会社日立ハイテクノロジーズ プラズマ処理方法
WO2011030721A1 (ja) * 2009-09-09 2011-03-17 株式会社アルバック 基板処理装置の運転方法
US8313661B2 (en) * 2009-11-09 2012-11-20 Tokyo Electron Limited Deep trench liner removal process
US8501628B2 (en) * 2010-03-23 2013-08-06 Tokyo Electron Limited Differential metal gate etching process
US20120244693A1 (en) * 2011-03-22 2012-09-27 Tokyo Electron Limited Method for patterning a full metal gate structure
JP5968130B2 (ja) * 2012-07-10 2016-08-10 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP6163446B2 (ja) * 2014-03-27 2017-07-12 株式会社東芝 半導体装置の製造方法
US9852923B2 (en) * 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
US10867843B2 (en) * 2016-12-05 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for fabrication semiconductor device
US20180261464A1 (en) * 2017-03-08 2018-09-13 Tokyo Electron Limited Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system
JP6679642B2 (ja) * 2018-03-27 2020-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20210044271A (ko) 2018-08-15 2021-04-22 에바텍 아크티엔게젤샤프트 저-입자 플라즈마 에칭을 위한 방법 및 장치
WO2020100339A1 (ja) * 2019-06-26 2020-05-22 株式会社日立ハイテク プラズマ処理方法
JP7390134B2 (ja) * 2019-08-28 2023-12-01 東京エレクトロン株式会社 エッチング処理方法およびエッチング処理装置
CN116391247A (zh) 2021-10-22 2023-07-04 株式会社日立高新技术 等离子处理方法

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6300202B1 (en) * 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6511872B1 (en) * 2001-07-10 2003-01-28 Agere Systems Inc. Device having a high dielectric constant material and a method of manufacture thereof
CN1538504A (zh) * 2003-04-17 2004-10-20 应用材料有限公司 场效晶体管的一种闸极结构的制造方法
WO2004109772A2 (en) * 2003-05-30 2004-12-16 Tokyo Electron Limited Method and system for etching a high-k dielectric material
US6855643B2 (en) * 2002-07-12 2005-02-15 Padmapani C. Nallan Method for fabricating a gate structure
WO2005094244A2 (en) * 2004-03-16 2005-10-13 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US20060046496A1 (en) * 2004-08-27 2006-03-02 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20060105575A1 (en) * 2003-03-14 2006-05-18 Lam Research Corporation Small volume process chamber with hot inner surfaces
US20060252265A1 (en) * 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US20070042601A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc. Method for etching high dielectric constant materials

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0612767B2 (ja) * 1984-01-25 1994-02-16 株式会社日立製作所 溝およびそのエッチング方法
US5071714A (en) * 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
JPH0383335A (ja) * 1989-08-28 1991-04-09 Hitachi Ltd エッチング方法
JP3127454B2 (ja) * 1990-08-08 2001-01-22 ソニー株式会社 シリコン系被エッチング材のエッチング方法
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JP3198586B2 (ja) * 1992-02-14 2001-08-13 ソニー株式会社 ドライエッチング方法
US5337207A (en) * 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
JP3246788B2 (ja) * 1993-03-18 2002-01-15 株式会社日立製作所 マイクロ波プラズマエッチング装置
US5356833A (en) * 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5674782A (en) * 1993-12-31 1997-10-07 Samsung Electronics Co., Ltd. Method for efficiently removing by-products produced in dry-etching
US5776356A (en) * 1994-07-27 1998-07-07 Sharp Kabushiki Kaisha Method for etching ferroelectric film
US5705433A (en) * 1995-08-24 1998-01-06 Applied Materials, Inc. Etching silicon-containing materials by use of silicon-containing compounds
US6319730B1 (en) * 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) * 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6323143B1 (en) * 2000-03-24 2001-11-27 Taiwan Semiconductor Manufacturing Company Method for making silicon nitride-oxide ultra-thin gate insulating layers for submicrometer field effect transistors
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6297095B1 (en) * 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6204141B1 (en) * 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
US6326261B1 (en) * 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
TWI304230B (en) 2003-05-30 2008-12-11 Tokyo Electron Ltd Method and system for etching a high-k dielectric material
US6955964B2 (en) * 2003-11-05 2005-10-18 Promos Technologies, Inc. Formation of a double gate structure
JP4836112B2 (ja) * 2004-12-24 2011-12-14 国立大学法人京都大学 半導体処理装置のクリーニング方法およびシリコン基板のエッチング方法
JP4554461B2 (ja) * 2005-07-26 2010-09-29 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US20070202700A1 (en) * 2006-02-27 2007-08-30 Applied Materials, Inc. Etch methods to form anisotropic features for high aspect ratio applications
US7780862B2 (en) 2006-03-21 2010-08-24 Applied Materials, Inc. Device and method for etching flash memory gate stacks comprising high-k dielectric
US8722547B2 (en) 2006-04-20 2014-05-13 Applied Materials, Inc. Etching high K dielectrics with high selectivity to oxide containing layers at elevated temperatures with BC13 based etch chemistries

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6300202B1 (en) * 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6511872B1 (en) * 2001-07-10 2003-01-28 Agere Systems Inc. Device having a high dielectric constant material and a method of manufacture thereof
US20060252265A1 (en) * 2002-03-06 2006-11-09 Guangxiang Jin Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
US6855643B2 (en) * 2002-07-12 2005-02-15 Padmapani C. Nallan Method for fabricating a gate structure
US20060105575A1 (en) * 2003-03-14 2006-05-18 Lam Research Corporation Small volume process chamber with hot inner surfaces
CN1538504A (zh) * 2003-04-17 2004-10-20 应用材料有限公司 场效晶体管的一种闸极结构的制造方法
WO2004109772A2 (en) * 2003-05-30 2004-12-16 Tokyo Electron Limited Method and system for etching a high-k dielectric material
KR20060028636A (ko) * 2003-05-30 2006-03-30 동경 엘렉트론 주식회사 고-k 유전성 재료 에칭 방법 및 시스템
WO2005094244A2 (en) * 2004-03-16 2005-10-13 Lam Research Corporation System, method and apparatus for self-cleaning dry etch
US20060046496A1 (en) * 2004-08-27 2006-03-02 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US20070042601A1 (en) * 2005-08-22 2007-02-22 Applied Materials, Inc. Method for etching high dielectric constant materials
CN1921072A (zh) * 2005-08-22 2007-02-28 应用材料公司 一种蚀刻高介电常数材料的方法

Also Published As

Publication number Publication date
KR101106882B1 (ko) 2012-01-25
US8501626B2 (en) 2013-08-06
CN102610515A (zh) 2012-07-25
TW200908144A (en) 2009-02-16
US20130344701A1 (en) 2013-12-26
EP2009681A2 (en) 2008-12-31
KR20080114626A (ko) 2008-12-31
EP2009681A3 (en) 2010-07-28
CN102610515B (zh) 2014-10-15
JP2009021584A (ja) 2009-01-29
US20090004870A1 (en) 2009-01-01

Similar Documents

Publication Publication Date Title
TWI479562B (zh) 高溫蝕刻高k值材料閘極結構之方法
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US7846347B2 (en) Method for removing a halogen-containing residue
US20090004875A1 (en) Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
TWI607506B (zh) 圖案化氮化矽介電膜之方法
US9533332B2 (en) Methods for in-situ chamber clean utilized in an etching processing chamber
US6759286B2 (en) Method of fabricating a gate structure of a field effect transistor using a hard mask
KR102483741B1 (ko) 진보된 패터닝 프로세스에서의 스페이서 퇴적 및 선택적 제거를 위한 장치 및 방법들
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US20060252265A1 (en) Etching high-kappa dielectric materials with good high-kappa foot control and silicon recess control
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
US6855643B2 (en) Method for fabricating a gate structure
US7910488B2 (en) Alternative method for advanced CMOS logic gate etch applications
US20060060565A9 (en) Method of etching metals with high selectivity to hafnium-based dielectric materials
CN101339903A (zh) 用于高温蚀刻高-k材料栅结构的方法
US20050176191A1 (en) Method for fabricating a notched gate structure of a field effect transistor

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees