TWI607506B - 圖案化氮化矽介電膜之方法 - Google Patents

圖案化氮化矽介電膜之方法 Download PDF

Info

Publication number
TWI607506B
TWI607506B TW103101473A TW103101473A TWI607506B TW I607506 B TWI607506 B TW I607506B TW 103101473 A TW103101473 A TW 103101473A TW 103101473 A TW103101473 A TW 103101473A TW I607506 B TWI607506 B TW I607506B
Authority
TW
Taiwan
Prior art keywords
tantalum nitride
nitride layer
continuous
plasma
plasma process
Prior art date
Application number
TW103101473A
Other languages
English (en)
Other versions
TW201432817A (zh
Inventor
奈馬尼史林尼法斯D
潘德瑞米亞T
趙清軍
路布米斯基德米崔
貝羅斯特凱索爵G
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201432817A publication Critical patent/TW201432817A/zh
Application granted granted Critical
Publication of TWI607506B publication Critical patent/TWI607506B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Description

圖案化氮化矽介電膜之方法 【相關申請案之交插引用】
此申請案主張享有2013年1月16號申請之美國專利臨時申請案第61/753,357號的優先權,該案內容以引用方式全文併入本案。
本發明實施例係關於半導體處理領域,特別係關於圖案化氮化矽介電膜的方法。
在半導體製程中,經常使用氮化矽製造積體電路中的絕緣體及化學阻障層,藉以在塊體微機械加工中電性隔離不同結構或作為蝕刻遮罩。當作為微晶片的鈍化層時,氮化矽優於二氧化矽,因為氮化矽對於阻擋水分子及鈉離子(造成微電子元件中發生腐蝕和不穩定情形的兩種主要來源)而言是明顯較佳的擴散阻障層。氮化矽亦可用在類比晶片的電容中作為多晶矽層之間的介電質。
然而,必須對氮化矽介電質處理技術的演進做出顯著改進,例如做到受控制地蝕刻或薄化此種薄膜。
文中所述的一個或更多個實施例係關於圖案化氮化矽介電膜的方法。
在實施例中,等向性蝕刻介電膜的方法包括使用氧基(oxygen-based)電漿製程對氮化矽層的暴露區進行部分改質(modifying),藉以提供該氮化矽層的改質部分及未改質部分。該方法亦包括使用第二電漿製程以對該氮化矽層之未改質部分具有選擇性的方式移除該氮化矽層的改質部分。
在另一實施例中,等向性蝕刻介電膜的方法包括使用氧基電漿製程對氮化矽層的暴露區進行部分改質,藉以提供該氮化矽層的改質部分及未改質部分。該氧基電漿製程是在約攝氏50度的溫度且在約200mT的壓力下流動約300sccm的O2持續進行約60秒的時間。該方法亦包括於約攝氏50度的溫度且在約800mT的壓力下流動約30sccm的NF3、約300sccm的NH3及約1000sccm的He以進行第二電漿製程持續約120秒的時間而移除該氮化矽層的改質部分。所執行的移除步驟對該氮化矽層的未改質部分具有選擇性。
在另一實施例中,等向性蝕刻介電膜的方法包括使用第一氧基電漿製程對氮化矽層的暴露區進行部分改質,藉以提供該氮化矽層的第一改質部分及未改質部分。使用第二電漿製程移除該氮化矽層的第一改質部分,且該移除步驟對該未改質部分具有選擇性。在移除該第一改質部分之後,使用第二氧基電漿製程對該氮化矽層之該未改質部分的暴露區進行部分改質,藉以提供該氮化矽層的第二改質部分。使用 第四電漿製程移除該氮化矽層的該第二改質部分。
102、104、106、108‧‧‧操作步驟
152‧‧‧氮化矽膜
154‧‧‧結構
156‧‧‧矽基板
158‧‧‧電漿
160‧‧‧氧化表面部分/改質部分
162‧‧‧較深部分
164‧‧‧下游電漿
500‧‧‧系統
502‧‧‧腔室
504‧‧‧樣品固定器
506‧‧‧排放裝置
508‧‧‧氣體進氣裝置
510‧‧‧電漿點燃裝置
512‧‧‧運算裝置
514‧‧‧電壓源
516‧‧‧偵測器
600‧‧‧電腦系統
602‧‧‧處理器
604‧‧‧主記憶體
606‧‧‧靜態記憶體
608‧‧‧網路介面裝置
610‧‧‧影像顯示單元
612‧‧‧字母數字輸入裝置
614‧‧‧游標控制裝置
616‧‧‧訊號產生裝置
618‧‧‧次要記憶體
620‧‧‧網路
622‧‧‧軟體
626‧‧‧處理邏輯
630‧‧‧匯流排
631‧‧‧機器可存取之儲存媒體
第1圖是根據本發明實施例描述等向性蝕刻氮化矽膜之方法中各項不同操作步驟的截面流程圖。
第2圖包含穿透式電子顯微鏡(TEM)影像,該等影像圖示根據本發明實施例蝕刻前的氮化矽層(影像A和影像B)及經300毫托H2-蝕刻後的氮化矽層(影像C、影像D和影像E)。
第3圖包含穿透式電子顯微鏡(TEM)影像,該等影像圖示根據本發明實施例對小特徵上之氮化矽層以200毫托壓力進行O2-處理蝕刻的結果(影像A)及對大特徵上之氮化矽層以200毫托壓力進行O2-處理蝕刻的結果(影像B)。
第4圖包含穿透式電子顯微鏡(TEM)影像,該等影像圖示根據本發明實施例對小特徵上之氮化矽層以300毫托壓力進行O2-處理蝕刻的結果(蝕刻前的影像A;及蝕刻後的影像C)及對大特徵上之氮化矽層以300毫托壓力進行O2-處理蝕刻的結果(蝕刻前的影像B;及蝕刻後的影像D)。
第5圖圖示根據本發明實施例進行氮化矽介電膜蝕刻方法的系統。
第6圖圖示根據本發明實施例之示例性電腦系統的方塊圖。
本發明描述圖案化氮化矽介電膜的方法。在以下說明中舉出諸多特定細節,例如用於對氮化矽介電膜進行改質 的特定電漿處理及作用,以求透徹地了解本發明實施例。所屬技術領域中熟悉該項技藝者將明白到,無需此等特定細節也可實施本發明實施例。在其他情況中,對於諸如用於形成遮照的光微影圖案化及顯影技術等已知態樣,則不加以詳細描述,以避免不必要地模糊本發明實施例。再者,應明白圖式中所示的各種實施例是作為示範解說之用且未必按比例繪製。
文中所述的一個或更多個實施例係有關用於薄化或修整(trim)以氮化矽為基礎的薄膜。例如可藉由等向性地(isotropic)移除一部分或全部區域之實質或完全由氮化矽所組成的薄膜來進行薄化或修整。如以下更詳細描述的可控制方式進行該薄化或修整動作。如所述技術領域中所知般,當文中提到氮化矽膜時,可能包括諸多表示方式,例如「SiN」(在「SiN」式中並未指定Si:N的化學計量比例且未必是理想比例)或「Si3N4」(在「Si3N4」式中載明Si:N的化學計量比例且為理想比例),若標示為「SiNx」則代表以上兩種情形。
一個或更多個實施例係關於等向性氮化矽移除法。在實施例中,在蝕刻腔室中存在基板或晶圓時,通常使用RF電漿源來解離氣體,例如氧氣(O2)。在蝕刻氮化物膜的例子中,自由基及離子直接與所有暴露的氮化物表面發生作用而改變表面的化學組成。隨後可在同一個腔室內使用遠端來源以化學方式移除所產生的已改變表面薄膜。在一此種實施例中,使用對未改質之氮化物和矽具有選擇性的NH3/NF3系製程進行化學移除。此種製程可藉由化學方式驅動,故而對剩 餘膜層的濺射損害可減至最小或不存在。再者,可實現不存留殘餘物的製程,否則需要該製程將會需要濕式清洗以移除此等殘餘物。
尤其是,最初嘗試實現僅包含使用高壓式-乾蝕刻或電漿蝕刻(例如,使用暴力式蝕刻)的等向性氮化物蝕刻法。為進行比較,使用已蝕刻的擋片晶圓(spacer wafer)評估在約300毫托(mT)壓力下進行蝕刻的等向性程度。結果顯示被移除的氮化物極少,僅留下「已氧化(oxidized)」的表面。
然而,取而代之的是,在實施例中使用O2系處理以為暴露的氮化物表面提供更實質的化學驅動改質作用。該改質作用為等向性改質作用,不論輪廓如何,該改質作用深入至該膜的某個深度。在示例性實施例中,該處理包括在約10W的下偏壓及約300W的上偏壓下流動約300sccm的O2。在約攝氏50度的溫度下使用約200mT的壓力。在感應耦合電漿(ICP)腔室或電容耦合電漿(CCP)腔室中以靜電夾住該樣品晶圓,並使用氦(He)冷卻晶圓背部(本文中稱為10i-o,其中從卡盤引入氦氣,且使用內區(inner band)氦氣氣流壓力及外區氦氣氣流壓力冷卻該晶圓的背部)。該處理進行約60秒。注意到,在較低壓力下(例如,小於約50mT的壓力),該改質作用變成非等向性(anisotropic)。
隨後,可在Siconi型製程中(例如使用NF3與NH3氣體的組合物)以對該膜之未改質部分具有選擇性的方式移除該經過等向性改質的氮化矽膜。在此種實施例中,該移除製程包括在使用He惰性氣體冷卻靜電卡盤背部的情況下,於約 攝氏50度的溫度且在約800mT的壓力下流動約30sccm的NF3、約300sccm的NH3及約1000sccm的He持續約120秒的時間。隨後,在使用He惰性氣體冷卻靜電卡盤背部的情況下,藉著在約5mT的壓力下流動約100sccm的Ar以約攝氏110度的溫度斜坡(temperature ramp)進行退火(anneal)約120秒的時間。當進行改質、移除及退火時,會從間隔物結構(spacer structure)的蝕刻前線及側壁上移除約2奈米的氮化矽。因此,該移除作用可視為具有實質等向性(isotropic)的特性。例如,在一實施例中達到約1.2:1的蝕刻前線/側面移除比例。
作為更廣義的實例,第1圖是根據本發明實施例描述等向性蝕刻氮化矽膜之方法中各種不同操作步驟的截面流程圖。參閱操作步驟102,在配置於矽(Si)基板156上的結構154上方設置氮化矽膜152。於操作步驟104,使用氧(O2)系電漿158對氮化矽膜152之暴露表面的一部分進行改質。在一此種實施例中,該改質作用包括使用由電漿158所產生的O+物種氧化一部分的氮化矽膜152。該氧化作用產生氧化表面部分160,同時較深部分162未被改質。於操作步驟106,使用下游電漿164移除氮化矽膜152的改質部分160,而選擇留下剩餘部分的未改質氮化矽膜162。視需要可選用操作步驟108,可重複該改質製程及移除製程,且最終可使用該改質製程及移除製程完全移除該氮化矽膜區域,而留下基板156上方的結構154。如操作步驟108所示般,可進行該(些)改質製程及移除製程而不損害下方的矽基板156。
參閱第1圖,在實施例中,該改質製程及移除蝕刻製程之其中一者或兩者為自我限制性,只要符合閾值時間量(threshold amount of time),便可使該製程較不易受到時間差異的影響。使用自我限制性製程能增進後續製程的一致性。又,儘管圖中未示出,但在實施例中,可在氮化矽膜的移除步驟及改質和移除蝕刻處理的後續循環之間使用退火步驟。經等向性蝕刻的氮化矽層可能完全滿足化學計量(Si3N4)或符合另一種適當的Si:N化學計量,兩種情況皆以SiNx表示。在一此種實施例中,可能偏好富含矽的氮化矽膜以促進改質期間該膜的氧化作用。然而,在其他實施例中,該氮化矽膜不一定富含矽。
再次參閱第1圖,於操作步驟108中提供選擇性以免損傷下方矽基板。更廣義言之,進行該配合第1圖所述的製程對於由能適當承受製造製程且可在上方適當駐留半導體材料處理層之材料所組成的基板而言具有選擇性且不會對基板造成可測出的損傷。根據本發明實施例,該基板由IV族系的材料所組成,例如,但不限於,結晶矽、鍺或矽/鍺。在特定實施例中,該基板是單晶矽基板。在一特定實施例中,該單晶矽基板摻雜有雜原子。然而在另一實施例中,該基板是由III-V族材料所組成。
再次參閱第1圖,即使在地形特徵(例如,繪示在Si基板與一部分氮化矽膜之間的結構)上方也能達成氮化矽膜的等向性蝕刻。例如,該結構可能是用於電晶體製造中的閘極或閘極佔位結構(gate placehoder)。在特定實例中,該結構是 多晶矽或無定形矽佔位結構以於稍後用在閘極置換製程方案(replacement gate processing scheme)中。在另一特定實施例中,該結構最終可能用於形成記憶元件的浮閘部分。
無論所欲製造的特定技術為何,此種等向性氮化矽蝕刻法可用於各種情況。例如,在第一實施例中,參閱第1圖的操作步驟106,使用等向性氮化矽膜蝕刻以均勻一致地薄化氮化矽層。在第二實施例中,參閱第1圖的操作步驟108,使用等向性氮化矽膜蝕刻從基板的一區域上完全移除氮化矽層且不損傷該基板。在第三實施例中,使用等向性氮化矽膜蝕刻對於配置在基板上方之結構側壁上所形成的間隔物進行均勻修整,藉以例如縮減間隔物寬度且實質上不縮減間隔物的高度,而非等向性蝕刻(anisotropic etch)則會縮減間隔物的高度。在第四實施例中,使用等向性氮化矽膜蝕刻來修整硬遮罩以用於縮小或調整臨界尺寸(CD),例如可在蝕刻多晶矽層之前,先縮小配置在多晶矽層上之氮化矽硬遮罩線的尺寸。
再次參閱上述最初嘗試實現僅使用高壓式-乾蝕刻或電漿蝕刻的等向性氮化物蝕刻法,第2圖包含數個穿透式電子顯微鏡(TEM)影像,該等影像圖示根據本發明實施例,蝕刻前(pre-etch)的氮化矽層(影像A和影像B)及經300毫托H2-蝕刻後的氮化矽層(影像C、影像D和影像E)。在使用He惰性氣體冷卻靜電卡盤背部的情況下,以約攝氏50度的溫度、約300mT的壓力及約10W的下偏壓和約300W的上偏壓來流動約400sccm的H2以進行該蝕刻約60秒的時間。隨後在使用He惰性氣體冷卻靜電卡盤背部的情況下,於約攝氏50度 的溫度、約800mT的壓力及約600W的偏壓下流動約30sccm的NF3、約300sccm的NH3及約1000sccm的He以進行Siconi®蝕刻約120秒的時間。接著在使用He惰性氣體冷卻靜電卡盤背部的情況下,在約5mT的壓力下流動約100sccm的Ar以約攝氏110度的溫度斜坡進行退火約120秒的時間。接著,重複進行另一次該最初蝕刻、Siconi蝕刻及退火的循環。參閱影像D及影像E,對於大特徵而言,側壁處的平均氮化矽損失約為6.7Å及在蝕刻前線(etch front)處的平均氮化矽損失約為11.2Å,比例為1.67:1,此蝕刻有些非等向性,例如對於某些應用而言可能不宜用於等向性蝕刻。
相較於第2圖的蝕刻結果,第3圖所包含的穿透式電子顯微鏡(TEM)影像圖示根據本發明實施例對小特徵上之氮化矽層以200mT壓力進行O2-處理蝕刻的結果(影像A),及對大特徵上之氮化矽層以200mT壓力進行O2-處理蝕刻的結果(影像B)。在使用He惰性氣體冷卻靜電卡盤背部的情況下,以約攝氏50度的溫度、約200mT的壓力及約10W的下偏壓和約300W的上偏壓來流動約300sccm的O2以進行該蝕刻約60秒的時間。隨後在使用He惰性氣體冷卻靜電卡盤背部的情況下,於約攝氏50度的溫度、約800mT的壓力及約600W的偏壓下流動約30sccm的NF3、約300sccm的NH3及約1000sccm的He以進行Siconi蝕刻約120秒的時間。接著在使用He惰性氣體冷卻靜電卡盤背部的情況下,在約5mT的壓力下流動約100sccm的Ar以約攝氏110度的溫度斜坡進行退火約120秒的時間。接著,重複進行另外兩次之該最初 蝕刻、Siconi蝕刻及退火的循環,以達到總共三次的循環。參閱影像A,對於小特徵而言,頂部處的平均氮化矽損失約為2.14奈米,側壁處的平均氮化矽損失約為1.78奈米,及在蝕刻前線(EF)處的平均氮化矽損失約為2.08奈米,比例為1.18:1。參閱影像B,對於大特徵而言,頂部處的平均氮化矽損失約為1.54奈米,側壁處的平均氮化矽損失約為1.79奈米,及在蝕刻前線(EF)處的平均氮化矽損失約為2.78奈米,比例為1.21:1。該比例1.18:1及該比例1.21:1為實質等向性,特別是當與第2圖相較之下更顯得實質等向性。因此,在進行siconi型移除製程之前,使用O2系改質製程(而不使用H2)可更加等向性地改變該薄膜。
為了說明較高壓力改質方法,第4圖所包含的穿透式電子顯微鏡(TEM)影像圖示根據本發明實施例對小特徵上之氮化矽層以300mT壓力進行O2-處理蝕刻的結果(蝕刻前的影像A;及蝕刻後的影像C)及對大特徵上之氮化矽層以300mT壓力進行O2-處理蝕刻的結果(蝕刻前的影像B;及蝕刻後的影像D)。在使用He惰性氣體冷卻靜電卡盤背部的情況下,以約攝氏50度的溫度、約300mT的壓力及約10W的下偏壓和約300W的上偏壓來流動約300sccm的O2以進行該蝕刻約60秒的時間。隨後在使用He惰性氣體冷卻靜電卡盤背部的情況下,於約攝氏50度的溫度、約800mT的壓力及約600W的偏壓下流動約30sccm的NF3、約300sccm的NH3及約1000sccm的He以進行siconi蝕刻約120秒的時間。接著在使用He惰性氣體冷卻靜電卡盤背部的情況下,在約5mT 的壓力下流動約100sccm的Ar以約攝氏110度的溫度斜坡進行退火約120秒的時間。接著,重複進行另外兩次之該最初蝕刻、該siconi蝕刻及該退火的循環,以達到總共三次的循環。參閱影像A及影像C,對於小特徵而言,側壁處的平均氮化矽損失約為2.01奈米,及在蝕刻前線(EF)處的平均氮化矽損失約為1.96奈米,比例為0.98:1。參閱影像B及影像D,對於大特徵而言,側壁處的平均氮化矽損失約為2.58奈米,及在蝕刻前線(EF)處的平均氮化矽損失約為2.16奈米,比例為0.84:1。該比例0.98:1及該比例0.84:1為實質等向性,特別是當與第2圖相較之下更顯得實質等向性。
因此,在實施例中,可使用由諸如(但不限於)NF3、氨(NH3)或彼之組合物的氣體所產生之電漿為基礎的電漿製程來移除含Si-O的改質層,例如可用來移除上述氮化矽層的改質部分)。舉例而言,在一特定的此類實施例,是使用「Siconi」乾蝕刻,且「Siconi」乾蝕刻包括:(a)根據反應式NF3+NH3→NH4F+NH4F.HF在電漿中產生蝕刻劑;(b)根據反應式NH4F或NH4F.HF+SiO2→(NH4)2SiF6(固體)+H2O在約攝氏30度下進行蝕刻製程;及(c)根據反應式(NH4)2SiF6(固體)→SiF4(氣體)+(NH3)(氣體)+HF(氣體)在高於約攝氏100度下進行昇華,該等氣體最終用於蝕刻該含Si-O改質層。然而在替代實施例中,移除該含Si-O改質層的步驟包括使該氮化矽膜暴露於蒸汽中,該蒸汽例如,但不限於,氟化氫(HF)蒸汽或NF4.HF蒸汽。
可在專用腔室中進行含Si-O改質層(例如上述氮化 矽層的改質部分)的移除步驟。例如,在實施例中,siconi腔室包括可供基板用的退火區及蝕刻區。可包含NH3與NF3電漿槽以用於產生遠端電漿。該電漿槽與蝕刻劑產生區連接。在一實施例中,用於產生蝕刻劑的電漿不會接觸晶圓或基板。該siconi腔室還包含熱噴頭以用於均勻分配蝕刻劑及提供用於進行退火的熱源。在一實施例中,可包含冷基座以用於冷卻晶圓或基板、用於凝結蝕刻劑,及/或用於控制選擇性。在一實施例中,可包含溫熱的腔室壁以防止蝕刻劑及副產物凝結。亦可包含幫浦通道以用於均勻地抽吸並移除副產物。
在實施例中,siconi腔室可用於在遠端電漿槽中產生蝕刻劑,並與安置在冷基座上的晶圓或基板進行例如(由經改質的氮化矽膜)形成氧化矽的反應。該晶圓可朝向噴頭方向升高,並利用該熱噴頭使副產物昇華。一旦移除副產物,便清洗該晶圓或基板。隨後降下乾淨的晶圓或基板以移除該晶圓或基板。因此,在一實施例中,可在該siconi腔室中進行至少一部分的乾式清洗製程,以便使用該乾式清洗製程以對於氮化矽膜之未改質部分具有選擇性的方式來移除該氮化矽膜的改質部分。
在實施例中,於電漿蝕刻腔室內進行一個或更多個上述製程。例如,在一實施例中,於Applied Centura® Enabler介電蝕刻系統內進行一個或更多個上述製程,該Applied Centura® Enabler介電蝕刻系統可購自美國加州森尼維耳市(Sunnyvale)的應用材料公司。在另一實施例中,於Applied MaterialsTM AdvantEdge G3蝕刻器內進行一個或更多個上述 製程,該Applied MaterialsTM AdvantEdge G3蝕刻器亦可購自美國加州森尼維耳市的應用材料公司。
可在適合於靠近樣品處提供蝕刻電漿以用於進行蝕刻的處理設備內進行氮化矽介電層的圖案化製程。例如,第5圖圖示一種系統,可在該系統內根據本發明實施例進行氮化矽介電膜蝕刻方法。
參閱第5圖,用於進行電漿蝕刻製程的系統500包含腔室502,且該腔室502配備有樣品固定器(sample holder)504。排放裝置506、氣體進氣裝置508及電漿點燃裝置510與腔室502連接。運算裝置512與電漿點燃裝置510連接。系統500可附加包含電壓源514及偵測器516,該電壓源514與樣品固定器504連接,且偵測器516與腔室502連接。如第5圖中所示,運算裝置512亦可與排放裝置506、氣體進氣裝置508、電壓源514及偵測器516連接。
腔室502及樣品固定器504可包括反應腔室及樣品定位裝置,該反應腔室及樣品定位裝置適合用於容納離子化氣體(即,電漿)並可將樣品帶到靠近射出該離子化氣體或帶電物種之處。排氣裝置506可為適用於排空腔室502及使腔室502降壓的裝置。氣體進氣裝置508可為適用於將反應氣體注入腔室502中的裝置。電漿點燃裝置510可為適用於將氣體進氣裝置508注入腔室502中之反應氣體點燃而生成電漿的裝置。偵測裝置516可為適用於偵測製程操作步驟之終點的裝置。在一實施例中,系統500包含腔室502、樣品固定器504、排氣裝置506、氣體進氣裝置508、電漿點燃裝置510 及偵測器516,該系統500所包含的該等裝置可能與Applied Centura® Enabler介電蝕刻系統、Applied MaterialsTM AdvantEdge G3系統或Applied MaterialsTM C3介電蝕刻腔室中所包含的裝置相似或相同。
本發明實施例可作為電腦程式產品或軟體,該等電腦程式產品或軟體可包括儲存有多個指令的機械可讀媒體,該媒體可用於編輯電腦系統(或其他電子裝置)以執行根據本發明之製程。機械可讀媒體包括任何以機器(例如,電腦)可讀形式來儲存或傳遞資訊的機械。例如,機器可讀媒體(例如,電腦可讀媒體)包括:機器(如,電腦)可讀式儲存媒體(例如,唯讀記憶體(ROM)、隨機存取記憶體(RAM)、磁碟儲存媒體、光學儲存媒體、快閃記憶裝置,等等)、機器(如,電腦)可讀式傳輸媒介(電子、光學、聲音或其他形式的傳播訊號(例如,紅外線訊號、數位訊號,等等),及諸如此類者。
第6圖圖示示範以電腦系統600形式呈現之機器的概要表示圖,在該機器中可執行一組指令以使該機器執行本文中所討論之該等方法中的任意一種方法或更多種方法。在替代實施例中,該機器可(例如經由網路)連接位在區域網路(LAN)、內部網路、外部網路或網際網路中的其他機器。該機器可在客戶端-服務器網路環境中的伺服器或客戶端機器中運作,或作為點對點網路環境(或分散式網路環境)中的個別機台而運作。該機器可為個人電腦(PC)、平板電腦、機上盒(STB)、個人數位助理(PDA)、行動電話、網路設備、伺服器、網路路由器(network router)、切換器或橋接器,或任何能夠(連續或 不連續)執行一組指令的機器,該等指令指定該機器欲採取的動作。再者,雖然圖中僅示出單一台機器,但該用語「機器」亦應視為包括任何可獨立或聯合執行一組(或多組)指令以進行本文中所討論之一種或更多種方法的機器群集(例如,多台電腦)。在一實施例中,電腦系統600適合作為第5圖中所描述的運算裝置512來使用。
該示例性電腦系統600包含處理器602、主記憶體604(例如,唯讀記憶體(ROM)、快閃記憶體、動態隨機存取記憶體(DRAM),例如同步動態隨機存取記憶體(SDRAM)或Rambus動態隨機存取記憶體(RDRAM),等等)、靜態記憶體606(例如,快閃記憶體、靜態隨機存取記憶體(SRAM),等等)及次要記憶體618(例如,資料儲存裝置),該等裝置可藉由匯流排630彼此互相通訊。
處理器602代表一個或更多個通用型處理裝置,例如,微處理器、中央處理單元,或諸如此類者。尤其是,處理器602可為複雜指令集運算(CISC)微處理器、精簡指令集運算(RISC)微處理器、超長指令字(VLIW)微處理器、可執行其他指令集的處理器或可執行多種指令集之組合的處理器。處理器602亦可為一個或更多個特殊用途處理裝置,例如特定應用積體電路(ASIC)、現場可程式化閘極陣列(FPGA)、數位訊號處理器(DSP)、網路處理器,或諸如此類者。處理器602可配置成用於執行處理邏輯626以進行文中所討論的操作步驟。
電腦系統600可進一步包含網路介面裝置608。電 腦系統600亦可包含影像顯示單元610(例如,液晶顯示器(LCD)或陰極射線管(CRT))、字母數字輸入裝置612(例如,鍵盤)、游標控制裝置614(例如,滑鼠)及訊號產生裝置616(例如,揚聲器)。
次要記憶體618可包括機器可存取之儲存媒體(或更明確言之是電腦可讀儲存媒體)631,該儲存媒體上儲存有一組或更多組的指令(例如,軟體622),該等指令可具體實現文中所述該等方法或功能中的任意一個或更多個方法或功能。在使用電腦系統600執行軟體622的期間,該軟體622亦可全部或至少一部分駐留在該主記憶體604及/或處理器602中,該主記憶體604及處理器602亦可構成機器可讀儲存媒體。可進一步藉由網路介面裝置608經由網路620傳送或接收該軟體622。
雖然在示例性實施例中圖示該機器可存取之儲存媒體631是單一個媒體,但該用語「機器可讀儲存媒體」應視為包括可儲存該一組或更多組指令的單個媒體或多個媒體(例如,集中式或分散式資料庫,及/或相關的暫存器或伺服器)。該用語「機器可讀儲存媒體」亦應視為包括任何能夠儲存或編碼一組指令以利用機器執行指令並使該機器進行本發明方法中之任意一種或更多種方法的媒體。因此該用語「機器可讀儲存媒體」應視為包括,但不限於,固態記憶體、光學媒體及磁性媒體。
根據本發明實施例,機器可讀儲存媒體上儲存有指令,該等指令可使資料處理系統進行等向性蝕刻介電膜的方 法。該方法包括使用氧基電漿製程對氮化矽層的暴露區進行部分改質以提供該氮化矽層的改質部分及未改質部分。該方法隨後包括使用第二電漿製程以對該氮化矽層之未改質部分具有選擇性的方式移除該氮化矽層的改質部分。
在一實施例中,該方法進一步包括在該移除步驟之後,對該氮化矽層的未改質部分進行退火。在一實施例中,該方法進一步包括在該移除步驟之後,使用第二氧基電漿製程對該氮化矽層的暴露區進行部分改質以提供該氮化矽層的第二改質部分及第二未改質部分;及使用電漿製程以對該氮化矽層之第二未改質部分具有選擇性的方式移除該氮化矽層的第二改質部分。在一實施例中,該改質步驟包括使用O+物種氧化該氮化矽層的一部分。在一實施例中,以對下方矽基板具有選擇性的方式執行該移除步驟。在一實施例中,該改質步驟及該移除步驟之其中一者或兩者是自我限制性製程。在一實施例中,該第二電漿製程是基於NF3與NH3的組合物而進行。在一實施例中,該移除步驟等向性地薄化該氮化矽層。在一實施例中,該移除步驟縮減氮化矽型間隔物(silicon nitride based spacer)的間隔物寬度。在一實施例中,該移除步驟修整氮化矽硬遮罩以縮小或調整臨界尺寸(CD)。
因此,文中已揭示數種圖案化氮化矽介電膜的方法。
102、104、106、108‧‧‧操作步驟
152‧‧‧氮化矽膜
154‧‧‧結構
156‧‧‧矽基板
158‧‧‧電漿
160‧‧‧氧化表面部分/改質部分
162‧‧‧較深部分
164‧‧‧下游電漿

Claims (20)

  1. 一種等向性蝕刻一介電膜的方法,該方法包含:使用一氧基電漿製程對一連續的氮化矽層進行等向性部分改質,以提供該連續的氮化矽層的一改質部分及一未改質部分,該連續的氮化矽層與一下方非平面形貌(underlying non-planar topography)共形,並具有該下方非平面形貌;及使用一第二電漿製程以移除該連續的氮化矽層的該改質部分。
  2. 如請求項1所述之方法,進一步包含:在該移除步驟之後,對該連續的氮化矽層的該未改質部分進行退火。
  3. 如請求項1所述之方法,進一步包含:在該移除步驟之後,使用一第二氧基電漿製程對該連續的氮化矽層的該未改質部分進行等向性部分改質,以提供該連續的氮化矽層的一第二改質部分及一第二未改質部分;及使用一電漿製程,以對該第二未改質部分具有選擇性的方式,移除該連續的氮化矽層的該第二改質部分。
  4. 如請求項1所述之方法,其中該改質步驟包含使用O+物種氧化該氮化矽層的一部分。
  5. 如請求項1所述之方法,其中以對一下方矽基板具有選 擇性的方式執行該移除步驟。
  6. 如請求項1所述之方法,其中該改質步驟及該移除步驟之其中一者或兩者是一自我限制性製程。
  7. 如請求項1所述之方法,其中該第二電漿製程是基於NF3與NH3的一組合物而進行。
  8. 如請求項1所述之方法,其中該移除步驟等向性地薄化該連續的氮化矽層。
  9. 如請求項1所述之方法,其中該移除步驟縮減一氮化矽基間隔物的一間隔物寬度。
  10. 如請求項1所述之方法,其中該移除步驟修整一氮化矽硬遮罩以縮小或調整臨界尺寸(CD)。
  11. 一種等向性蝕刻一介電膜的方法,該方法包含:使用一氧基電漿製程對一氮化矽層的暴露區進行部分改質,以提供該氮化矽層的一改質部分及一未改質部分,其中藉由在約攝氏50度的溫度下且在約200mT的壓力下流動約300sccm的O2,以進行該氧基電漿製程持續約60秒的時間;及使用一第二電漿製程移除該氮化矽層的該改質部分,其 中藉由在約攝氏50度的溫度下且在約800mT的壓力下流動約30sccm的NF3、約300sccm的NH3及約1000sccm的He,以進行該第二電漿製程持續約120秒的時間,且所執行的該移除步驟對該氮化矽層的該未改質部分具有選擇性。
  12. 如請求項11所述之方法,進一步包含:在該移除步驟之後,對該氮化矽層的該未改質部分進行退火,其中藉由在約5mT的壓力下流動約100sccm的Ar,將溫度斜升至約攝氏110度,進行該退火步驟持續約120秒的時間。
  13. 如請求項11所述之方法,其中該氧基電漿製程是在一感應耦合電漿(ICP)腔室或電容耦合電漿(CCP)腔室中進行,且其中該氧基電漿製程是在約10W的下腔室偏壓及約300W的上腔室偏壓下進行。
  14. 如請求項11所述之方法,其中該改質步驟包含使用O+物種氧化該氮化矽層的一部分。
  15. 如請求項11所述之方法,其中該改質步驟及該移除步驟之其中一者或兩者是一自我限制性製程。
  16. 如請求項11所述之方法,其中該移除步驟等向性地薄化 該氮化矽層。
  17. 一種等向性蝕刻一介電膜的方法,該方法包含:使用一第一氧基電漿製程對一連續的氮化矽層進行等向性部分改質,以提供該連續的氮化矽層的一第一改質部分及一未改質部分,該連續的氮化矽層與一下方非平面形貌(underlying non-planar topography)共形,並具有該下方非平面形貌;使用一第二電漿製程移除該連續的氮化矽層的該第一改質部分,該移除步驟對該未改質部分具有選擇性;在移除該第一改質部分之後,使用一第二氧基電漿製程對該連續的氮化矽層之該未改質部分進行等向性部分改質,以提供該連續的氮化矽層的一第二改質部分;及使用一第四電漿製程移除該連續的氮化矽層的該第二改質部分。
  18. 如請求項17所述之方法,進一步包含:在移除該連續的氮化矽層的該第一改質部分之後,對該連續的氮化矽層的該未改質部分進行退火。
  19. 如請求項17所述之方法,其中形成該連續的氮化矽層之該第一改質部分及該第二改質部分的步驟包含使用O+物種進行氧化。
  20. 如請求項17所述之方法,其中該第二電漿製程及該第四電漿製程是各自基於NF3與NH3的一組合物而進行。
TW103101473A 2013-01-16 2014-01-15 圖案化氮化矽介電膜之方法 TWI607506B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361753357P 2013-01-16 2013-01-16
US14/153,246 US9093389B2 (en) 2013-01-16 2014-01-13 Method of patterning a silicon nitride dielectric film

Publications (2)

Publication Number Publication Date
TW201432817A TW201432817A (zh) 2014-08-16
TWI607506B true TWI607506B (zh) 2017-12-01

Family

ID=51165475

Family Applications (2)

Application Number Title Priority Date Filing Date
TW106137037A TWI637442B (zh) 2013-01-16 2014-01-15 圖案化氮化矽介電膜之方法
TW103101473A TWI607506B (zh) 2013-01-16 2014-01-15 圖案化氮化矽介電膜之方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW106137037A TWI637442B (zh) 2013-01-16 2014-01-15 圖案化氮化矽介電膜之方法

Country Status (5)

Country Link
US (1) US9093389B2 (zh)
JP (1) JP6360496B2 (zh)
KR (1) KR102161180B1 (zh)
TW (2) TWI637442B (zh)
WO (1) WO2014113398A1 (zh)

Families Citing this family (138)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9472415B2 (en) * 2014-04-30 2016-10-18 International Business Machines Corporation Directional chemical oxide etch technique
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9165765B1 (en) * 2014-09-09 2015-10-20 Tokyo Electron Limited Method for patterning differing critical dimensions at sub-resolution scales
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9659788B2 (en) 2015-08-31 2017-05-23 American Air Liquide, Inc. Nitrogen-containing compounds for etching semiconductor structures
FR3041471B1 (fr) * 2015-09-18 2018-07-27 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation des espaceurs d'une grille d'un transistor
CN108778739B (zh) * 2016-03-13 2021-07-16 应用材料公司 用于选择性干式蚀刻的方法及设备
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017210141A1 (en) * 2016-05-29 2017-12-07 Tokyo Electron Limited Method of sidewall image transfer
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10347498B2 (en) 2016-12-31 2019-07-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Methods of minimizing plasma-induced sidewall damage during low K etch processes
US20170110336A1 (en) 2016-12-31 2017-04-20 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges CLuadeq Methods for minimizing sidewall damage during low k etch processes
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
JP6823527B2 (ja) * 2017-04-14 2021-02-03 東京エレクトロン株式会社 エッチング方法
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR102550099B1 (ko) 2018-08-23 2023-06-30 삼성전자주식회사 가변 저항 메모리 소자
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202117847A (zh) 2019-07-17 2021-05-01 美商得昇科技股份有限公司 使用沉積製程和蝕刻製程的工件處理

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20060057828A1 (en) * 2004-09-10 2006-03-16 Mitsuhiro Omura Method of manufacturing semiconductor device

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3092185B2 (ja) * 1990-07-30 2000-09-25 セイコーエプソン株式会社 半導体装置の製造方法
US5910453A (en) * 1996-01-16 1999-06-08 Advanced Micro Devices, Inc. Deep UV anti-reflection coating etch
US6168726B1 (en) * 1998-11-25 2001-01-02 Applied Materials, Inc. Etching an oxidized organo-silane film
US6639271B1 (en) * 2001-12-20 2003-10-28 Advanced Micro Devices, Inc. Fully isolated dielectric memory cell structure for a dual bit nitride storage device and process for making same
KR100808377B1 (ko) 2001-12-27 2008-02-27 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US7087537B2 (en) * 2004-03-15 2006-08-08 Sharp Laboratories Of America, Inc. Method for fabricating oxide thin films
US20070123051A1 (en) * 2004-02-26 2007-05-31 Reza Arghavani Oxide etch with nh4-nf3 chemistry
JP2007012666A (ja) * 2005-06-28 2007-01-18 Fujitsu Ltd 誘電体膜の形成方法
KR20070111175A (ko) 2006-05-17 2007-11-21 삼성전자주식회사 패턴 형성 방법, 이를 이용한 게이트 구조물 형성 방법 및콘택 형성 방법
JP5102467B2 (ja) * 2006-06-29 2012-12-19 東京エレクトロン株式会社 基板処理方法
US8114781B2 (en) 2006-06-29 2012-02-14 Tokyo Electron Limited Substrate processing method and substrate processing apparatus
JP2008053438A (ja) * 2006-08-24 2008-03-06 Sharp Corp 半導体装置の製造方法
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6852584B1 (en) * 2004-01-14 2005-02-08 Tokyo Electron Limited Method of trimming a gate electrode structure
US20060057828A1 (en) * 2004-09-10 2006-03-16 Mitsuhiro Omura Method of manufacturing semiconductor device

Also Published As

Publication number Publication date
KR20150109401A (ko) 2015-10-01
TW201432817A (zh) 2014-08-16
KR102161180B1 (ko) 2020-09-29
JP2016503243A (ja) 2016-02-01
TWI637442B (zh) 2018-10-01
JP6360496B2 (ja) 2018-07-18
TW201804532A (zh) 2018-02-01
WO2014113398A1 (en) 2014-07-24
US9093389B2 (en) 2015-07-28
US20140199851A1 (en) 2014-07-17

Similar Documents

Publication Publication Date Title
TWI607506B (zh) 圖案化氮化矽介電膜之方法
TWI610364B (zh) 圖案化低k介電膜的方法
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US20090004875A1 (en) Methods of trimming amorphous carbon film for forming ultra thin structures on a substrate
TWI627724B (zh) 在先進圖案化製程中用於間隔物沉積與選擇性移除的設備與方法
TWI479562B (zh) 高溫蝕刻高k值材料閘極結構之方法
US6767824B2 (en) Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
US9533332B2 (en) Methods for in-situ chamber clean utilized in an etching processing chamber
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
TW201419416A (zh) 圖案化低介電常數介電膜之方法
TW202008458A (zh) 循環蝕刻製程
KR20040090931A (ko) 전계효과 트랜지스터의 게이트 구조를 제조하는 방법
TWI405260B (zh) A plasma etching treatment method and a plasma etching processing apparatus
TW201705267A (zh) 電漿蝕刻方法、圖案形成方法及清洗方法
TW200522197A (en) Plasma treatment and etching process for ultra-thin dielectric films
TWI404140B (zh) 乾蝕刻方法
US20080217294A1 (en) Method and system for etching a hafnium containing material
JP2021515394A (ja) 空隙を形成するためのシステム及び方法
JP5642427B2 (ja) プラズマ処理方法
WO2005071722A1 (en) Selective etch of films with high dielectric constant
JP7190940B2 (ja) 基板処理方法及び基板処理装置
JP2011100822A (ja) 半導体素子加工方法
JP2005086080A (ja) 半導体装置の製造方法