CN108778739B - 用于选择性干式蚀刻的方法及设备 - Google Patents

用于选择性干式蚀刻的方法及设备 Download PDF

Info

Publication number
CN108778739B
CN108778739B CN201780015467.5A CN201780015467A CN108778739B CN 108778739 B CN108778739 B CN 108778739B CN 201780015467 A CN201780015467 A CN 201780015467A CN 108778739 B CN108778739 B CN 108778739B
Authority
CN
China
Prior art keywords
plasma
silicon nitride
nitride film
film
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780015467.5A
Other languages
English (en)
Other versions
CN108778739A (zh
Inventor
李宁
M·巴尔西努
夏立群
D·杨
王安川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN108778739A publication Critical patent/CN108778739A/zh
Application granted granted Critical
Publication of CN108778739B publication Critical patent/CN108778739B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B38/00Ancillary operations in connection with laminating processes
    • B32B38/0008Electrical discharge treatment, e.g. corona, plasma treatment; wave energy or particle radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B9/00Layered products comprising a layer of a particular substance not covered by groups B32B11/00 - B32B29/00
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45555Atomic layer deposition [ALD] applied in non-semiconductor technology
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2310/00Treatment by energy or chemical effects
    • B32B2310/14Corona, ionisation, electrical discharge, plasma treatment

Abstract

用于形成间隔物的方法包含以下步骤:在特征的顶部、底部及侧壁上沉积膜,以及对膜进行处理以改变特征的顶部与底部上的膜的性质。相对于特征的侧壁上的膜,使用高强度等离子体从特征的顶部与底部选择性地干式蚀刻膜。

Description

用于选择性干式蚀刻的方法及设备
技术领域
本公开总体上涉及沉积薄膜的方法。更具体言之,本公开涉及通过空间ALD选择性沉积氮化硅膜的工艺。
背景技术
作为介电层的氮化硅薄膜已经广泛用于半导体制造工艺中。例如,SiN膜被用于多图案化工艺中作为间隔物材料,以实现更小的器件尺寸,而不使用最昂贵的EUV光刻技术。此外,SiN可被用作栅极间隔物材料,以隔离栅极结构与接触区域,以最小化潜在的泄漏电流。
传统的氮化硅间隔物制造工艺包括在3D结构(例如,鳍)上的共形SiN膜沉积,随后是定向等离子体干式蚀刻,以移除顶层与底层,同时保持侧壁膜作为间隔物。然而,已发现干式蚀刻工艺可能损坏侧壁表面并改变膜特性;最终影响器件性能与产量。
因此,在本领域中需要沉积选择性间隔物膜的工艺。
发明内容
本公共的一个或更多个实施例针对包含提供其上具有至少一个特征的基板表面的处理方法。至少一个特征包含顶部、底部、及侧壁。在至少一个特征上形成膜,使得膜形成于顶部、底部、及侧壁上。利用等离子体对膜进行处理,以相对于侧壁改变特征的顶部与底部上的膜的性质。经处理的膜暴露于高强度等离子体,以对膜进行选择性干式蚀刻。
本公开的附加实施例针对包含在处理腔室中定位基板表面的处理方法。基板表面上具有至少一个特征,该至少一个特征具有顶部、底部、及侧壁。基板表面是暴露于包含至少一个沉积循环的沉积环境。沉积循环包含依序暴露于硅前驱物与含氮反应物,以在至少一个特征的顶部、底部、及侧壁上形成氮化硅膜。氮化硅膜暴露于处理环境,以修改沉积在至少一个特征的顶部与底部上的氮化硅膜。处理环境包含具有高离子浓度的等离子体。使用在等离子体组件中产生的高强度等离子体以干式蚀刻经修改的氮化硅膜,该等离子体组件具有阻隔板并与基板间隔,该阻隔板包括至少一个槽。
本公开的进一步实施例针对包含将具有带有特征的基板表面的基板放置于包含多个工艺区域的处理腔室中的处理方法。每一工艺区域通过气幕与相邻工艺区域分离。特征包含顶部、底部、及侧壁。基板表面的至少一部分暴露于处理腔室的第一工艺区域中的第一工艺条件。第一工艺条件包含硅前驱物。基板表面通过气幕横向移动至处理腔室的第二工艺区域。基板表面暴露于处理腔室的第二工艺区域中的第二工艺条件。第二工艺条件包含氮反应物,以在特征的顶部、底部、及侧壁上形成氮化硅膜。重复暴露于第一工艺条件与第二工艺条件,以形成具有预定厚度的氮化硅膜。基板表面横向移动至处理腔室的第三工艺区域。第三工艺区域包含处理环境,处理环境包含高离子浓度等离子体,以相对于特征的侧壁选择性地改变特征的顶部与底部上的氮化硅膜的性质。重复形成预定厚度的氮化硅膜,并将氮化硅膜暴露于高离子浓度等离子体,以形成具有总厚度的氮化硅膜。基板表面横向移动至处理腔室的第四工艺区域。第四工艺区域包含高强度等离子体,以选择性地干式蚀刻特征的顶部与底部。通过具有带有槽的阻隔板的等离子体组件产生高强度等离子体。
附图说明
为使本公开的上述特征可详细地被理解,可参照实施例得到以上简要概述的本公开的更具体的描述,实施例中的一些绘示于所附附图中。然而,应注意所附附图仅绘示本公开的典型实施例,而非视为限定本公开的保护范围,因为本公开可接纳其他等效实施例。
图1示出根据本公开的一个或更多个实施例的批处理腔室的横截面图;
图2示出根据本公开的一个或更多个实施例的批处理腔室的局部透视图;
图3示出根据本公开的一个或更多个实施例的批处理腔室的示意图;
图4示出根据本公开的一个或更多个实施例的用于批处理腔室中的楔形气体分配组件的一部分的示意图;
图5示出根据本公开的一个或更多个实施例的批处理腔室的示意图;以及
图6A至6E示出根据本公开的一个或更多个实施例的处理方法;
图7示出根据本公开的一个或更多个实施例的具有槽的等离子体组件的横截面的示意图;
具体实施方式
在描述本公开的几个示例性实施例之前,应理解,本公开并不限于在以下描述中阐述的构造或工艺步骤的细节。本公开能够具有其他实施例,并能够以各种方式实践或执行。
如本文所使用的“基板”是指在制造工艺期间在其上执行膜处理的基板上所形成的任何基板或材料表面。例如,取决于应用,可以在其上执行工艺的基板表面包括材料,例如硅、氧化硅、应变硅、绝缘体硅(SOI)、掺碳氧化硅、非晶硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石,以及任何其他材料,例如金属、金属氮化物、金属合金、及其他导电材料。基板包括但不限于半导体晶片。基板可以暴露于预处理工艺,以研磨、蚀刻、还原、氧化、羟基化、退火、和/或烘烤基板表面。除了直接在基板本身的表面上的膜工艺外,在本公开中,所公开的任何膜工艺步骤也可在基板上所形成的底层上执行,如下面更详细公开,而术语“基板表面”意欲包括如上下文所指示的此类底层。因此,例如,当膜/层或部分膜/层已沉积至基底表面时,新沉积的膜/层的暴露表面变成基板表面。
如在此说明书及所附权利要求书中所使用的术语“前驱物”、“反应物”、“反应性气体”、及类似物可互换使用,用以指可以与基板表面反应的任何气体物质。
本公开的一些实施例针对使用具有可用于引入不同化学物或等离子体气体的多个气体入口通道的反应腔室的工艺。在空间上,这些通道由惰性净化气体和/或真空泵送孔分离,以建立最小化或消除来自不同通道的气体的混合的气幕,以避免不希望的气相反应。移动通过这些不同的空间分离通道的晶片取得依序对不同化学或等离子体环境的多个表面暴露,以使得在空间ALD模式或表面蚀刻工艺中发生逐层的膜生长。在一些实施例中,处理腔室在气体分配部件上具有模块化架构,并且每一模块化部件具有独立的参数控制(例如,RF或气流),以提供控制例如气流和/或RF暴露的灵反应性。
本公开的一些实施例使用空间架构,并包含在基板表面上的第一化学剂量,接着进行第二化学暴露以与所配量的化学物质反应以形成膜,随后进行第三附加后处理工艺。在使用中,本公开的实施例具有可以暴露于原位后处理的ALD层。在一些实施例中,处理进行一次。在一些实施例中,可以在每个周期中采用处理。最小处理量可以在每1至100个沉积循环或更多的范围内。
本公开的一些实施例针对选择性SiN沉积方法,以允许基于3D结构上的沉积位置的不同膜性质。例如,沉积在结构的顶部与底部上的膜可以处理成具有与沉积在结构的侧壁上的膜不同的膜性质。本公开的一些实施例有利地提供形成膜的方法,其中湿式蚀刻可以选择性地移除膜的部分(例如,顶部与底部),同时留下膜的其他部分(例如,侧壁)作为间隔物。本公开的一些实施例有利地在单一处理腔室中执行。
尽管关于氮化硅膜的沉积描述本公开的各种实施例,但本领域技术人员将理解,本公开并不限于此。可沉积、处理、及蚀刻其他膜,以留下间隔物。
在一些实施例中,氮化硅选择性沉积包括在单一处理腔室的两个工艺:SiN膜沉积与等离子体处理。批处理腔室可用于处理空间原子层沉积(ALD)序列:硅前驱物暴露;氮前驱物暴露(热或等离子体);利用例如N2、NH3、H2、或O2气体(其可与惰性气体如Ar或He混合)的RF等离子体处理。硅与氮前驱物形成共形SiN膜,而等离子体处理修改特征的顶部与底部上的膜。在一些实施例中,RF等离子体处理使用经配置以具有对膜的定向处理效果的硬件。等离子体处理工艺可以在膜表面上形成N-H或Si-O键,并且基于处理时间与RF功率而穿透到膜中的一深度。已发现此举在特征的顶部与底部产生较高的湿式蚀刻速率。可以调整沉积层厚度与等离子体处理等级,以增加湿式蚀刻速率选择性。在一些实施例中,选择性沉积在约200℃至约550℃的范围中的晶片温度下执行。因为所沉积的膜在顶部/底部展示与特征的侧壁不同的膜性质,且共形干式蚀刻可以选择性地移除膜的顶部/底部,所以一个或更多个实施例有利地提供可选择定向干式蚀刻方法(例如物理轰击)的膜。
参照6A图至图6E,本公开的一个或更多个实施例针对在其上具有特征310的基板表面300上沉积间隔物膜的处理方法。特征310可以是膜可以沉积在其上的任何三维结构。附图所示的特征310为心轴;然而,本领域技术人员将理解这仅为一种可能结构的代表。适合的特征310包括但不限于脊部、沟道、及通孔。
特征310包括顶部320、底部330、及侧壁340。在所示的实施例中,特征310在特征310的任一侧上具有两个侧壁340。特征310具有由顶部320与底部330定义的高度以及由侧壁340之间的距离定义的宽度。
基板表面300在其上提供至少一个特征310。如在本说明书与所附权利要求中所使用的,以此方式使用的术语“提供”意指基板表面300放置或定位在用于工艺的环境中。
如图6B所示,在基板表面300上形成膜350,以使得膜形成于至少一个特征310上。膜350形成于特征310的顶部320、底部330、及侧壁340上。在一些实施例中,膜350共形地形成于特征310上。如本文所使用的术语“共形”或“共形地”是指粘附并均匀地覆盖暴露表面的层,其厚度具有小于相对于膜的平均厚度的1%的变化。例如,
Figure BDA0001790030850000051
厚的膜将具有小于
Figure BDA0001790030850000052
的厚度变化。此厚度与变化包括凹槽的边缘、角部、侧边、及底部。例如,在本公开的各种实施例中通过ALD沉积的共形层将在复杂表面上提供基本上均匀厚度的沉积区域的覆盖。
在一些实施例中,膜350包含SiN。可以通过任何适合的方法执行SiN的形成,包括但不限于原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、化学气相沉积(CVD)、及等离子体增强化学气相沉积(PECVD)。在一些实施例中,膜350为通过ALD工艺而形成的SiN,其中基板表面300依序暴露于硅前驱物与氮反应物。如此处所使用,“硅前驱物”与基板的表面反应,以使得硅分子停留于基板表面上。如此处所使用,“氮反应物”与基板表面上的硅分子反应。
在一些实施例中,在暴露于硅前驱物与氮反应物之间暴露于氮前驱物的情况下,在基板表面300上形成SiN膜。如此处所使用,“氮前驱物”与基板表面反应,并且最少地与已存在于基板表面上的硅物质反应。换言之,氮前驱物包含不与基板表面上的硅前驱物分子反应的物质。由于氮前驱物物质与硅前驱物物质都与基板表面反应,且彼此具有最小的反应性,所以暴露于氮前驱物可以发生于暴露于硅前驱物之前、暴露于硅前驱物期间、或暴露于硅前驱物之后。
适合的硅前驱物包括但不限于甲硅烷、乙硅烷、二氯硅烷(DCS)、双(二乙胺基)硅烷(BDEAS)、四(二甲胺基)硅烷(TDMAS)、和/或双(叔丁胺基)硅烷(BTBAS)。在一些实施例中,硅前驱物包含二氯硅烷。在一个或更多个实施例中,硅前驱物主要由二氯硅烷组成,而这意指在原子的基础上存在小于1%的二氯硅烷之外的硅物质。
适合的氮前驱物包括但不限于分子氮与氨。在氮前驱物暴露期间所采用的工艺条件可以影响氮物质与表面上的硅物质的反应性。在一些实施例中,工艺条件经配置以使得氮前驱物基本上仅与基板表面反应。如此处所使用,术语“基本上仅”意指氮前驱物与小于约10%的表面硅物质反应。
氮反应物为在基板表面上形成氮化硅膜的物质。在一些实施例中,氮反应物提供用于形成氮化物膜(例如,SiN)的氮原子。在一个或更多个实施例中,氮反应物不包括含氮物质,并通过促进表面物质之间的反应而形成氮化物膜。适合的氮反应物包括但不限于:氮等离子体;氨等离子体;包含氮、氨、氦、氩或氧中之二或更多者的混合物的等离子体。在一些实施例中,氮反应物包括氩与氨的等离子体、或氩与氮的等离子体、或氩与氧的等离子体、或氦与氨的等离子体。
如图6C所示,在形成膜350(例如,氮化硅)之后,利用具有高离子浓度的等离子体360处理膜350。等离子体360可以是定向等离子体。如在本说明书与所附权利要求书中所使用的术语“定向等离子体”是指存在于等离子体中的能量物质(离子与自由基)在特定方向上移动。例如,在图6C中,等离子体360示出为向下移动,而使得能量物质能够接触顶表面320与底表面330上的膜350,但与侧壁340上的膜350具有最小的接触。
具有高离子浓度的等离子体具有大于或等于约1010/cm3的浓度。在一个或更多个实施例中,具有高离子浓度的等离子体具有大于或等于约109/cm3、1011/cm3、1012/cm3、1013/cm3、或1014/cm3的浓度。
定向等离子体可以形成为远程等离子体,其中等离子体物质在基板表面远程激发,并朝向基板表面流动。基板与基板支撑件可以不是用于产生等离子体的电路径的一部分。定向等离子体也可以形成为直接等离子体,其中基板或基板支撑件可在等离子体形成中作为电极。直接等离子体通常是扩散等离子体,并可以通过向基板施加偏压而定向,以使得离子被吸引到基板并朝向基板移动。
如图6D所示,利用具有高离子浓度的等离子体对膜350进行处理修改膜350在顶部320与底部330的性质。在一些实施例中,改变膜350的性质以形成经修改的顶部膜352与经修改的底部膜353,这影响稀释HF(1%)中的湿式蚀刻速率,以使得可从特征310蚀刻经修改的顶部膜352与经修改的底部膜353,而基本上不影响侧壁膜354。在一些实施例中,该处理损伤特征310的顶部与底部上的膜350,以使得可以相对于侧壁选择性蚀刻膜。
在一些实施例中,经修改的顶部膜352与经修改的底部膜353的湿式蚀刻速率大于或等于约
Figure BDA0001790030850000071
/分钟。在一些实施例中,经修改的顶部膜352与经修改的底部膜353的湿式蚀刻速率大于或等于约
Figure BDA0001790030850000072
/分钟或
Figure BDA0001790030850000073
/分钟。在一些实施例中,经修改的顶部膜352与经修改的底部膜353的湿式蚀刻速率大于侧壁膜354的湿式蚀刻速率的2倍,或3、4、5、6、7、8、9、10、11、12、13、14、15倍。
用于处理的等离子体可以是能够修改膜性质的任何适合的等离子体(例如,直接或远程)。在一些实施例中,处理包含氩、氮、氨、氧、或氦中的一者或更多者。
用于处理的时间量可以影响在特征的顶部与底部上的膜所造成的损伤量。等离子体物质与功率可以影响对膜造成的损伤的深度。对膜造成更深的损伤的处理工艺可以比对膜造成更浅损伤的处理工艺更少地重复。例如,He/NH3等离子体可以造成比Ar/NH3等离子体更深的损伤,以使得在利用He/NH3等离子体处理之前,所沉积的膜可以更厚。
可以重复膜350的形成与处理,直到已形成具有预定总厚度的膜。可以依次重复膜形成工艺中的每一步骤,以形成用于处理的膜。随后,可以处理该膜,并重复该工艺。在一些实施例中,利用等离子体360对膜350进行处理发生在沉积具有约
Figure BDA0001790030850000074
至约
Figure BDA0001790030850000075
的范围内的厚度的膜之后。换言之,在沉积约
Figure BDA0001790030850000076
至约
Figure BDA0001790030850000077
之后,对膜350进行处理。
本公开的实施例利用化学选择性移除,而非常规定向离子轰击蚀刻。在该方法的一些实施例中,在沟道顶部、侧壁、及底部上沉积具有不同膜性质的共形SiN膜。蚀刻方法可以是材料化学敏感的,并且可以不具有能量离子轰击部分。取决于所选择的蚀刻化学,从顶部、侧壁、或底部选择性移除SiN层的量可以不同。
在一些实施例中,沉积工艺包含PEALD SiN沉积与等离子体处理。从沉积/处理工艺取得的薄膜可能针对沟道顶部、侧壁、及底部具有不同的膜性质。化学组成差异的一个示例为顶部、底部、及侧壁可以具有不同的H等级与不同的膜密度。另一示例为顶部、底部、及侧壁膜可以具有不同的氧等级。化学组成差异可以产生后续干式蚀刻工艺的蚀刻速率选择性/差异。
可以通过谐调沉积与处理步骤以控制膜的处理等级与几何分布,以使得可控制膜性质差异的等级。膜性质的控制相关于最终化学敏感性干式蚀刻步骤与最终蚀刻轮廓和/或结构上不同位置的不同膜厚度的移除。
因此,在该方法的一些实施例中,对膜350进行蚀刻。可以相对于侧壁膜354选择性蚀刻经修改的顶部膜352与经修改的底部膜353。如此处所使用,选择性蚀刻意指顶部与底部的蚀刻的量、速率、或程度大于侧壁的蚀刻。图6E示出蚀刻工艺的结果。尽管附图示出特征310的顶部及底部与侧壁膜354的正方形角部,但是本领域技术人员将理解这仅为说明性,而边缘与角部并非为完全直的或正方形的。
在一些实施例中,通过使用高强度等离子体的干式蚀刻工艺对膜进行蚀刻,以从特征的顶部与底部选择性移除膜。一些实施例的干式蚀刻工艺包含将膜暴露于来自具有槽的等离子体组件的高强度等离子体。
图7示出在阻隔板381中具有槽382的等离子体组件380的横截面图。等离子体形成于等离子体空腔384中,并通过槽382朝向基板表面300流动。图7所示的视图为横截面图,其中槽382延伸出页面。形成于等离子体空腔384中的等离子体流经的槽382具有边缘386。在一些实施例中,相邻于槽382的边缘386的等离子体具有高离子能量与浓度。
如图8的视图所示,一些实施例的阻隔板381为楔形,并具有定义场456的内周边缘451、外周边缘452、第一侧453、及第二侧454。细长槽382位于场456内,并延伸通过阻隔板381的厚度457。
细长槽382具有长度L与宽度W。槽可以是线性、弯曲、楔形、或椭圆形。如此处所使用,线性槽具有细长边缘,这些边缘彼此由不变化超过5%的相对于边缘之间的平均距离的距离间隔开。若槽具有弯曲端,则槽的边缘之间的距离是基于槽长度中间的90%而确定的。
细长槽382的大小与形状可随着例如阻隔板381的大小与形状而变化。槽的宽度与长度可影响等离子体密度的均匀性。在一些实施例中,细长槽382的宽度W在约2mm至约20mm的范围内、或在约3mm至约16mm的范围内、或在约4mm至约12mm的范围内。
相邻于细长槽382的边缘386的等离子体密度大于槽的中央部分的等离子体密度。减少槽的宽度可增加等离子体密度。槽宽度的减少与等离子体密度的增加为非线性关系。
一些实施例的细长槽382的长度L是在阻隔板381的内周边缘451与外周边缘452之间的距离的约20%至约95%的范围内。在一些实施例中,细长槽382的长度L大于阻隔板381的内周边缘451与外周边缘452之间的距离的约30%、40%、50%、60%、70%、或80%。
细长槽382的数目可以变化。在一些实施例中,具有场456中的第一细长槽382与场456中的第二细长槽(示出未示出)。每一细长槽可以具有与其他细长槽相同或不同的形状。
针对离子通量均匀性分析使用具有各种宽度的槽的阻隔板的等离子体组件。图9与图10示出等离子体的离子通量与槽宽度有关的曲线图。200W与13.5MHz的氩等离子体用于这些研究。分析具有槽宽度为19mm、10mm、6mm、4mm、3.5mm、3mm、2.5mm、2mm的阻隔板。发现对于宽的槽而言,槽的边缘附近的等离子体密度为峰值。在较大的槽宽度处,如图9所见,在离子通量中观察到两个峰值。随着槽宽度减少,等离子体密度随着槽开口附近的等离子体峰值合并而增加,如图9的2mm槽可见。如图10所示,进一步研究指示当槽具有约3mm的宽度时,离子通量从两个峰值转换成单一峰值。
在干式蚀刻工艺期间,来自具有槽的等离子体源的高强度等离子体提供膜上的非共形效应。意味着特征的顶部与底部与特征的侧面相比有区别地受到影响。
高强度等离子体可以通过等离子体物质的穿透深度而量化。一些实施例的高强度等离子体包括惰性气体与稳定化学品。适合的惰性气体包括但不限于氦气与氩气。适合的稳定化学品包括但不限于氨、氮、及氧。在一些实施例中,高强度等离子体包含氨与氦。在一个或更多个实施例中,高强度等离子体主要由氨与氦组成。
可以取决于例如惰性气体、压力、等离子体频率、及等离子体功率而修改高强度等离子体干式蚀刻暴露时间。在一些实施例中,高强度等离子体干式蚀刻发生至少约15秒、30秒、45秒、1分、2分、3分、4分、5分、10分、15分、20分、30分、或者更多。
高强度等离子体可以定位于接近基板表面。基板并未直接穿过等离子体。阻挡板与基板之间的距离可以取决于例如等离子体功率与压力而变化。在一些实施例中,阻隔板与基板之间的距离在约0.5mm至约5mm、或0.75mm至约3mm、或1mm至约2mm的范围内。
本公开的一些实施例针对使用批处理腔室(也称为空间处理腔室)沉积间隔物材料的工艺。图1示出处理腔室100的横截面图,处理腔室100包括气体分配组件120(也称为喷射器或喷射器组件)与基座组件140。气体分配组件120为用于处理腔室中的任何类型的气体递送装置。气体分配组件120包括面向基座组件140的前表面121。前表面121可具有任何数目或种类的开口,以传递流动朝向基座组件140的气体。气体分配组件120也包括外边缘124,其在所示实施例中基本上为圆形。
所使用的气体分配组件120的具体类型可以取决于所使用的特定工艺而变化。本公开的实施例可用于控制基座与气体分配组件之间的间隙的任何类型的工艺系统。尽管可采用各种类型的气体分配组件(例如,喷淋头),本公开的实施例可以特别有用于具有多个基本上平行的气体通道的空间气体分配组件。如在此说明书及所附权利要求书中所使用,术语“基本上平行”意指气体通道的细长轴在大致相同的方向上延伸。在气体通道的平行中可以存在轻微的缺陷。在二元反应中,多个基本上平行的气体通道可包括至少一个第一反应性气体A通道、至少一个第二反应性气体B通道、至少一个净化气体P通道、和/或至少一个真空V通道。将来自第一反应性气体A通道、第二反应性气体B通道、及净化气体P通道的气体流引导朝向晶片的顶表面。气体流中的一些跨越整个晶片的表面水平移动,并水平移动出净化气体P通道的工艺区域。从气体分配组件的一端移动到另一端的基板将依序暴露于工艺气体的每一者,以形成基板表面上的层。
在一些实施例中,气体分配组件120为由单一喷射器单元制成的刚性固定主体。在一个或更多个实施例中,如图2所示,气体分配组件120由多个独立扇区(例如,喷射器单元122)制成。单件体或多扇区体皆可用于本公开的各种实施例。
基座组件140定位于气体分配组件120下方。基座组件140包括顶表面141以及顶表面141中的至少一个凹槽142。基座组件140也具有底表面143与边缘144。取决于所处理的基板60的形状及大小,凹槽142可以是任何合适的形状及大小。在图1所示的实施例中,凹槽142具有平坦底部,以支撑晶片的底部;然而,凹槽的底部可以变化。在一些实施例中,凹槽具有环绕凹槽的外周边缘的台阶区域,并调整其大小以用于支撑晶片的外周边缘。例如,取决于晶片的厚度与晶片背侧上呈现的特征的存在,可变化由台阶所支撑的晶片的外周边缘的量。
在一些实施例中,如图1所示,调整基座组件140的顶表面141中的凹槽142的大小,以使得支撑于凹槽142中的基板60具有与基座140的顶表面141实质上共面的顶表面61。如在此说明书及所附权利要求书中所使用,术语“基本上共面”意指晶片的顶表面及基座组件的顶表面在±0.2mm内共面。在一些实施例中,顶表面在0.5mm、±0.4mm、±0.35mm、±0.30mm、±0.25mm、±0.20mm、±0.15mm、±0.10mm、或±0.05mm内共面。
图1的基座组件140包括能够提起、降低、及旋转基座组件140的支撑柱160。基座组件可包括加热器、或气体管线、或在支撑柱160之中心内的电气部件。支撑柱160可以是增加或减少在基座组件140与气体分配组件120间的间隙的主要构件,以移动基座组件140至合适的位置。基座组件140也可包括微调谐致动器162,可对基座组件140做微调整,以建立基座组件140与气体分配组件120之间的预定间隙170。
在一些实施例中,间隙170距离在约0.1mm至约5.0mm的范围中、在约0.1mm至约3.0mm的范围中、在约0.1mm至约2.0mm的范围中、或在约0.2mm至约1.8mm的范围中、或在约0.3mm至约1.7mm的范围中、或在约0.4mm至约1.6mm的范围中、或在约0.5mm至约1.5mm的范围中、或在约0.6mm至约1.4mm的范围中、或在约0.7mm至约1.3mm的范围中、或在约0.8mm至约1.2mm的范围中、或在约0.9mm至约1.1mm的范围中、或约1mm。
附图所示的处理腔室100为转盘型腔室,其中基座组件140可固持多个基板60。如图2所示,气体分配组件120可包括多个分离的喷射器单元122,每一喷射器单元122能够在晶片于喷射器单元下方移动时,在晶片上沉积膜。两个饼形喷射器单元122示出为位于基座组件140上方的大约相对侧上。喷射器单元122的此数目仅用于说明目的而示出。应理解可包括更多或更少喷射器单元122。在一些实施例中,有足够数目的饼形喷射器单元122以形成适合于基座组件140形状的形状。在一些实施例中,独立饼形喷射器单元122的每一者可独立地移动、移除及/或置换而不影响其他喷射器单元122的任一者。例如,可升高一个区段,以允许机器人到达基座组件140与气体分配组件120之间的区域,以装载/卸除基板60。
具有多个气体喷射器的处理腔室可用以同时工艺多个晶片,以使得晶片经历相同的工艺流程。例如,如图3所示,处理腔室100具有四个气体喷射器组件与四个基板60。在处理的开端处,基板60可定位于喷射器组件30之间。以45°旋转17基座组件140将导致气体分配组件120之间的每一基板60移动到用于膜沉积的气体分配组件120,如气体分配组件120下方的虚线圆形所示。额外的45°旋转将让基板60移动远离喷射器组件30。基板60与气体分配组件120的数目可以相同或不同。在一些实施例中,正在工艺的晶片与气体分配组件具有相同数目。在一个或更多个实施例中,正在处理的晶片数目为气体分配组件的数目的一小部分或整数倍数。例如,若有四个气体分配组件,则有4x个正在处理的晶片,其中x为大于或等于一的整数值。在示例性实施例中,气体分配组件120包括通过气幕分离的八个工艺区域,并且基座组件140可固持六个晶片。
图3所示的处理腔室100仅为一个可能配置的代表,且不应视为限制本公开的范围。此处,处理腔室100包括多个气体分配组件120。在所示实施例中,具有以均匀间隔围绕处理腔室100的四个气体分配组件(也称为喷射器组件30)。所示处理腔室100为八角形;然而,本领域技术人员将了解此为一个可能形状,且不应视为限制本公开的范围。所示气体分配组件120为梯形的,但可以是单一圆形部件或由多个饼形区段组成,如图2所示。
图3所示的实施例包括负载锁定腔室180,或辅助腔室,如缓冲站。此腔室180连接至处理腔室100的一侧,以允许例如让基板(也称为基板60)从腔室100装载/卸除。晶片机器人可位于腔室180中,以将基板移动到基座上。
转盘(例如,基座组件140)的旋转可以连续或间歇(不连续)。在连续工艺中,晶片持续旋转,以使得晶片轮流暴露至喷射器的每一者。在非连续工艺中,可将晶片移动至喷射器区域并停止,而接着到喷射器之间的区域84并停止。例如,转盘可旋转而使得晶片从喷射器间区域跨喷射器移动(或相邻于喷射器而停止),且接着继续到转盘可再次暂停的下一个喷射器间区域。喷射器之间的暂停可提供在每一层沉积之间的额外处理步骤(例如,对等离子体的暴露)的时间。
图4示出气体分配组件220的扇区或部分,其可称为喷射器单元122。喷射器单元122可独立使用或与其他喷射器单元组合使用。例如,如图5所示,图4的四个喷射器单元122经组合以形成单一气体分配组件220。(为了清楚而未显示分离四个喷射器的接线。)尽管图4的喷射器单元122除了具有净化气体端口155与真空端口145外还具有第一反应性气体端口125与第二气体端口135二者,然而喷射器单元122不需要所有这些部件。
参照图4与图5二者,根据一个或更多个实施例的气体分配组件220可包含多个扇区(或喷射器单元122),且每一扇区为相同或不同。气体分配组件220位于处理腔室内,且在气体分配组件220的前表面121中包含多个细长气体端口125、135、145。多个细长气体端口125、135、145、155从相邻于内周边缘123的区域延伸朝向相邻于气体分配组件220的外周边缘124的区域。所示多个气体端口包括第一反应性气体端口125、第二气体端口135、真空端口145、及净化气体端口155,该真空端口145环绕第一反应性气体端口与第二反应性气体端口的每一者。
参照图4或图5所示的实施例,当端口从至少大约内周区域延伸到至少大约外周区域时,然而,端口的延伸可较仅在径向上从内至外区域更多。端口可在切线上延伸,如真空端口145环绕反应性气体端口125与反应性气体端口135。在图4与图5所示的实施例中,楔形反应性气体端口125、135在所有边缘上由真空端口145环绕,包括与内周边缘与外周边缘相邻处。
参照图4,随着基板沿着路径127移动,基板的每一部分暴露于各种反应性气体。沿着路径127,基板暴露至(或“看到”)净化气体端口155、真空端口145、第一反应性气体端口125、真空端口145、净化气体端口155、真空端口145、第二气体端口135、及真空端口145。因此,在图4所示的路径127的端点处,基板已暴露至第一反应性气体125与第二反应性气体135以形成一层。所示喷射器单元122形成四分之一圆,但可更大或更小。图5所示的气体分配组件220可视为串联连接的图4的四个喷射器单元122的组合。
图4的喷射器单元122示出分离反应性气体的气幕150。术语“气幕”是用于描述任何分离反应性气体以免混合的气流或真空的组合。图4所示的气幕150包含第一反应性气体端口125旁边的真空端口145的一部分、在中间的净化气体端口155、及第二气体端口135旁边的真空端口145的一部分。气流及真空的此组合可用以防止或最小化第一反应性气体与第二反应性气体的气相反应。
参照图5,来自气体分配组件220的气流及真空的组合形成对多个工艺区域250的分离。工艺区域大致定义为环绕独立气体端口125、135,且在250之间具有气幕150。图5所示的实施例构成之间具有八个分离的气幕150的八个分离的工艺区域250。处理腔室可具有至少两个工艺区域。在一些实施例中,至少具有三、四、五、六、七、八、九、十、十一、或十两个工艺区域。
在处理期间,基板可在任何给定时间暴露至一个以上的工艺区域250。然而,暴露至不同工艺区域的部分将具有分离二者的气幕。例如,若基板的前缘进入包括第二气体端口135的工艺区域,则基板之中间部分将在气幕150下方,而基板的后缘将在包括第一反应性气体端口125的工艺区域中。
工厂接口280(例如,可以是负载锁定腔室)示出为连接至处理腔室100。基板60示出为叠加于气体分配组件220之上,以提供参考框架。基板60通常可坐落于基座组件上,以固持在气体分配板120的前表面121附近。基板60经由工厂接口280装载进入处理腔室100至基板支撑件或基座组件上(见图3)。基板60可示出为位于工艺区域内,因为基板定位成与第一反应性气体端口125相邻,且在两个气幕150a、150b之间。沿着路径127旋转基板60将使基板以逆时针方向环绕处理腔室100。因此,基板60将暴露至第一工艺区域250a到第八工艺区域250h,并包括之间的所有工艺区域。
本公开的实施例针对包含处理腔室100的处理方法,处理腔室100具有多个工艺区域250a-250h,其中每一工艺区域由气幕150与相邻区域分离。例如,图5所示出的处理腔室。取决于气流的布置,处理腔室中的气幕与工艺区域的数目可以是任何适当的数目。图5所示的实施例具有八个气幕150与八个工艺区域250a-250h。
多个基板60位于基板支撑件上,例如,图1与图2所示的基座组件140。环绕工艺区域旋转多个基板60以进行处理。通常,在整个处理中接合气幕150(气流与真空开启),包括没有反应性气体流入腔室的时期。
因此,本公开的一个或更多个实施例针对利用如图5所示的批处理腔室的处理方法。将基板60放置于具有多个区段250的处理腔室中,每一区段通过气幕150与相邻区段分离。
该方法的一些实施例包含将其上具有特征的基板表面暴露于沉积环境以沉积膜。如此处所使用,“沉积环境”包含独立或依序沉积膜的一个或更多个工艺区域或工艺条件。
在示例性工艺中,基板表面的至少一部分暴露于处理腔室的第一区段250a中的第一工艺条件。一些实施例的第一工艺条件包含硅前驱物,以形成硅膜。在一个或更多个实施例中,第一工艺条件包含二氯硅烷。
基板表面通过气幕150横向移动至第二区段250b。硅层在第二区段250b中暴露于第二工艺条件。一些实施例的第二工艺条件包含氮前驱物,以形成氮膜。在一个或更多个实施例中,第二工艺条件包含氨。
基板表面从第二工艺区域横向移动至第三区段250c。一些实施例的第三区段250c包含第三工艺条件,该第三工艺条件包含在特征的顶部、底部、及侧壁上形成膜的反应物。第四区段250d可以包含惰性环境。在一些实施例中,第三区段250c包括惰性环境,而使得基本上不存在表面反应,而第四区段250d包含第三工艺条件。在一个或更多个实施例中,第三工艺条件包含N2/Ar等离子体。
可以重复暴露于第一工艺条件、第二工艺条件、及第三工艺条件,以形成具有预定厚度的膜。例如,参照图5,第一区段250a与第五区段250e可包含第一工艺条件;第二区段250b与第六区段250f可包含第二工艺条件;第三区段250c与第七区段250g可包含惰性环境;以及第四区段250d与第八区段250h可包含第三工艺条件。在此布置中,基板的每一旋转形成两个层。本领域技术人员将理解,使用例如“第一”与“第二”之类的序数描述处理区域并非暗示处理腔室内的具体位置或处理腔室内的暴露顺序。
一旦已形成预定厚度的层,第一工艺条件、第二工艺条件、及第三工艺条件可以改变成惰性环境。例如,第三区段250c中的惰性环境可以改变成包含高离子浓度等离子体的处理环境,以选择性降低特征的顶部与底部上的氮化硅膜的湿式蚀刻速率。例如,高离子浓度等离子体可包含He/NH3。在暴露于处理环境之后,处理腔室的独立区段可以改变回到先前的设定,或者可停止该工艺。
根据一个或更多个实施例,基板在形成层之前和/或之后经受处理。此处理可在相同腔室中执行,或在一个或更多个分离的处理腔室中执行。在一些实施例中,将基板从第一腔室移动至分离的第二腔室,以用于进一步处理。基板可从第一腔室直接移动至分离的处理腔室,或者可从第一腔室移动至一个或更多个转移腔室,而接着移动到分离的处理腔室。因此,处理设备可包含与转移站连通的多个腔室。此种类的设备可指称为“群集工具”或“群集系统”及类似者。
一般而言,群集工具为模块化系统,该模块化系统包含执行多种功能的多个腔室,该等功能包括基板之中心找寻及定向、退火、退火、沉积、和/或蚀刻。根据一个或更多个实施例,群集工具至少包括第一腔室与中央转移腔室。中央转移腔室可容纳机器人,该机器人可在处理腔室及负载锁定腔室之间梭运基板。转移腔室通常维持在真空条件下,并提供中继阶段,该中继阶段用于从一个腔室梭运基板至位于群集工具之前端的另一腔室和/或负载锁定腔室。可调配用于本发明的两个已知群集工具为
Figure BDA0001790030850000161
Figure BDA0001790030850000162
二者均可得自加利福尼亚州圣克拉拉的应用材料公司。然而,腔室的组合及确切配置可经修改以用于执行如本文中所描述的工艺的特定步骤。其他可使用的处理腔室包括但不限于循环层沉积(CLD)、原子层沉积(ALD)、化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻、预清洗、化学清洗、热处理(如RTP)、等离子体氮化、退火、定向、羟基化反应、及其他基板工艺。通过在群集工具上实现在腔室中的工艺,可在沉积后续膜之前,在无氧化下防止具大气杂质的基板的表面污染。
根据一个或更多个实施例,基板连续地在真空下或“负载锁定”条件下,且在从一个腔室移动到下一腔室时不暴露至周围空气。因此,转移腔室在真空下,且在真空压力下“抽空”。惰性气体可存在于处理腔室或转移腔室中。在一些实施例中,惰性气体作为净化气体,以移除一些或全部的反应物。根据一个或更多个实施例,将净化气体喷射于沉积腔室的出口处,用以避免反应物从沉积腔室移动至转移腔室和/或额外的处理腔室。因此,惰性气体的流动在腔室的出口处形成幕。
可在单一基板沉积腔室中处理基板,其中在处理另一基板之前装载、处理、及卸除单一基板。也可利用类似于输送系统的连续方式处理基板,其中将多个基板独立装载至腔室的第一部分,移动通过腔室,并且从腔室的第二部分卸除。腔室与相关联的输送器系统的形状可以形成直线路径或弯曲路径。此外,处理腔室可以是转盘,其中多个基板环绕中心轴线移动,并暴露于整个转盘路径的沉积、蚀刻、退火、清洗等的工艺。
在处理期间,基板可经加热或冷却。此类加热或冷却可通过任何合适的手段达成,包括但不限于改变基板支撑的温度、及将经加热或经冷却的气体流至基板表面。在一些实施例中,基板支撑包括加热器/冷却器,该加热器/冷却器可经控制用以利用传导方式改变基板温度。在一个或更多个实施例中,所采用气体(反应性气体或惰性气体)经加热或冷却以局部改变基板温度。在一些实施例中,加热器/冷却器位于邻近于基板表面的腔室内,以利用传导方式改变基板温度。
基板在处理期间也可静止或旋转。旋转的基板可连续地或以离散步进方式旋转。例如,基板可在整个工艺过程中旋转,或基板可在对不同反应性或净化气体的暴露之间小量旋转。在处理期间旋转基板(连续或步进式)可以有助于通过最小化例如气流几何的局部可变性的效应,来产生更均匀的沉积或蚀刻。
在原子层沉积型腔室中,基板可以在空间或时间上分离的工艺中暴露于第一与第二前驱物。时间ALD为传统工艺,其中第一前驱物流入腔室中而与表面反应。在第二前驱物流动之前,从腔室净化第一前驱物。在空间ALD中,第一与第二前驱物同时流至腔室,但在空间上分离,而使得在流动之间存在防止前驱物混合的区域。在空间ALD中,基板相对于气体分配板移动,或反之亦然。
在这些方法的一个或更多个部分在一个腔室中进行的实施例中,该方法可以是空间ALD工艺。尽管上述一个或更多个化学品可能不兼容(即,导致基底表面上和/或腔室上的沉积之外的反应),但空间分离确保反应物并未暴露于气相中的每一者。例如,时间ALD涉及净化沉积腔室。然而,在实施中,有时候不可能在额外反应物流入之前将过量反应物从腔室中净化。因此,腔室中的任何剩余反应物可能反应。利用空间分离,不需要净化过量反应物,并让交叉污染受到限制。此外,净化腔室会使用大量时间,因此通过消除净化步骤可以增加产量。
参照整个本说明书的“一个实施例”、“某些实施例”、“一个或更多个实施例”、或“实施例”意指结合实施例描述的特定特征、结构、材料、或特性包括在本公开的至少一个实施例中。因此,整个本说明书的各处中出现的如“在一个或更多个实施例中”、“在某些实施例中”、“在一个实施例中”、或“在实施例中”的短语不一定指称本公开的相同实施例。此外,在一个或更多个实施例中,特定特征、结构、材料、或特性可以利用任何合适的方式组合。
尽管已参照特定实施例而描述本公开,但应理解,这些实施例仅为本公开的原理与应用的说明。而本领域技术人员将理解,在不背离本公开的精神与范围的情况下,可以对本公开的方法与设备进行各种修改及变化。因此,本公开意欲包括在所附权利要求书及其等同物的范围内的修改及变化。

Claims (14)

1.一种处理方法,包含以下步骤:
提供其上具有至少一个特征的基板表面,所述至少一个特征包含顶部、底部及侧壁;
在所述至少一个特征上沉积氮化硅膜,以使得所述氮化硅膜形成于所述顶部、所述底部及所述侧壁上;
利用具有高离子浓度的等离子体对所述氮化硅膜进行处理,以改变所述特征的所述顶部与底部上的所述氮化硅膜相对于所述侧壁的性质,其中所述具有高离子浓度的等离子体具有大于或等于约1010/cm3的浓度;以及
将经处理的所述氮化硅膜暴露至高强度等离子体,以对所述氮化硅膜进行选择性干式蚀刻,
其中所述高强度等离子体具有至少2.2E+20m-2s-1的峰值离子通量并且在等离子体组件中生成,所述等离子体组件具有包含至少一个槽的阻隔板,所述阻隔板与所述基板间隔,所述至少一个槽具有在2mm至20mm的范围中的宽度,并且其中所述高强度等离子体包含惰性气体与稳定化学品。
2.如权利要求1所述的方法,进一步包含以下步骤:在对所述氮化硅膜进行干式蚀刻之前,重复形成所述氮化硅膜并依序处理所述氮化硅膜以沉积总厚度的膜。
3.如权利要求1所述的方法,其中所述惰性气体包含氦气。
4.如权利要求1所述的方法,其中所述稳定化学品包含氨。
5.如权利要求1所述的方法,其中所述高强度等离子体主要由氦与氨组成。
6.如权利要求1所述的方法,其中所述等离子体组件的每一槽具有所述高强度等离子体流过的边缘。
7.如权利要求6所述的方法,其中所述阻隔板包含两个槽。
8.如权利要求1所述的方法,其中对所述氮化硅膜进行处理发生在沉积具有约
Figure FDA0003046045520000012
至约
Figure FDA0003046045520000011
的范围内的厚度的氮化硅膜之后。
9.一种处理方法,包含以下步骤:
将基板表面定位于处理腔室中,所述基板表面上具有至少一个特征,所述至少一个特征具有顶部、底部及侧壁;
将所述基板表面暴露于包含至少一个沉积循环的沉积环境,所述沉积循环包含依序暴露于硅前驱物与含氮反应物,以在所述至少一个特征的所述顶部、底部及侧壁上形成氮化硅膜;
将所述氮化硅膜暴露于处理环境,以修改沉积在所述至少一个特征的所述顶部与底部上的所述氮化硅膜,所述处理环境包含具有大于或等于约1010/cm3的离子浓度的等离子体;以及
使用具有至少2.2E+20m-2s-1的峰值离子通量并且在等离子体组件中产生的高强度等离子体对经修改的氮化硅膜进行干式蚀刻,其中所述等离子体组件具有阻隔板且与所述基板间隔,所述阻隔板包含至少一个槽,所述至少一个槽具有在2mm至20mm的范围中的宽度,并且其中所述高强度等离子体包含惰性气体与稳定化学品。
10.如权利要求9所述的方法,其中所述惰性气体包含氦气。
11.如权利要求9所述的方法,其中所述稳定化学品包含氨。
12.如权利要求9所述的方法,其中所述阻隔板包含至少二个槽。
13.一种处理方法,包含以下步骤:
将具有带有特征的基板表面的基板放置到包含多个工艺区域的处理腔室中,每一工艺区域由气幕与相邻工艺区域分离,所述特征包含顶部、底部及侧壁;
将所述基板表面的至少一部分暴露于所述处理腔室的第一工艺区域中的第一工艺条件,所述第一工艺条件包含硅前驱物;
将所述基板表面通过气幕横向移动至所述处理腔室的第二工艺区域;
将所述基板表面暴露于所述处理腔室的所述第二工艺区域中的第二工艺条件,所述第二工艺条件包含氮反应物以在所述特征的所述顶部、底部及侧壁上形成氮化硅膜;
重复暴露于所述第一工艺条件与所述第二工艺条件,以形成具有预定厚度的氮化硅膜;
将所述基板表面移动至所述处理腔室的第三工艺区域,所述第三工艺区域包含处理环境,所述处理环境包含具有大于或等于约1010/cm3的离子浓度的等离子体以相对于所述特征的所述侧壁,选择性地改变所述特征的所述顶部与底部上的所述氮化硅膜的性质;
重复形成预定厚度的氮化硅膜,并将所述氮化硅膜暴露于所述等离子体,以形成具有总厚度的氮化硅膜;
将所述基板表面移动至所述处理腔室的第四工艺区域,所述第四工艺区域包含高强度等离子体,以选择性地干式蚀刻所述特征的所述顶部与底部,所述高强度等离子体具有至少2.2E+20m-2s-1的峰值离子通量并且由具有包含至少一个槽的阻隔板的等离子体组件所产生,所述阻隔板与所述基板间隔,并且其中所述高强度等离子体包含惰性气体与稳定化学品。
14.如权利要求13所述的方法,其中所述高强度等离子体基本上由氦与氨组成。
CN201780015467.5A 2016-03-13 2017-03-10 用于选择性干式蚀刻的方法及设备 Active CN108778739B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662307537P 2016-03-13 2016-03-13
US62/307,537 2016-03-13
US201662336645P 2016-05-14 2016-05-14
US62/336,645 2016-05-14
PCT/US2017/021854 WO2017160649A1 (en) 2016-03-13 2017-03-10 Methods and apparatus for selective dry etch

Publications (2)

Publication Number Publication Date
CN108778739A CN108778739A (zh) 2018-11-09
CN108778739B true CN108778739B (zh) 2021-07-16

Family

ID=59786899

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780015467.5A Active CN108778739B (zh) 2016-03-13 2017-03-10 用于选择性干式蚀刻的方法及设备

Country Status (5)

Country Link
US (1) US10134581B2 (zh)
KR (1) KR102301585B1 (zh)
CN (1) CN108778739B (zh)
TW (1) TWI700745B (zh)
WO (1) WO2017160649A1 (zh)

Families Citing this family (171)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017160647A1 (en) * 2016-03-13 2017-09-21 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10535529B2 (en) 2018-06-05 2020-01-14 International Business Machines Corporation Semiconductor fin length variability control
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
KR20200021834A (ko) * 2018-08-21 2020-03-02 주성엔지니어링(주) 박막 형성 장치 및 이를 이용한 박막 형성 방법
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10665715B2 (en) 2018-08-28 2020-05-26 International Business Machines Corporation Controlling gate length of vertical transistors
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
JP7336873B2 (ja) * 2018-11-30 2023-09-01 東京エレクトロン株式会社 基板処理方法
US11114304B2 (en) * 2018-11-30 2021-09-07 Tokyo Electron Limited Substrate processing method
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN109979816A (zh) * 2019-03-26 2019-07-05 上海华力集成电路制造有限公司 改善隔离侧墙形貌的方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) * 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR102240080B1 (ko) * 2019-10-28 2021-04-14 주식회사 테스 기판처리장치
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11276570B2 (en) * 2020-07-22 2022-03-15 Applied Materials, Inc. Multi-layer deposition and treatment of silicon nitride films
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11908754B2 (en) * 2021-03-04 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for controlling profile of critical dimension
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TW202315962A (zh) * 2021-09-08 2023-04-16 荷蘭商Asm Ip私人控股有限公司 拓樸選擇性沉積方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5116460A (en) * 1991-04-12 1992-05-26 Motorola, Inc. Method for selectively etching a feature
WO2000010192A1 (en) * 1998-08-12 2000-02-24 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US6171917B1 (en) * 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US8871651B1 (en) * 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5899747A (en) * 1997-01-27 1999-05-04 Vanguard International Semiconductor Corporation Method for forming a tapered spacer
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6444531B1 (en) * 2000-08-24 2002-09-03 Infineon Technologies Ag Disposable spacer technology for device tailoring
JP2005064037A (ja) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp プラズマ処理装置及びアッシング方法
KR20060053784A (ko) * 2004-11-17 2006-05-22 삼성전자주식회사 스페이서 나이트라이드 막질을 개선시킬 수 있는 반도체소자의 제조 방법
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8716154B2 (en) * 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
TWI518792B (zh) * 2011-09-26 2016-01-21 聯華電子股份有限公司 半導體製程
KR20140143151A (ko) * 2012-03-15 2014-12-15 도쿄엘렉트론가부시키가이샤 성막 방법 및 성막 장치
US20140023794A1 (en) * 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US9093389B2 (en) * 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US9401273B2 (en) * 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9171736B2 (en) * 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5116460A (en) * 1991-04-12 1992-05-26 Motorola, Inc. Method for selectively etching a feature
US6171917B1 (en) * 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
WO2000010192A1 (en) * 1998-08-12 2000-02-24 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
US8871651B1 (en) * 2013-07-12 2014-10-28 Globalfoundries Inc. Mask formation processing

Also Published As

Publication number Publication date
US10134581B2 (en) 2018-11-20
TW201732925A (zh) 2017-09-16
TWI700745B (zh) 2020-08-01
WO2017160649A1 (en) 2017-09-21
CN108778739A (zh) 2018-11-09
KR20180116455A (ko) 2018-10-24
US20170263438A1 (en) 2017-09-14
KR102301585B1 (ko) 2021-09-10

Similar Documents

Publication Publication Date Title
CN108778739B (zh) 用于选择性干式蚀刻的方法及设备
KR102293218B1 (ko) 스페이서 애플리케이션들을 위한 실리콘 질화물 막들의 선택적 증착
US20220059362A1 (en) Self-Aligned Double Patterning With Spatial Atomic Layer Deposition
KR102527897B1 (ko) 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US20170053792A1 (en) High Temperature Thermal ALD Silicon Nitride Films
US20170170009A1 (en) In-Situ Film Annealing With Spatial Atomic Layer Deposition
US11515145B2 (en) Deposition of silicon boron nitride films
US20230123038A1 (en) Methods Of Forming Metal Nitride Films

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant