JP2023018059A - 誘電体上の酸化物の選択的peald - Google Patents

誘電体上の酸化物の選択的peald Download PDF

Info

Publication number
JP2023018059A
JP2023018059A JP2022185876A JP2022185876A JP2023018059A JP 2023018059 A JP2023018059 A JP 2023018059A JP 2022185876 A JP2022185876 A JP 2022185876A JP 2022185876 A JP2022185876 A JP 2022185876A JP 2023018059 A JP2023018059 A JP 2023018059A
Authority
JP
Japan
Prior art keywords
plasma
reactant
metal
substrate
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2022185876A
Other languages
English (en)
Other versions
JP7470173B2 (ja
JP2023018059A5 (ja
Inventor
エヴァ トワ
Tois Eva
ヴィルヤミ ジェイ. ポア
J Pore Viljami
スヴィ ハウッカ
P Haukka Suvi
俊哉 鈴木
Toshiya Suzuki
リンユン ジア
Lingyun Jia
スン ジャ キム
Sun Ja Kim
オレステ マディア
Madia Oreste
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2023018059A publication Critical patent/JP2023018059A/ja
Publication of JP2023018059A5 publication Critical patent/JP2023018059A5/ja
Application granted granted Critical
Publication of JP7470173B2 publication Critical patent/JP7470173B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02277Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition the reactions being activated by other means than plasma or thermal, e.g. photo-CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】金属表面と比較して基材の誘電体表面上に酸化物薄膜を選択的に堆積させる方法を提供する。【解決手段】方法は、基材を、酸素および金属またはシリコンなどの酸化物に含まれる種を含む第一の前駆体と、第二のプラズマ反応物質とに交互にかつ連続的に接触させることを含む、少なくとも一つのプラズマ増強原子層堆積(PEALD)サイクルを含む。第二のプラズマ反応物質は、酸素を含まない反応物質ガス中で形成されるプラズマ及び/又は水素を含むガス中で生成されるプラズマを含む。【選択図】図1

Description

本開示は概して半導体デバイス製造の分野に関し、より具体的には、酸化物膜の選択的プラズマ増強原子層堆積(PEALD)に関する。
半導体業界において、選択的プロセスの必要性が増加しつつある。例えば、膜の成長は、ある一つの表面上では望ましいが、第二の異なる表面上では望ましくない。これらの二つの異なる表面は、異なる材料、例えば金属および誘電体を含むことができる。優れた選択的プロセスにより、プロセスのステップ数を減らすことができ、時間と費用を節約できる。
本出願は、プラズマ増強原子層堆積(PEALD)による基材上の酸化物の選択的堆積に関する。酸化物は、例えば、SiO、SiOC、SiOCNまたは金属酸化物を含みうる。いくつかの実施形態では、酸化物は、金属およびシリコンの両方を含む。いくつかの実施形態では、酸化物は、基材の誘電体表面上に選択的に堆積する。いくつかの実施形態では、PEALDプロセスは、酸素プラズマも他の活性酸素種も利用しない。したがって、いくつかの実施形態では、反応物質の一つは、酸素を含まないガス中で生成されるプラズマからの反応種を含む。
いくつかの実施形態では、基材の誘電体表面上に酸化物を選択的に堆積させるためのPEALDプロセスは、第一の誘電体表面および金属表面などの第二の異なる表面を含む基材を提供することを含む。少なくとも一つの堆積サイクルは、基材を、酸素およびシリコンまたは金属などの酸化物の構成要素を含む第一の前駆体と、第二の反応物質と交互にかつ連続的に接触させることを含んで実施される。いくつかの実施形態では、第二の反応物質は、酸素を含まないガス中で生成されるプラズマからの反応種を含む。例えば、第二の反応物質は水素プラズマを含んでもよい。水素プラズマは、Hを含むガスで生成されうる。第二の反応物質は、基材表面上に吸着された第一の前駆体と反応して、第二の金属表面と比較して第一の誘電体表面上に酸化物を選択的に形成する。いくつかの実施形態では、第二の反応物質はまた、金属表面と反応して、金属表面上に存在しうる金属酸化物を還元させる。第二の反応物質はまた、金属表面上に存在しうるOH基を除去してもよい。堆積サイクルは、誘電体表面上に所望の厚さの酸化物を形成するために二回以上繰り返されてもよい。いくつかの実施形態では、第二の反応物質が、後続の堆積のために表面を調整するように作用できる誘電体表面、または以前の堆積サイクルからの吸着された第一の反応物質のいずれかの基材の表面と反応するように、最初に提供される。
いくつかの実施形態では、誘電体表面はSiOを含む。いくつかの実施形態では、誘電体表面はlow-k材料を含む。金属表面は、例えば、Co、W、TiN、CuまたはTaを含みうる。
いくつかの実施形態では、選択的に堆積される酸化物はSiO、SiOCまたはSiOCNを含む。いくつかの実施形態では、酸化物は、酸化チタンなどの金属酸化物である。いくつかの実施形態では、酸化物は、酸素含有前駆体から堆積できる任意の金属酸化物である。いくつかの実施形態では、選択的に堆積される酸化物は、二つ以上の酸化物の混合物を含む。いくつかの実施形態では、堆積される酸化物は、二つ以上の金属酸化物の混合物を含む。いくつかの実施形態では、堆積される酸化物は、シリコン酸化物と一つまたは複数の金属酸化物との混合物を含む。いくつかの実施形態では、SiTiOxなどの金属およびシリコンを含む酸化物が堆積される。いくつかの実施形態では、ケイ酸塩が堆積する。
いくつかの実施形態では、第一の前駆体は、3-メトキシプロピルトリメトキシシラン(MPTMS)などのシリコン前駆体である。いくつかの実施形態では、第一の前駆体はMPTMSであり、第二の反応物質は水素プラズマを含む。いくつかの実施形態では、第一の前駆体は金属前駆体である。
いくつかの実施形態では、金属表面は、有機材料などのパッシベーション層を含む。有機パッシベーション層は、選択的酸化物堆積を開始する前に、誘電体表面と比較して金属表面上に選択的に堆積されてもよい。いくつかの実施形態では、金属表面上のパッシベーション層は、酸化物堆積サイクル中の第二の反応物質によってエッチングされる。
いくつかの実施形態では、金属表面と比較して基材の誘電体表面上にシリコン酸化物膜を選択的に堆積させる方法が提供される。本方法は、基材を、シリコンおよび酸素を含む第一の反応物質と、酸素種を含まない第二のプラズマ反応物質と交互にかつ連続的に接触させる堆積サイクルを含む、PEALD法であってもよい。いくつかの実施形態では、第二のプラズマ反応物質は、酸素を含まないガス中で生成されるプラズマを含む。いくつかの実施形態では、第二のプラズマ反応物質は、水素を含むが酸素を含まないガス中で生成されるプラズマを含む。シリコンおよび酸素を含む第一の反応物質の種は、誘電体表面上に吸着し、第二のプラズマ反応物質と反応して、シリコン酸化物を形成する。いくつかの実施形態では、第二のプラズマ反応物質は、水素プラズマを含む。
図1は、酸化物膜が金属表面と比較してSiO上に選択的に堆積される、いくつかの実施形態の概略図である。金属表面は、SiO上でSiOCを堆積する際に同時に還元する場合がある。SiOCは、MPTMSおよび水素プラズマによって堆積する場合がある。
図2Aおよび2Bは、125℃および200℃でのMPTMSプロセスのWとCuの間の選択性を図示する。Y軸はat%である。
図3は、67Wの出力で生成されたHプラズマを用いるPEALDプロセスによる、Cu表面と比較してlow-k表面上のSiOCの選択的堆積を図示する。
図4は、300Wの出力で生成されたHプラズマを用いるPEALDプロセスによる、Cu表面と比較してlow-k表面上のSiOCの選択的堆積を図示する。
図5Aは、異なるプラズマ反応物質を用い、チタンイソプロポキシド(IV)を用いて堆積されたTiO(CN)膜の屈折率(R.I.)を示すグラフである。
図5Bは、異なるプラズマ反応物質を用い、チタンイソプロポキシド(IV)を用いて堆積されたTiO(CN)膜のサイクル当たりの成長速度を示すグラフである。
シリコンオキシカーバイド(SiOC)膜などの酸化物膜は、例えば集積回路製造において、当業者に明らかであるように、幅広い種類の用途を有する。
本開示のいくつかの実施形態によると、様々な誘電体膜、特に酸化物膜、前駆体、およびそのような膜を堆積する方法が提供される。
いくつかの実施形態では、酸化物薄膜、例えばSiO、SiOCまたは他のSiOベースの誘電体膜などの誘電体膜、または金属酸化物膜は、プラズマ増強原子層堆積(PEALD)プロセスによって基材上に選択的に堆積される。いくつかの実施形態では、酸化物膜は、PEALDプロセスによって、基材の第二の異なる金属または金属性表面と比較して基材の第一の誘電体表面上に選択的に堆積される。例えば、SiOは、PEALDによって、金属表面と比較してSiO表面上に選択的に堆積されうる。図1は、酸化物膜が金属表面と比較してSiO上に選択的に堆積される、いくつかの実施形態の概略図を示す。
いくつかの実施形態によると、酸化物膜は、基材を第一の前駆体および水素を含むガス中に生成されるプラズマなどのプラズマ反応物質と接触させることを含む、PEALDプロセスを用いて選択的に堆積される。例えば、PEALDプロセスは、基材を、シリコンおよび酸素を含む第一の前駆体と、ArおよびHを含むが(本明細書ではAr/Hプラズマと称される)酸素を含まないガス中で生成されるプラズマを含む第二の前駆体に接触させることを含みうる。第一の前駆体は、酸素、および金属またはシリコンなどの酸化物に含まれる一つまたは複数の元素の両方を含有しうる。酸化物膜は、誘電体表面などの第一の表面上で成長する。成長は、金属または金属性表面などの第二の表面では少ないか、または発生しない。PEALDプロセスにおけるプラズマ反応物質は、二つの機能を果たしうる。第一に、それは、誘電体表面上の以前に吸着された前駆体と反応して、その表面上に所望の酸化物を形成する堆積プロセスで反応物質として機能しうる。第二に、プラズマは、金属表面に作用して、その表面上の酸化物の形成を低減または抑制する。第二の金属表面上の成長は、例えば、もしあれば、金属表面上の金属酸化物を還元することによって、および/または金属表面からOH基および/または金属酸素架橋を除去するなどの、金属表面から酸素を除去することによって抑制されうる。プラズマは、シリコン酸化物誘電体表面などの第一の誘電体表面から酸素を(OH基および/または金属酸素架橋など)それほど容易に除去しない。したがって、第一の前駆体は、より少ないOH基を有する、還元された金属表面と比較して、より高い濃度のOH基を含有する誘電体表面に優先的に反応し、化学吸着する。結果として、酸化物は、第二の金属または金属性表面と比較して第一の誘電体表面上に選択的に堆積される。
いくつかの実施形態では、誘電体表面などの誘電体材料の堆積が望ましい第一の表面と、金属表面などの堆積が望ましくない第二の表面とを含む基材は、酸素ならびに堆積した材料およびプラズマ反応物質に含まれる一つまたは複数の元素を含む前駆体と、交互にかつ連続的に接触する。いくつかの実施形態では、プラズマ反応物質は、酸素を含まないガス中で生成されるプラズマを含む。いくつかの実施形態では、プラズマ反応物質は酸素種を含まない。いくつかの実施形態では、酸素種を含む反応物質は、第一の反応物質以外で使用されない。いくつかの実施形態では、プラズマ反応物質は、水素を含むガス中で生成されるプラズマを含む。酸素およびプラズマを含む前駆体は、過剰な反応物質および反応副生成物が、もしあれば、反応空間から除去されるパージによって分離されたパルスで提供されてもよい。
いくつかの実施形態では、堆積プロセスは、プラズマパルスで始まり、反応シーケンス、または堆積サイクルは、所望の回数(A)だけ繰り返されうる。
Ax(RF/パージ/前駆体/パージ)
いくつかの実施形態では、堆積サイクルは前駆体パルスで始まり、プラズマパルスがこれに続く。
いくつかの実施形態では、堆積サイクルを開始する前に、プラズマ還元ステップが提供されうる。プラズマ還元ステップは、堆積サイクル内のプラズマ反応物質への曝露よりも長い場合がある。いくつかの実施形態では、プラズマ還元ステップは、一つまたは複数の間隔で堆積プロセスに含まれる。いくつかの実施形態では、二つ以上の堆積サイクルの前に、プラズマ還元ステップが含まれる。いくつかの実施形態では、各堆積サイクルの前に、プラズマ還元ステップが含まれる。
長い還元ステップのプラズマは、堆積サイクルで使用されるプラズマと同じであってもよく、または異なっていてもよい。このプラズマ還元ステップは、金属表面から実質的にすべての金属酸化物を還元し、実質的にすべてのOH基または金属酸化物架橋が、金属表面から確実に除去されるようにしうる:
(長パルス/高出力RF)+Ax(RF/パージ/前駆体/パージ)
プラズマおよび他のプロセス条件を最適化することによって、所望のレベルの選択性が得られるように還元力を調整することができる。いくつかの実施形態では、プラズマ出力などのプロセス条件は、金属表面上で成長が目立って進行しないか、またはまったく進行しないように調整することができる。いくつかの実施形態では、プラズマ出力を使用して、堆積する酸化物膜のk値およびウェットエッチング耐性を調整することもできる。
いくつかの実施形態では、堆積サイクルおよび/またはプラズマ還元ステップで使用されるプラズマは、水素ベースである。例えば、プラズマは、例えばHガス、H2とArなどの貴ガスとの混合物などの水素を含むガス中で、またはHを含む別のガス中で生成することができる。いくつかの実施形態では、プラズマは窒素系であり、または窒素種を含む。例えば、プラズマは、Nを含むガスなどの窒素を含むガス、またはNとArなどの貴ガスの混合物中で生成されうる。いくつかの実施形態では、プラズマは酸素種を含まない。いくつかの実施形態では、プラズマは、酸素を含まないガスまたはガス混合物中で生成される。しかし、いくつかの実施形態では、プラズマは、酸素を含むガスで生成されてもよく、または他の方法で励起酸素種を含んでもよい。いくつかの実施形態では、プラズマは窒素種を含まない。いくつかの実施形態では、より多くの還元力を有するプラズマガスが好ましい。いくつかの実施形態では、貴ガスのみを使用してプラズマを生成することができる。したがって、いくつかの実施形態では、プラズマは、他の成分を含まないArガスなどの貴ガスで生成される。
いくつかの実施形態では、プラズマ、例えば、水素含有プラズマは、約5W~約5000W、10W~約2000W、約20W~約1000W、約30W~500Wまたは約50W~約200WのRF電力を印加することによって生成されうる。いくつかの実施形態では、RF電力密度は、約0.02W/cm~約2.0W/cm、または約0.05W/cm~約1.5W/cmでありうる。RF電力は、プラズマ接触時間中に流れる第二の反応物質に印加されてもよく、これは反応チャンバーを通して連続的に流れ、および/または遠隔プラズマ発生器を通して流れる。したがって、いくつかの実施形態では、プラズマは原位置で生成され、他の実施形態では、プラズマは遠隔で生成される。いくつかの実施形態では、シャワーヘッド反応器が利用され、プラズマは(上面上に基材が配置される)サセプタとシャワーヘッドプレートとの間で生成される。
いくつかの実施形態では、SiOC膜が堆積される。いくつかの実施形態では、SiOC以外の酸化物が堆積される。いくつかの実施形態では、酸化物は、オキソフィリック(oxophilic)元素を含む。例えば、本明細書に開示される方法により堆積されうる酸化物には、SiOCN、SiOSC、TiO、Al、Nb、TaおよびSiOが挙げられる。また、いくつかの実施形態では、利用される前駆体は、酸素と、金属またはシリコンなどの酸化物に望まれる第二の元素の両方を含有する。
別途示されない限り、本明細書で表面が金属表面と称される場合、表面は金属表面または金属性表面でありうる。いくつかの実施形態では、金属または金属性表面は、金属、金属酸化物および/またはそれらの混合物を含んでもよい。いくつかの実施形態では、金属または金属性表面は、表面酸化を含んでもよい。いくつかの実施形態では、金属または金属性表面の金属材料または金属性材料は、表面酸化の有無にかかわらず導電性である。いくつかの実施形態では、金属または金属性表面は、一つまたは複数の遷移金属を含む。いくつかの実施形態では、金属または金属性表面は、Al、Cu、Co、Ni、W、Nb、Feのうちの一つまたは複数を含む。いくつかの実施形態では、金属または金属性表面は、Cuを含む。いくつかの実施形態では、金属または金属性表面は、Ruなどの一つまたは複数の貴金属を含む。いくつかの実施形態では、金属または金属性表面は、導電性金属酸化物、窒化物、炭化物、ホウ化物またはそれらの組み合わせを含む。例えば、金属または金属製表面は、RuO、NbC、NbB、NiO、CoO、NbOおよびWNCのうちの一つまたは複数を含みうる。いくつかの実施形態では、基材は、TiNおよび/またはTaNを含むが、これらに限定されない、金属窒化物を含みうる。いくつかの実施形態では、金属表面は、TiCおよび/またはTaCを含むが、これらに限定されない、金属炭化物を含みうる。いくつかの実施形態では、金属表面は、MoS、SbTe、および/またはGeTeを含むが、これらに限定されない、金属カルコゲン化物を含みうる。いくつかの実施形態では、金属表面は、TiN表面である。いくつかの実施形態では、金属表面は、W表面である。
いくつかの実施形態では、金属表面は、Zn、Fe、Mn、またはMoを含みうる。
いくつかの実施形態では、金属表面は、Co、W、TiN、TaまたはCuを含む。
いくつかの実施形態では、誘電体表面は、例えば、SiO表面などの、シリコン酸化物表面であってもよい。いくつかの実施形態では、誘電体表面は、low-k表面であってもよい。
いくつかの実施形態では、有機層などのパッシベーション層は、金属表面上など、堆積前に基材上に存在してもよい。いくつかの実施形態では、パッシベーション層は、金属表面上に存在するが、誘電体表面上には存在しない。
いくつかの実施形態では、パッシベーション層は、誘電体表面上に酸化物が選択的に堆積する前に、金属表面上に堆積してもよい。例えば、いくつかの実施形態では、パッシベーション層は金属層上に選択的に堆積されてもよい。パッシベーション層の選択的堆積は、例えば以下に記載の通りに、および米国特許出願番号15/170,769または米国特許出願番号15/486,124に記載の通りに実施され、それぞれが参照により本明細書に組み込まれる。
いくつかの実施形態では、パッシベーション層は、堆積の前に金属表面上および誘電体表面上の両方に存在しうる。いくつかの実施形態では、パッシベーション層は、誘電体表面上よりも金属表面上でより厚い。
堆積プロセス、または堆積プロセスの前のプラズマ処理で使用されるプラズマ反応物質は、パッシベーション層をエッチングすることができ、その結果パッシベーション層は、堆積が望まれる誘電体表面から完全にエッチングされ、一部のパッシベーション層は金属表面上に残る。したがって、金属表面(パッシベーション層を含む)と比較して誘電体表面上の堆積プロセスの選択性を達成または強化することができる。いくつかの実施形態では、パッシベーション層のエッチングは、プラズマ反応物質の活性などを介して、酸化物の選択的堆積の間に発生する。
いくつかの実施形態では、より厚い膜が堆積された時により良好な選択性を達成するために、金属表面上のパッシベーション層を、堆積プロセスの間に補充することができる。
パッシベーション層が存在しない場合、またはパッシベーション層が完全に除去されている場合、プラズマは、金属を金属性として保持するために上述したように機能し、OH基および/または金属酸化物を除去し、酸化物が金属または金属性表面と比較して、SiOまたはlow-k表面などの誘電体表面上に選択的に堆積されるようにする。
上述のように、いくつかの実施形態では、基材は有機パッシベーション層を含んでもよい。基材が有機材料を含むいくつかの実施形態では、PEALDプロセスの反応温度は約200℃未満とすることができる。このようないくつかの実施形態では、反応温度は、約150℃未満、約100℃未満、約75℃未満、または約50℃未満とすることができる。パッシベーション層が存在しない場合の堆積温度を以下に記載する。
いくつかの実施形態では、上に堆積が望ましい基材、例えば半導体ワークピースは、反応空間または反応器内に導入される。反応器は、集積回路の形成における様々な異なるプロセスが実行されるクラスタツールの一部であってもよい。いくつかの実施形態では、フロー型反応器が使用される。いくつかの実施形態では、反応器のシャワーヘッドタイプが使用される。いくつかの実施形態では、空間分割反応器が使用される。いくつかの実施形態では、大量製造可能単一ウェーハALD反応器が使用される。他の実施形態では、複数の基材を含むバッチ式反応器が使用される。バッチALD反応器が使用される実施形態については、基材の数は、10~200の範囲、50~150の範囲、または100~130の範囲である。
使用されうる適切な反応器の例としては、アリゾナ州フェニックスのASM America,Inc.、およびオランダアルメアのASM Europe B.V.から入手可能な、市販の装置、例えば、F-120(登録商標)反応器、F-450(登録商標)反応器、Pulsar(登録商標)反応器、例えば、Pulsar(登録商標)2000、および、Pulsar(登録商標)3000-EmerALD(登録商標)反応器、および、Advance(登録商標)400シリーズ反応器が挙げられる。他の市販の反応器としては、商品名Eagle(登録商標)XP及びXP8、日本エー・エス・エム(株)(日本、東京)製の反応器が挙げられる。
いくつかの実施形態では、必要に応じて、ワークピースの露出面を前処理し、反応部位を供給してALDプロセスの第一のフェーズで反応させることができる。いくつかの実施形態では、別個の前処理工程は必要ない。いくつかの実施形態では、基材は、所望の表面終端を提供するために前処理される。いくつかの実施形態では、基材はプラズマで前処理される。
選択性
選択性は、[(第一の表面上の堆積)-(第二の表面上の堆積)]/(第一の表面上の堆積)によって計算される百分率として示されることができる。堆積は様々な手段のいずれでも測定されうる。いくつかの実施形態では、堆積は堆積した材料の測定された厚さとして示されてもよい。いくつかの実施形態では、堆積は堆積した材料の測定量として示されてもよい。
いくつかの実施形態では、選択性は約10%より大きく、約50%より大きく、約75%より大きく、約85%より大きく、約90%より大きく、約93%より大きく、約95%より大きく、約98%より大きく、約99%より大きく、またはさらには約99.5%より大きい。本明細書に記載の実施形態では、選択性は堆積の持続時間または厚さによって変化しうる。
いくつかの実施形態では、酸化物の堆積は、第一の誘電体表面上でのみで発生し、第二の金属表面上では発生しない。いくつかの実施形態では、基材の第二の表面と比較して基材の第一の表面上の堆積は、少なくとも選択性約80%であり、いくつかの特定の用途には十分に選択的でありうる。いくつかの実施形態では、基材の第二の表面と比較して基材の第一の表面上の堆積は、少なくとも選択性約50%であり、いくつかの特定の用途には十分に選択的でありうる。いくつかの実施形態では、基材の第二の表面と比較して基材の第一の表面上の堆積は、少なくとも選択性約10%であり、いくつかの特定の用途には十分に選択的でありうる。
パッシベーション層の選択的堆積
いくつかの実施形態では、本明細書に記載されるように、金属表面と比較して誘電体表面上に酸化物のその後の選択的堆積を促進または強化するために、パッシベーション層は、第二の誘電体表面と比較して基材の第一の金属表面または金属性表面上に選択的に堆積する。パッシベーション層の選択的堆積において、いくつかの実施形態では、第一の有機反応物質は、気化されて第一の反応物質蒸気を形成する。気化される反応物質は、標準的な温度および圧力条件(室温および大気圧)下で液体または固体であってもよい。いくつかの実施形態では、気化される反応物質は、アミンなどの有機前駆体、例えば1,6-ジアミノヘキサン(DAH)などのジアミン、または別の有機前駆体、例えばピロメリト酸二無水物(PMDA)などの二無水物を含む。次いで、基材は第一の反応物質蒸気に曝露され、有機膜が選択的に堆積する。方法は追加的な工程を含むことができ、繰り返すことができる。例えば、いくつかの実施形態では、以下に記載するように、二つの反応物質が利用され、誘電体表面と比較して金属表面上にパッシベーション層を選択的に堆積させる。
いくつかの実施形態では、有機膜はポリマーを含む。いくつかの実施形態では、堆積するポリマーはポリイミドである。いくつかの実施形態では、堆積するポリマーはポリアミドである。堆積するポリマーの他の例は、二量体、三量体、ポリウレタン、ポリチオ尿素、ポリエステル、ポリイミン、他のポリマー形態または上の材料の混合物を含む。
いくつかの実施形態では、例えば金属または金属性表面などの第一の導電性表面と第二の誘電体表面とを備える基材が提供され、堆積サイクルにおいて、第一の気相反応物質および第二の気相反応物質に交互にかつ連続的に曝露される。
いくつかの実施形態では、第一の前駆体の曝露期間は、約0.01秒から約60秒、約0.05秒から約30秒、約0.1秒から約10秒または約0.2秒から約5秒である。最適曝露期間は、特定の環境に基づき、当業者によって容易に判定しうる。バッチ反応器を使用してもよい。いくつかの実施形態では、60秒より長い曝露期間を用いてもよい。
いくつかの実施形態では、第二の前駆体の曝露期間は、約0.01秒から約60秒、約0.05秒から約30秒、約0.1秒から約10秒または約0.2秒から約5秒である。最適曝露期間は、特定の環境に基づき、当業者によって容易に判定しうる。バッチ反応器を使用してもよい。いくつかの実施形態では、60秒より長い曝露期間を用いてもよい。
いくつかの実施形態では、所望の厚さの有機膜が金属表面上に選択的に堆積するまで、堆積サイクルを繰り返してもよい。
上記のプロセスに対して、様々な反応物質を使用しうる。例えば、いくつかの実施形態では、第一の前駆体または反応物質は、ジアミン、例えば、1,6‐ジアミノヘキサン(DAH)、または二つの反応基を伴う任意の他のモノマーなど、有機反応物質である。
いくつかの実施形態では、第二の反応物質または前駆体もまた、堆積状況下で、第一の反応物質の吸着種と反応できる有機反応物質である。例えば、第二の反応物質は、フラン-2,5-ジオン(マレイン酸無水物)などの無水物、またはより具体的には二無水物、例えば、ピロメリト酸二無水物(PMDA)、または第一の反応物質と反応する二つの反応基を有する任意の他のモノマーとすることができる。
いくつかの実施形態では、基材は、第二の前駆体と接触する前に、第一の前駆体と接触する。それゆえ、いくつかの実施形態では、基材は、別の前駆体と接触する前に、ジアミン、例えば、1,6‐ジアミノヘキサン(DAH)などのアミンと接触する。しかし、いくつかの実施形態では、基材は、第一の前駆体と接触する前に、第二の前駆体と接触してもよい。それゆえ、いくつかの実施形態では、基材は、別の前駆体と接触する前に、フラン‐2,5‐ジオン(無水マレイン酸)などの無水物、またはより具体的には二無水物、例えば、ピロメリト酸二無水物(PMDA)と接触する。
上記のプロセスは、基材を第一の気相前駆体と接触させることで始まるが、他の実施形態では、プロセスは基材を第二の気相前駆体と接触させることで始めることができる。基材を、第一の前駆体および第二の前駆体と接触させることは、本明細書に記載のプロセスで互換性があることが当業者によって理解されるであろう。
いくつかの実施形態では、異なる反応物質を使用して、層の特性を調整しうる。例えば、1,6‐ジアミノヘキサンの代わりに、4,4’‐オキシジアニリンまたは1,4‐ジアミノベンゼンを使用して、ポリイミド膜を堆積させ、より高い芳香族性と増加したドライエッチング耐性を備えた、より強固な構造を入手しうる。
いくつかの実施形態では、反応物質は金属原子を含有しない。いくつかの実施形態では、反応物質は半金属原子を含有しない。いくつかの実施形態では、反応物質のうちの一つは、金属原子または半金属原子を含む。いくつかの実施形態では、反応物質は、炭素および水素、ならびに以下の元素N、O、S、PまたはClもしくはFなどのハロゲン化物のうちの一つまたは複数を含有する。いくつかの実施形態では、第一の反応物質は、例えば、アジピン酸クロリド(AC)を含んでもよい。
パッシベーション層の堆積条件は、選択した反応物質によって異なり、選択時に最適化されうる。いくつかの実施形態では、反応温度は、約80℃から約250℃の範囲から選択しうる。いくつかの実施形態では、例えば、選択的に堆積した有機膜がポリイミドを含む場合、反応温度は、約170℃から約210℃の範囲から選択されうる。いくつかの実施形態では、例えば、選択的に堆積した有機膜がポリアミドを含む場合、反応温度は、約80℃から約150℃の範囲から選択されうる。選択的に堆積した有機膜がポリイミドを含む、いくつかの実施形態では、反応温度は、約160℃、180℃、190℃、200℃または210℃より高くてもよい。選択的に堆積した有機膜がポリアミドを含む、いくつかの実施形態では、反応温度は、約80℃、90℃、100℃、110℃、120℃、130℃、140℃または150℃より高くてもよい。
いくつかの実施形態では、選択的に堆積した、または形成された有機膜は、金属原子を含含有しない。いくつかの実施形態では、選択的に堆積した、または形成された有機膜は、半金属原子を含有しない。いくつかの実施形態では、選択的に堆積した、または形成された有機膜は、金属または半金属原子を含有する。いくつかの実施形態では、選択的に堆積した、または形成された有機膜は、炭素および水素、ならびに以下の元素:N、O、S、またはPのうちの一つまたは複数を含有する。
いくつかの実施形態では、有機パッシベーション層を形成するための選択的堆積で使用する反応物質は、一般式:
(1)R(NH)を有することができる。
式中、Rは、1~5個の炭素原子、2~5個の炭素原子、2~4個の炭素原子、5個以下の炭素原子、4個以下の炭素原子、3個以下の炭素原子または2個の炭素原子を含む、脂肪族炭素鎖であってもよい。いくつかの実施形態では、反応物質または前駆体における炭素原子間の結合は、単結合、二重結合、三重結合またはそれらのある組み合わせであってもよい。それゆえ、いくつかの実施形態では、反応物質は二つのアミノ基を含んでもよい。いくつかの実施形態では、反応物質のアミノ基は、脂肪族炭素鎖上で一方または両方の末端位置を占有してもよい。しかし、いくつかの実施形態では、反応物質のアミノ基は、脂肪族炭素鎖上でどちらの末端位置も専有しない場合がある。いくつかの実施形態では、反応物質はジアミンを含む。いくつかの実施形態では、反応物質は、1,2‐ジアミノエタン(ジアミノエタネル(diaminoethanel))、1,3‐ジアミノプロパン(ジアミノプロパネル(diaminopropanel))、1,4‐ジアミノブタン(ジアミノブタネル(diaminobutanel))、1,5‐ジアミノペンタン(ジアミノペンタネル(diaminopentanel))、1,2‐ジアミノプロパン(ジアミノプロパネル(diaminopropanel))、2,3‐ブタンジアミン、2,2‐ジメチル‐1,3‐プロパンジアミン(プロパンジアミネル(propanediaminel))の群より選択される有機前駆体を含んでもよい。
いくつかの実施形態では、有機パッシベーション層を形成するための選択的堆積プロセスで使用する反応物質は、一般式:
(2)R(COCl)を有してもよい。
式中、Rは、1~3個の炭素原子、2~3個の炭素原子または3個以下の炭素原子を含む、脂肪族炭素鎖であってもよい。いくつかの実施形態では、反応物質または前駆体における炭素原子間の結合は、単結合、二重結合、三重結合またはそれらのある組み合わせであってもよい。いくつかの実施形態では、反応物質は塩化物を含んでもよい。いくつかの実施形態では、反応物質はジアシルクロリドを含んでもよい。いくつかの実施形態では、反応物質は、オキサリルクロリド(I)、マロニルクロリドおよびフマリルクロリドの群より選択される、有機前駆体を含んでもよい。
いくつかの実施形態では、反応物質は、1,4‐ジイソシアナトブタンまたは1,4‐ジイソシアナトベンゼンの群より選択される、有機前駆体を含む。いくつかの実施形態では、反応物質は、ヘキサンジオイルジクロリド、オクタンジオイルジクロリド、ノナンジオイルジクロリド、デカンジオイルジクロリドまたはテレフタロイルジクロリドなどのテレフタロイルジクロリド、アルキルジオイルジクロリドの群より選択される、有機前駆体を含む。いくつかの実施形態では、反応物質は、1,4‐ジイソチオシアナトベンゼンまたはテレフタルアルデヒドの群より選択される、有機前駆体を含む。いくつかの実施形態では、蒸発している反応物質は、1,4‐ジアミノベンゼン、デカン‐1,10‐ジアミン、4‐ニトロベンゼン‐1,3‐ジアミン、4,4’‐オキシジアニリンまたはエチレンジアミンなどのジアミンでもありうる。いくつかの実施形態では、反応物質は、テレフタル酸ビス(2‐ヒドロキシエチル)エステルでありうる。いくつかの実施形態では、反応物質は、カルボン酸、例えば、エタン二酸、プロパン二酸、ブタン二酸、ペンタン二酸またはプロパン‐1,2,3‐トリカルボン酸など、アルキル‐、アルケニル‐、アルカジエニル‐、ジカルボン酸またはトリカルボン酸でありうる。いくつかの実施形態では、反応物質は、安息香酸、ベンゼン‐1,2‐ジカルボン酸、ベンゼン‐1,4‐ジカルボン酸またはベンゼン‐1,3‐ジカルボン酸など、芳香族カルボン酸またはジカルボン酸でありうる。いくつかの実施形態では、反応物質は、炭化水素に結合する、一つまたは複数のOH‐基を含んでもよい。いくつかの実施形態では、反応物質は、4‐アミノフェノール、ベンゼン‐1,4‐ジオールまたはベンゼン‐1,3,5‐トリオールなど、ジオール、トリオール、アミノフェノールの群より選択されうる。いくつかの実施形態では、反応物質は8‐キノリノールでありうる。いくつかの実施形態では、反応物質は、7‐オクテニルトリクロロシランなど、アルケニルトリクロロシランのようなアルケニルクロロシランを含みうる。
金属表面上に有機パッシベーション層の選択的堆積後、本明細書に記載されるように、酸化物を、金属表面と比較して誘電体表面上に選択的に堆積させてもよい。
SiOCとSiOCNの堆積
上述のように、いくつかの実施形態では、SiOCは、金属または金属性表面と比較して誘電体表面上に選択的に堆積される。SiOCは、例えば、本明細書に記載されるように、または参照により本明細書に組み込まれる、米国特許出願第15/588,026号に記載されるように、堆積しうる。
いくつかの実施形態では、SiOCNは、金属または金属性表面と比較して誘電体表面上に選択的に堆積される。SiOCNは、例えば、本明細書に記載されるように、またはそれぞれが参照により本明細書に組み込まれる、米国特許出願第14/939,984号または15/342,943号に記載されるように、堆積しうる。
いくつかの実施形態では、SiOSCは、金属または金属性表面と比較して誘電体表面上に選択的に堆積する。SiOSCは、例えば、本明細書に記載されるように、または参照により本明細書に組み込まれる、米国特許出願第62/502,118号に記載されるように、堆積しうる。
シリコンオキシカーバイド膜の式は、一般的に本明細書では利便性および簡略化のためにSiOCと称される。本明細書で使用される場合、SiOCは、結合または化学状態、例えば、Si、O、C、および/または膜中の任意の他の元素のいずれかの酸化状態を制限、限定、または定義することを意図していない。さらに、いくつかの実施形態では、SiOC薄膜は、Si、OおよびCに加えて一つまたは複数の元素を含んでもよい。例えば、いくつかの実施形態では、原子基準(at%)で約0%~約10%の窒素を含むSiOCN膜が堆積しうる。いくつかの実施形態では、原子基準で約0~約20%の硫黄を含むSiOSC膜が堆積しうる。
いくつかの実施形態では、SiOCは、原子基準で約0%~約30%の炭素を含むことができる。いくつかの実施形態では、SiOC膜は、原子基準で約0%~約70%の酸素を含むことができる。いくつかの実施形態では、SiOC膜は、原子基準で約0%~約50%のシリコンを含むことができる。
本明細書で提供される全ての原子百分率(すなわち、at%)値は、単純化のため、および水素を定量的に正確に分析することが難しいため、特に指示しない限り、水素を除外する。しかし、いくつかの実施形態では、適正な精度で水素を分析することが可能である場合、膜の水素含有量は約20at%未満、約10at%未満、または約5at%未満である。いくつかの実施形態では、堆積したSiOC薄膜は、原子基準(at%)で最大約70%の酸素を含有することができる。いくつかの実施形態では、SiOC膜は、原子基準で約10%~約70%、約15%~約50%、または約20%~約40%の酸素を含むことができる。いくつかの実施形態では、SiOC膜は、原子基準で少なくとも約20%、約40%、または約50%の酸素を含むことができる。
いくつかの実施形態では、堆積したSiOC薄膜は、原子基準(at%)で最大約40%の炭素を含有することができる。いくつかの実施形態では、SiOC膜は、原子基準で約0.1%~約40%、約0.5%~約40%、約1%~約30%、または約5%~約20%の炭素を含むことができる。いくつかの実施形態では、SiOC膜は、原子基準で少なくとも約1%、約10%、または約20%の炭素を含むことができる。
いくつかの実施形態では、堆積させたSiOC薄膜は、原子基準(at%)で最大約50%のシリコンを含有することができる。いくつかの実施形態では、SiOC膜は、原子基準で約10%~約50%、約15%~約40%、または約20%~約35%のシリコンを含むことができる。いくつかの実施形態では、SiOC膜は、原子基準で少なくとも約15%、約20%、約25%、または約30%のシリコンを含むことができる。
いくつかの実施形態では、堆積させたSiOC薄膜は、原子基準(at%)で最大約40%の硫黄を含有することができる。いくつかの実施形態では、SiOC膜は、原子基準で約0.01%~約40%、約0.1%~約40%、約0.5%~約30%、または約1%~約20%の硫黄を含むことができる。いくつかの実施形態では、SiOC膜は、原子基準で少なくとも約1%、約10%、または約20%の硫黄を含むことができる。いくつかの実施形態では、堆積させたSiOC膜は、多量の窒素を含まない。しかし、いくつかの実施形態では、窒素を含むSiOC膜が堆積される。いくつかの実施形態では、堆積させたSiOC膜は、約30at%未満、約20at%未満、約15at%未満、約10at%未満、約5at%未満の窒素、約1at%未満の窒素、または約0.1at%未満の窒素を含む。いくつかの実施形態では、SiOC薄膜は窒素を含まない。
上記のように、いくつかの実施形態では、SiOC膜は、Si-C結合および/またはSi-O結合を含んでもよい。いくつかの実施形態では、SiOC膜は、Si-N結合をさらに含んでもよい。いくつかの実施形態では、SiOC膜は、Si-S結合をさらに含んでもよい。いくつかの実施形態では、SiOC膜は、Si-C結合およびSi-O結合を含むことができ、Si-N結合を含むことができない。いくつかの実施形態では、SiOC膜は、Si-N結合およびSi-O結合を含むことができ、Si-C結合を含むことができない。いくつかの実施形態では、SiOC膜は、Si-N結合およびSi-C結合を含むことができ、Si-O結合を含むことができない。いくつかの実施形態では、SiOC膜は、Si-S結合、Si-C結合、およびSi-O結合を含むことができ、Si-N結合を含むことができない。いくつかの実施形態では、SiOC膜は、Si-S結合およびSi-C結合を含むことができ、Si-O結合を含むことができない。いくつかの実施形態では、SiOC膜は、Si-S結合およびSi-O結合を含むことができ、Si-C結合を含むことができない。いくつかの実施形態では、SiOC膜は、Si-C結合よりも多いSi-O結合を含むことができるが、例えば、Si-O結合対Si-C結合の比は、約1:1~約10:1であってもよい。いくつかの実施形態では、堆積させたSiOC膜は、SiN、SiO、SiC、SiCN、SiON、SiOSC、SiSC、SiOS、および/またはSiOCのうちの一つまたは複数を含んでもよい。
いくつかの実施形態では、プラズマ強化ALD(PEALD)プロセスを使用してSiOC膜を堆積させる。上述のように、いくつかの実施形態では、PEALDプロセスは、酸素プラズマまたは酸素種を含むプラズマを含まない。簡単に説明すると、基材またはワークピースを反応チャンバー内に配置し、交互に繰り返される表面反応を行う。いくつかの実施形態では、自己制御的ALDサイクルの繰り返しにより、SiOC薄膜が形成される。いくつかの実施形態では、SiOC膜を形成するために、各ALDサイクルは少なくとも二つの異なるフェーズを含む。基材からの反応物質の接触および除去は、一つのフェーズと見なされてもよい。
第一のフェーズでは、シリコンを含む気相の第一の反応物質は基材と接触し、誘電体表面上に僅か約一層の単分子層を形成する。この反応物質は、本明細書では「シリコン前駆体」、「シリコン含有前駆体」、または「シリコン反応物質」とも呼ばれ、例えば、(3-アミノプロピル)トリメトキシシラン(APTMS)、例えばビス(トリエトキシシリル)エタン(BTESE)または3-メトキシプロピルトリメトキシシラン(MPTMS)であってもよい。いくつかの実施形態では、第一の反応物質は、シリコンおよび酸素の両方を含む。
第二のフェーズでは、反応種を含む第二の反応物質は基材に接触し、吸着されたシリコンを誘電体表面上のSiOCに変換する場合がある。上述のように、いくつかの実施形態では、第二の反応物質は、H/Arプラズマなどの水素プラズマ、窒素プラズマ、および/または貴ガス中で生成されるプラズマを含む。
いくつかの実施形態では、第二の反応物質は、水素ラジカル、水素原子、および/または水素プラズマを含む。第二の反応物質は、水素前駆体ではない他の種を含んでもよい。いくつかの実施形態では、第二の反応物質は、窒素のプラズマ、窒素のラジカル、または何らかの形態の原子窒素を含んでもよい。いくつかの実施形態では、第二の反応物質は、例えばHe、Ne、Ar、Kr、またはXeなどの貴ガスの種を、例えばラジカルとして、プラズマ形態で、または元素形態で含むことができる。貴ガスからのこれらの反応種は、堆積させた膜の材料に必ずしも寄与しないが、場合によっては、プラズマの形成と点火に役立つだけでなく膜の成長に寄与することができる。
いくつかの実施形態では、プラズマを形成するために用いられるガスは、堆積プロセス全体を通して常に流れているが、断続的にのみ活性化されることができる。
いくつかの実施形態では、プラズマを形成するために用いられるガスは、酸素を含まない。いくつかの実施形態では、吸着されたシリコン前駆体を、酸素からのプラズマによって生成される反応種と接触させない。いくつかの実施形態では、反応種を含む第二の反応物質は、酸素を含まないガス中で生成される。例えばいくつかの実施形態では、第二の反応物質は、酸素を含まないガス中で生成されるプラズマを含むことができる。いくつかの実施形態では、第二の反応物質は、約1原子%(at%)未満の酸素、約0.1at%未満の酸素、約0.01at%未満の酸素、または約0.001at%未満の酸素を含むガス中で生成されうる。
いくつかの実施形態では、プラズマを形成するために用いられるガスは、窒素を含まない。いくつかの実施形態では、吸着されたシリコン前駆体を、窒素からのプラズマによって生成される反応種と接触させない。いくつかの実施形態では、反応種を含む第二の反応物質は、窒素を含まないガス中で生成される。例えばいくつかの実施形態では、第二の反応物質は、窒素を含まないガス中で生成されるプラズマを含むことができる。
しかし、いくつかの実施形態では、プラズマを形成するために用いられるガスは、窒素を含むことができる。いくつかの他の実施形態では、第二の反応物質は、窒素ラジカル、窒素原子および/または窒素プラズマを含んでもよい。いくつかの実施形態では、第二の反応物質は、約25原子%(at%)未満の窒素、約20at%未満の窒素、約15at%未満の窒素、約10at%未満の窒素、約5at%未満の窒素、約1at%未満の窒素、約0.1at%未満の窒素、約0.01at%未満の窒素、または約0.001at%未満の窒素を含むガス中で生成されることができる。いくつかの実施形態では、第二の反応物質は、水素および窒素を含むガス中で生成されてもよく、例えば第二の反応物質はHおよびNを含んでもよい。いくつかの実施形態では、第二の反応物質は、約20%未満、約10%未満、または約5%未満のN対H(N/H)の比を有するガス中で生成されることができる。
いくつかの実施形態では、プラズマを形成するために用いられるガスは、窒素も酸素も含まない。いくつかの実施形態では、吸着されたシリコン前駆体を、窒素または酸素からのプラズマによって生成される反応種と接触させない。いくつかの実施形態では、反応種を含む第二の反応物質は、窒素も酸素も含まないガス中で生成される。例えばいくつかの実施形態では、第二の反応物質は、窒素も酸素も含まないガス中で生成されるプラズマを含むことができる。
誘電体表面に選択的に堆積される最終的な膜の組成を調整するために、必要に応じて追加の相を加えてもよく、相を除去してもよい。
SiOC膜を堆積させるためのいくつかの実施形態では、一つまたは複数の堆積サイクルは、基材をシリコン前駆体と、続いて第二のプラズマ反応物質と接触させることにより開始する。他の実施形態では、堆積は、基材を第二のプラズマ反応物質と、続いてシリコン前駆体と接触させることにより開始することができる。
過剰な反応物および反応副生成物がある場合、それらは反応物質を接触させるフェーズ間で、基材近傍から具体的には基材表面から除去される。いくつかの実施形態では、過剰な反応物質および反応副生成物がある場合、例えば反応物質を接触させるフェーズ間で反応チャンバーをパージすることによって、例えば不活性ガスでパージすることによって、それらは基材表面から除去される。各反応物質の流量および接触時間は、除去工程と同様に調整可能であり、膜の品質および様々な特性を制御することができる。
いくつかの実施形態では、各堆積サイクルの間またはPEALDプロセス全体の間、ガスが反応チャンバーに連続的に供給され、反応チャンバー内または反応チャンバーの上流のいずれかで、ガス中にプラズマを生成することにより、反応種が得られる。いくつかの実施形態では、ガスは水素を含む。いくつかの実施形態では、ガスは窒素を含む。いくつかの実施形態では、ガスは、貴ガス、例えばヘリウムまたはアルゴンを含んでもよい。流れるガスは、第一および/または第二の反応物質(または反応種)のためのパージガスとしても機能することができる。
堆積サイクルは、所望の厚さのSiOC膜が誘電体表面上で得られるまで繰り返される。いくつかの実施形態では、所望の特性を有する膜を得るために、堆積パラメータ、例えば前駆体流量、接触時間、除去時間、および/または反応物質自体は、PEALDプロセスの間の一つまたは複数の堆積サイクルで変化することができる。
いくつかの実施形態では、基材の表面を反応物質と接触させる。いくつかの実施形態では、反応物質のパルスが、基材を含む反応空間に供給される。用語「パルス」は、所定の時間の間、反応チャンバー内へ反応物質を供給することを含むことを理解することができる。用語「パルス」は、パルスの長さまたは持続時間を制限しないが、パルスは任意の長さの時間とすることができる。いくつかの実施形態では、基材は、反応物質を含む反応空間に移動される。いくつかの実施形態では、基材はその後、第一の反応物質を含む反応空間から、第二の反応物質を含む第二の異なる反応空間へ移動される。
いくつかの実施形態では、基材をシリコン反応物質と最初に接触させる。最初の表面終端後、必要または要望に応じて、基材を第一のシリコン反応物質と接触させる。いくつかの実施形態によれば、第一の反応物質パルスは、キャリアガス流、および揮発性シリコン種、例えば誘電体表面と反応するAPTMSまたはMPTMSを含む。したがって、シリコン種は、誘電体表面上に吸着する。
いくつかの実施形態では、シリコン反応物質は、約0.05秒~約5.0秒、約0.1秒~約3秒、または約0.2秒~約1.0秒、表面に接触する。当業者は、特定の状況に基づいて最適な接触時間を容易に決定しうる。
シリコン含有種の約一分子層が基材表面上に吸着するのに十分な時間の後、過剰な第一のシリコン反応物質、および反応副生成物がある場合、それらは基材表面から除去される。いくつかの実施形態では、過剰な反応物質および反応副生成物がある場合にそれを除去することは、反応チャンバーをパージすることを含むことができる。いくつかの実施形態では、反応チャンバーは、過剰な反応物質および反応物質副生成物がある場合には、それらを反応空間から拡散またはパージするのに十分な時間にわたりキャリアガスまたはパージガスを流し続ける間に、第一の反応物質の流れを停止させることによってパージされてもよい。いくつかの実施形態では、過剰な第一の前駆体は、窒素またはアルゴンなどの不活性ガスを用いてパージされる。いくつかの実施形態では、基材は、第一の反応物質を含む反応空間から第二の異なる反応空間へと移動されてもよい。いくつかの実施形態では、第一の反応物質は、約0.1秒~約10秒、約0.3秒~約5秒、または約0.3秒~約1秒間除去される。シリコン反応物質の接触および除去は、PEALDサイクルの第一またはシリコンフェーズと見なされることができる。
第二のフェーズでは、反応種、例えば水素プラズマを含む第二の反応物質がワークピースに供給される。プラズマは、反応チャンバー内または遠隔プラズマ発生器内で生成されてもよく、反応チャンバーに提供されてもよい。例えば、水素プラズマは、反応チャンバー内または反応チャンバーの上流で水素中にプラズマを生成することにより、例えば、水素(H)をリモートプラズマ発生器に流すことにより形成されてもよい。
いくつかの実施形態では、プラズマは流れるHガス中で生成される。いくつかの実施形態では、Hの流量は、約0.1~約0.4標準リットル/分であってもよい。上述のように、いくつかの実施形態では、Arなどの貴ガスも含まれうる。Ar並行流は、例えば、いくつかの実施形態では、約2標準リットル/分としうる。
いくつかの実施形態では、プラズマが点火される前、または水素原子もしくはラジカルが形成される前に、Hが反応チャンバーに供給される。いくつかの実施形態では、Hが反応チャンバーに連続的に供給され、必要な場合に水素含有プラズマ、原子、またはラジカルが生成または供給される。
いくつかの実施形態では、プラズマは流れるNガス中で生成される。いくつかの実施形態では、Nの流量は、約0.1~約0.4標準リットル/分であってもよい。上述のように、いくつかの実施形態では、Arなどの貴ガスも含まれうる。Ar並行流は、例えば、いくつかの実施形態では、約2標準リットル/分としうる。
いくつかの実施形態では、プラズマが点火される前、または水素原子もしくはラジカルが形成される前に、Nが反応チャンバーに供給される。いくつかの実施形態では、Nが反応チャンバーに連続的に供給され、必要な場合に窒素含有プラズマ、原子、またはラジカルが生成または供給される。
典型的には、例えば水素プラズマを含む第二の反応物質は、約0.1秒~約10秒間基材に接触する。いくつかの実施形態では、第二の反応物質、例えば水素含有プラズマは約0.1秒~約10秒、0.5秒~約5秒、または0.5秒~約2.0秒間、基材に接触する。しかし、反応器の種類、基材の種類およびその表面積に応じて、第二の反応物質の接触時間は約10秒よりもさらに長くなる場合がある。いくつかの実施形態では、接触時間は数分程度となりうる。当業者は、特定の状況に基づいて最適な接触時間を容易に決定しうる。
いくつかの実施形態では、二つ以上のパルスのうちのいずれかの合間に別の反応物質を導入することなく、二つ以上の異なるパルス中に第二の反応物質が供給される。例えば、いくつかの実施形態では、プラズマ、例えば水素含有プラズマは、連続パルスの合間にSi前駆体を導入することなく、二つ以上の連続するパルス中に供給される。いくつかの実施形態では、第一の期間にプラズマ放電をもたらすことにより、プラズマ供給中に二つ以上の連続するプラズマパルスが生成され、第二の期間、例えば約0.1秒~約10秒、約0.5秒~約5秒、または約1.0秒~約4.0秒の間、プラズマ放電を消し、別の前駆体または除去工程、例えばSi前駆体またはパージ工程の導入の前の第三の期間でプラズマを再び励起する。別のプラズマパルスを同様に導入することができる。いくつかの実施形態では、プラズマは、各パルスで同等の期間点火される。
いくつかの実施形態では、プラズマ、例えば水素または窒素含有プラズマは、いくつかの実施形態では、約10W~約5000W、約10W~約2000W、約50W~約1000W、約300W~約500W、約100W~約500W、または約30W~約100WのRF電力を印加することによって生成されうる。いくつかの実施形態では、窒素含有プラズマを生成するために使用されるプラズマ電力は、約10W~約5000W、約50W~約1,500W、約70W~約1200W、約80W~約1,000W、約10W~約500W、または約300W~約500Wでありうる。いくつかの実施形態では、RF電力密度は約0.02W/cm~約2.0W/cm、または約0.05W/cm~約1.5W/cmとしうる。RF電力は、プラズマ接触時間中に流れる第二の反応物質に印加されてもよく、これは反応チャンバーを通して連続的に流れ、および/または遠隔プラズマ発生器を通して流れる。したがって、いくつかの実施形態では、プラズマは原位置で生成され、他の実施形態では、プラズマは遠隔で生成される。いくつかの実施形態では、シャワーヘッド反応器が利用され、プラズマは(上面上に基材が配置される)サセプタとシャワーヘッドプレートとの間で生成される。いくつかの実施形態では、サセプタとシャワーヘッドプレートの間のギャップは、約0.1cm~約20cm、約0.5cm~約5cm、または約0.8cm~約3.0cmである。
以前に吸着した分子層を完全に飽和させてプラズマパルスと反応させるのに十分な期間の後、全ての過剰な反応物質および反応副生成物が基材表面から除去される。
いくつかの実施形態では、過剰な反応物質および反応副生成物がある場合、それらを除去することは反応チャンバーをパージすることを含む。いくつかの実施形態では、反応チャンバーは、過剰な反応物質および反応物質の副生成物がある場合、それらを反応空間から拡散またはパージするのに十分な時間、キャリアガスまたはパージガスを流し続けながら、第二の反応物質の流れを停止させることによってパージされてもよい。いくつかの実施形態では、過剰な第二の前駆体は、PEALDサイクル全体を通して流れる不活性ガス、例えば窒素またはアルゴンを用いてパージされる。いくつかの実施形態では、基材は、第二の反応物質を含む反応空間から異なる反応空間へと移動されてもよい。除去は、いくつかの実施形態では、約0.1秒~約10秒、約0.1秒~約4秒、または約0.1秒~約0.5秒であってもよい。反応種の接触および除去は共に、SiOCN原子層堆積サイクルの第二の反応種フェーズを表す。
二つのフェーズは共に、一つのALDサイクルを表し、これが繰り返されて所望の厚さのSiOC薄膜を形成する。
いくつかの実施形態によれば、PEALD反応は、約25℃~約700℃、約50℃~約600℃、約20℃~約200℃、約75℃~約450℃、または約90℃~約300℃の範囲の温度で実施されることができる。いくつかの実施形態では、堆積温度は約100℃~約200℃である。いくつかの実施形態では、最適な反応器温度は、最大許容熱収支によって制限されてもよい。したがっていくつかの実施形態では、反応温度は、約300℃~約400℃である。いくつかの用途では、最大温度は約400℃前後であり、したがってPEALDプロセスはその反応温度で実行される。
本開示のいくつかの実施形態によれば、処理中の反応チャンバーの圧力は、約0.01Torr~約50Torr、または約0.1Torr~約10Torrで維持される。いくつかの実施形態では、反応チャンバーの圧力は、約6Torr、または約20Torrより高い。いくつかの実施形態では、SiOCN堆積プロセスは、約1Torr~約500Torr、約1Torr~約20Torr、約2Torr~約10Torr、約20Torr~約50Torr、または約20Torr~約30Torrの圧力で実施されることができる。
いくつかの実施形態では、酸化物堆積は、約0.1Torr以上の圧力、または1Torr以上の圧力で行われる。いくつかの実施形態では、圧力は、最大約760Torr、最大約500Torr、または最大約50Torrでありうる。
いくつかの実施形態によると、SiOCまたはSiOCN薄膜は、少なくとも一つのサイクルを含むPEALD堆積プロセスによって、反応空間内の基材上の誘電体表面上に選択的に堆積され、少なくとも一つのサイクルは、
シリコン種が基材の表面上に吸着するように、基材をシリコン反応物質と接触させることと、
過剰なシリコン反応物質および反応副生成物がある場合、それらを基材表面から除去することと、
基材を、水素または窒素を含む反応種などのプラズマによって生成される反応種を含む第二の反応物質と接触させることと、
過剰な第二の反応物質および反応副生成物がある場合、それらを基材表面から除去することと、
所望の厚さおよび組成のSiOCまたはSiOCN薄膜を形成するために、接触することと除去することを随意に繰り返すことと、を含む。
本開示のPEALDプロセスにおいて、SiOCおよびSiOCNを形成するために、多くの異なる好適なSi前駆体を使用することができる。
いくつかの実施形態では、PEALDプロセスによるSiOCNの堆積に好適な少なくともいくつかのSi前駆体は、窒素を含み、以下の一般式を有する:
(1)Si(OR4-x(RIINRIIIIV
式中、x=1~4であり、Rは独立して選択されるアルキル基であってもよく、RIIは独立して選択される炭化水素基であってもよく、またRIIIおよびRIVは独立して選択されるアルキル基および/または水素であってもよい。いくつかの実施形態では、RおよびRIIは、C~Cアルキル配位子、例えばメチル、エチル、n-プロピル、またはイソプロピルである。いくつかの実施形態では、Rは、C~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、またはtertブチルであってもよい。いくつかの実施形態では、RIIはC炭化水素ではない。いくつかの実施形態では、RIIはC~C炭化水素またはC~C炭化水素である。いくつかの実施形態では、RIIは不飽和炭化水素、例えば一つまたは複数の二重結合を含む炭化水素であってもよい。いくつかの実施形態では、RIIは水素の一つが除去されるアルキル基であってもよい。いくつかの実施形態では、RIIIおよびRIVは水素である。いくつかの実施形態では、Rはメチルであり、RIIはn-プロピルであり、RIIIは水素であり、RIVは水素であり、x=1である。
例えば、Si前駆体は(結合を表示するためにより詳細な方法で記述される)式を有してもよい:(R-O-)4-xSi(-RII-NRIIIIV)x、式中、x=1~4であり、Rは独立して選択されるアルキル基であってもよく、RIIは独立して選択される炭化水素、RIIIおよびRIVは独立して選択されるアルキル基および/または水素であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有してもよい:
(2)Si(OR4-x-y-z(RIINRIIIIV(OH)
式中、x=1~4、y=0~3、z=0~3であり、RおよびRIIは独立して選択されるアルキル基であってもよく、RIIは独立して選択される炭化水素であってもよく、RIIIおよびRIVは独立して選択されるアルキル基および/または水素であってもよい。いくつかの実施形態では、RIIは不飽和炭化水素、例えば一つまたは複数の二重結合を含む炭化水素であってもよい。いくつかの実施形態では、RIIは水素の一つが除去されるアルキル基であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有してもよい:
(3)LSi(OR4-x-n(RIINRIIIIV
式中、n=1~3、x=0~3であり、Rは独立して選択されるアルキル基であってもよく、RIIは独立して選択される炭化水素であってもよく、RIIIおよびRIVは独立して選択されるアルキル基および/または水素であってもよく、Lは独立して選択されるアルキル基またはハロゲンである。いくつかの実施形態では、RIIは不飽和炭化水素、例えば一つまたは複数の二重結合を含む炭化水素であってもよい。いくつかの実施形態では、RIIは水素の一つが除去されるアルキル基であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有してもよい:
(4)LSi(OR4-x-y-z-n(RIINRIIIIV(OH)
式中、n=0~3、x=1~4、y=0~3、z=0~3であり、Rは独立して選択されるアルキル基であってもよく、RIIは独立して選択される炭化水素であってもよく、RIIIおよびRIVは独立して選択されるアルキル基および/または水素であってもよく、Lは独立して選択されるアルキル基またはハロゲンである。いくつかの実施形態では、RIIは不飽和炭化水素、例えば一つまたは複数の二重結合を含む炭化水素であってもよい。いくつかの実施形態では、RIIは水素の一つが除去されるアルキル基であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有してもよい:
(5)(RO)4-xSi(RII-NH
式中、x=1~4であり、Rは独立して選択されるアルキル基であってもよく、RIIは独立して選択される炭化水素であってもよい。いくつかの実施形態では、RおよびRIIは、C~Cアルキル配位子、例えばメチル、エチル、n-プロピル、またはイソプロピルである。いくつかの実施形態では、Rはメチルであり、RIIはn-プロピルであり、x=1である。いくつかの実施形態では、RIIは不飽和炭化水素、例えば一つまたは複数の二重結合を含む炭化水素であってもよい。いくつかの実施形態では、RIIは水素の一つが除去されるアルキル基であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有してもよい:
(6)(RO)Si-RII-NH
式中、Rは独立して選択されるアルキル基であってもよく、RIIは独立して選択される炭化水素であってもよい。いくつかの実施形態では、RおよびRIIは、C~Cアルキル配位子、例えばメチル、エチル、n-プロピル、またはイソプロピルである。いくつかの実施形態では、RIIは不飽和炭化水素、例えば一つまたは複数の二重結合を含む炭化水素であってもよい。いくつかの実施形態では、RIIは水素の一つが除去されるアルキル基であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有してもよい:
7)(RO)4-xSi(-[CH-NH
式中、x=1~4、n=1~5であり、Rは独立して選択されるアルキル基であってもよい。いくつかの実施形態では、Rは、C~Cアルキル配位子、例えばメチル、エチル、n-プロピル、またはイソプロピルである。いくつかの実施形態では、Rはメチルであり、x=1である。
いくつかの実施形態では、シリコン前駆体はハロゲンを含まない。いくつかの実施形態では、シリコン前駆体は、少なくとも一つのアミノアルキル配位子を含んでもよい。
いくつかの実施形態によれば、好適なシリコン前駆体は、炭素を介してシリコンに結合し、炭素鎖に結合する少なくとも一つのNH基を含む少なくとも一つの配位子、例えばアミノアルキル配位子を含むことができる。いくつかの実施形態によれば、好適なシリコン前駆体は、炭素を介してシリコンに結合し、炭素鎖に結合するNH基を含む少なくとも一つの配位子、例えばアミノアルキル配位子を含むことができ、また、酸素原子を介してシリコンに結合し、アルキル基が酸素に結合している少なくとも一つの配位子、例えばアルコキシド配位子を含んでもよい。いくつかの実施形態によれば、好適なシリコン前駆体は、炭素を介してシリコンに結合し、少なくとも一つのNRIIIIV基を含む少なくとも一つの配位子を含んでもよく、RIIIおよびRIVは、炭素鎖に結合する独立して選択されるアルキル基および/または水素、例えば、アミノアルキル配位子であってもよい。いくつかの実施形態によれば、好適なシリコン前駆体は、炭素を介してシリコンに結合し、少なくとも一つの窒素が炭素に結合している少なくとも一つの配位子を含んでもよい。さらに、炭素を介してシリコンに結合し、少なくとも一つの窒素が炭素に結合している一つの配位子は、窒素に結合している水素を含んでもよい。いくつかの実施形態によれば、炭素を介してシリコンに結合する配位子に加えて、好適なシリコン前駆体はまた、アルコキシ配位子、例えばメトキシ、エトキシ、n-プロポキシ、i-プロポキシ、またはtertブトキシ配位子を含んでもよい。上記の式のいくつかを含むいくつかの実施形態によれば、好適なシリコン前駆体は、炭素を介してシリコンに結合している炭素鎖を含み、炭素鎖に結合しているアミノ基、例えばアルキルアミノまたは-NH基があり、炭素鎖はC1~C6炭化水素、C2~C6炭化水素、またはC2~C4炭化水素、直鎖状、分枝状、または環状であり、炭素および水素のみを含む。いくつかの実施形態では、炭素鎖は不飽和であり、炭素-炭素二重結合を含んでいてもよい。いくつかの他の実施形態では、炭素鎖は、炭素および水素以外の原子を含んでいてもよい。
本開示のPEALDプロセスにおいて、SiOCを形成するために、多くの異なる好適なSi前駆体を使用することができる。いくつかの実施形態では、好適なSi前駆体は窒素を含むことができない。いくつかの実施形態では、好適なSi前駆体はシランを含んでもよい。
いくつかの実施形態では、SiOCを形成するのに好適なSi前駆体は、少なくとも一つの炭化水素基により接続または結合する二つのSi原子を含むことができる。いくつかの実施形態では、好適なSi前駆体は、少なくとも一つのアルキル基により接続または結合する二つのSi原子を含むことができる。いくつかの実施形態では、好適なSi前駆体は、少なくとも一つのアルコキシ基により接続または結合する二つのSi原子を含むことができる。いくつかの実施形態では、好適なSi前駆体は、少なくとも一つのシリル基により接続または結合する二つのSi原子を含むことができる。いくつかの実施形態では、好適なSi前駆体は、少なくとも一つのシリルエーテル基により接続または結合する二つのSi原子を含むことができる。いくつかの実施形態では、好適なSi前駆体は、少なくとも一つの-SH基を含むことができ、-SHはアルキル鎖またはシリコン原子に結合することができる。いくつかの実施形態では、好適なSi前駆体は、少なくとも一つのメルカプト基を含むことができる。いくつかの実施形態では、好適なSi前駆体は少なくとも一つの-R-SH構造を含むことができ、RはC~Cアルキル基であってもよい。いくつかの実施形態では、好適なSi前駆体は、アルキル鎖上の少なくとも一つの-SH基、およびシリコン原子に結合する一つまたは複数のアルコキシ基を含んでもよい。
いくつかの実施形態では、好適なSi前駆体は、一つまたは複数のアルコキシ基に付加または結合する少なくとも一つのSi原子を含んでもよい。いくつかの実施形態では、好適なSi前駆体は、一つまたは複数のアルキル基に付加または結合する少なくとも一つのSi原子を含んでもよい。いくつかの実施形態では、好適なSi前駆体は、少なくともアルキル基およびアルコキシ基に付加または結合する少なくとも一つのSi原子を含んでもよい。
いくつかの実施形態では、PEALDプロセスによるSiOCの堆積に好適な少なくともいくつかのSi前駆体は、以下の一般式を有する架橋アルコキシシランを含んでもよい:
(1)(RIIO)Si-R-Si(ORII
式中、RおよびRIIのそれぞれは、独立して選択されるアルキル基であってもよい。いくつかの実施形態では、RおよびRIIのそれぞれは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルである。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有する架橋アルコキシアルキルシランを含んでもよい:
(2)RIII (ORIISi-R-Si(ORIIIII
式中、R、RII、およびRIIIのそれぞれは、独立して選択されるアルキル基であってもよく、x+y=3であってもよい。いくつかの実施形態では、RおよびRIIのそれぞれは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルである。いくつかの実施形態では、RIIIは、独立して選択されるC~Cアルキル配位子であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有する環状アルコキシシランを含んでもよい:
(3)(RIIO)Si-R -Si(ORII
式(3)は、代わりに以下の構造式によって表わされることができる:
Figure 2023018059000002
式中、RおよびRIIのそれぞれは、独立して選択されるアルキル基であってもよい。いくつかの実施形態では、RおよびRIIのそれぞれは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルである。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有する環状アルコキシアルキルシランを含んでもよい:
(4)RIII (ORIISi-R -Si(ORIIIII
式(4)は、代わりに以下の構造式によって表わされることができる:
Figure 2023018059000003
式中、R、RII、およびRIIIのそれぞれは、独立して選択されるアルキル基であってもよく、x+y=2であってもよい。いくつかの実施形態では、RおよびRIIのそれぞれは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルである。いくつかの実施形態では、RIIIは、独立して選択されるC~Cアルキル配位子であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有する直鎖状アルコキシシランを含んでもよい:
(5)(RIIO)Si-(O-Si-R -O-Si(ORII
式中、Rは独立して選択されるアルキル基または水素であってもよく、RIIは独立して選択されるアルキル基であってもよく、n=1~4であってもよい。いくつかの実施形態では、RおよびRIIのそれぞれは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルである。いくつかの実施形態では、Rは水素であってもよく、RIIは独立して選択されるC~Cアルキル配位子であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有する直鎖状アルコキシシランを含んでもよい:
(6)RIII (ORIISi-(-R-Si)-Si(ORIIIII
式中、R、RII、およびRIIIのそれぞれは、独立して選択されるアルキル基であってもよく、x+y=2、およびnは1以上とすることができる。いくつかの実施形態では、RおよびRIIは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルである。いくつかの実施形態では、RIIIは、独立して選択されるC~Cアルキル配位子であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有するアルコキシシランを含んでもよい:
(7)Si(OR
式中、Rは、独立して選択されるアルキル基であってもよい。いくつかの実施形態では、Rは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルとすることができる。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有するアルコキシアルキルシランを含んでもよい:
(8)Si(OR4-xII
式中、RおよびRIIのそれぞれは、独立して選択されるアルキル基であってもよく、x=1~3であってもよい。いくつかの実施形態では、Rは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルとすることができる。いくつかの実施形態では、RIIは、独立して選択されるC~Cアルキル配位子であってもよい。
いくつかの実施形態によれば、いくつかのSi前駆体は、窒素を含まないが、以下の一般式を有するアルコキシシランを含んでもよい:
(9)Si(OR4-xII
式中、Rは独立して選択されるアルキル基であってもよく、RIIは炭素、水素、および/または酸素を含むが窒素を含まない任意のリガンドであってもよく、x=1~3であってもよい。いくつかの実施形態では、Rは、独立して選択されるC~Cアルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチルとすることができる。いくつかの実施形態では、RIIは、例えば、アルケニル、アルキニル、フェニル、カルボニル、アルデヒド、エステル、エーテル、カルボキシル、ペルオキシ、ヒドロペルオキシ、チオール、アクリレート、またはメタクリレート配位子を含むことができる。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式であってもよい:
(10)Si(OR4-xII
式中、x=0~3、Rは独立して選択されるC~CまたはC~Cのアルキル配位子であってもよく、RIIは炭素、および/または水素、および/または酸素からなる独立して選択される配位子であってもよい。例えば、いくつかの実施形態では、RIIはアルコキシアルキル基とすることができる。いくつかの実施形態では、RIIは、例えば、アルケニル、アルキニル、フェニル、カルボニル、アルデヒド、エステル、エーテル、カルボキシル、ペルオキシ、またはヒドロペルオキシ基とすることができる。いくつかの実施形態では、例えば、Rはメチル基であり、RIIは3-メトキシプロピル配位子であり、xは1である。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有してもよい:
(11)(RO)4-xSi-(RII-O-RIII
式中、x=0~3であり、RおよびRIIのそれぞれは独立して選択されるC~CまたはC~Cのアルキル配位子であってもよく、RIIIは炭素、および/または水素、および/または酸素からなる独立して選択される配位子であってもよい。例えば、いくつかの実施形態では、RIIIは、例えば、アルケニル、アルキニル、フェニル、カルボニル、アルデヒド、エステル、エーテル、カルボキシル、ペルオキシ、ヒドロペルオキシ基とすることができる。いくつかの実施形態では、例えば、R II、およびRIIIが、それぞれメチル、エチル、i-プロピル、n-プロピル、n-ブチル、i-ブチル、およびt-ブチルから独立して選択される基とすることができる。
いくつかの実施形態によれば、いくつかのSi前駆体は、以下の一般式を有してもよい:
(12)Si(R4-x-yII III
式中、x+y=0~4であり、Rは1~5個の炭素原子を有するアルコキシド配位子、またはハロゲン化物であり、RIIは硫黄を含む任意の配位子であり、RIIIはスルフヒドリル、スルフィド、ジスルフィド、スルフィニル、スルホニル、スルフィノ、スルフォ、チオシアネート、イソチオシアネート、またはカルボノチオイル官能性のうちの一つからなる。いくつかの実施形態ではR、RII、およびRIIIは、それぞれが独立して選択されることができる。いくつかの実施形態では、Rはメトキシ配位子を含むことができ、RIIは3-メルカプトプロピルを含むことができ、x=1、およびy=0であることができる。つまり、いくつかの実施形態では、あるSi前駆体はSi(OCHSHを含んでもよい。いくつかの実施形態では、Si前駆体は、メルカプトメチルメチルジエトキシシラン、3-メルカプトプロピルメチルジメトキシシラン、および/または3-メルカプトプロピルトリエトキシシランを含むことができる。
いくつかの実施形態では、シリコン前駆体はハロゲンを含まない。いくつかの実施形態では、シリコン前駆体は窒素を含まない。いくつかの実施形態では、炭素鎖は不飽和であり、炭素-炭素二重結合を含んでいてもよい。いくつかの他の実施形態では、炭素鎖は、炭素および水素以外の原子を含んでいてもよい。
いくつかの実施形態では、シリコン前駆体は、ビス(トリエトキシシリル)エタン(BTESE)を含むことができる。いくつかの実施形態では、シリコン前駆体は、3-メトキシプロピルトリメトキシシラン(MPTMSまたはSi(OCHOCH)を含むことができる。いくつかの実施形態では、シリコン前駆体は、(3-メルカプトプロピル)トリメトキシシランを含むことができる。
いくつかの実施形態では、ALDフェーズ中に、二つ以上のシリコン前駆体が同時に基材表面に接触する場合がある。いくつかの実施形態では、シリコン前駆体は、本明細書に記載のシリコン前駆体のうちの二つ以上を含んでもよい。いくつかの実施形態では、第一のシリコン前駆体は第一のALDサイクルで用いられ、第二の異なるALD前駆体は後のALDサイクルで用いられる。いくつかの実施形態では、例えば、堆積させた膜の特定の特性を最適化するために、単一のALDフェーズ中に複数のシリコン前駆体を用いてもよい。いくつかの実施形態では、ただ一つのシリコン前駆体だけが堆積中に基材に接触することができる。いくつかの実施形態では、堆積プロセス中に、一つのシリコン前駆体および一つの第二の反応物質または第二の反応物質の組成のみが存在してもよい。いくつかの実施形態では、堆積プロセス中に金属前駆体は存在しない。いくつかの実施形態では、シリコン前駆体はシリル化剤として用いられない。いくつかの実施形態では、堆積温度および/またはシリコン前駆体接触工程の持続時間は、シリコン前駆体が分解しないように選択される。いくつかの実施形態では、シリコン前駆体は、シリコン前駆体接触工程の間に分解することができる。いくつかの実施形態では、シリコン前駆体は、ハロゲン、例えば塩素もフッ素も含まない。
いくつかの実施形態では、第二の反応物質は、NおよびHの両方を有する化合物、例えばNHおよびN、N/Hの混合物、またはN-H結合を有する他の前駆体から形成される反応種を含むことができる。いくつかの実施形態では、第二の反応物質は、少なくとも部分的に、Nから形成されてもよい。いくつかの実施形態では、第二の反応物質は、少なくとも部分的に、HおよびNから形成されてもよく、HおよびNは、約100:1~約1:100、約20:1~約1:20、約10:1~約1:10、約5:1~約1:5、および/または約2:1~約4:1、ならびに場合によっては1:1の流量比(H/N)で供給される。例えば、SiOCNを堆積させるための水素含有プラズマは、本明細書に記載の一つまたは複数の比率でNとHの両方を使用して生成されることができる。
いくつかの実施形態では、第二の反応物質は、約1原子%(at%)未満の窒素、約0.1at%未満の窒素、約0.01at%未満の窒素、または約0.001at%未満の窒素を含むガスから生成されてもよい。いくつかの実施形態では、第二の反応物質は、Nも、NHも、Nも含まない。
金属酸化物の堆積
上述のように、いくつかの実施形態では、金属酸化物は、金属または金属性表面と比較して誘電体表面上に選択的に堆積される。金属酸化物は、例えば、本明細書に記載されるように、または参照により本明細書に組み込まれる、米国特許出願第62/502,118号に記載されるように、堆積しうる。
いくつかの実施形態では、SiOC以外の材料を含む薄膜は、本明細書に記載のプロセスによって選択的に堆積されうる。例えば、いくつかの実施形態では、金属酸化物膜は、酸素プラズマまたは励起酸素種を含まないPEALDプロセスによって選択的に堆積されてもよく、これは上述のSiOCおよびSiOCNについては本質的に説明したとおりだが、異なる第一の前駆体を使用している。これらの実施形態では、本明細書に記載のプロセスのシリコン前駆体の代わりに異なる金属前駆体が用いられる。いくつかの実施形態では、酸化チタン、酸化ニオブ、酸化タンタル、酸化タングステン、酸化アルミニウム、または他の金属酸化物の薄膜は、本明細書に記載のPEALDプロセスによって選択的に堆積されることができる。
いくつかの実施形態では、金属酸化物膜は、二つ以上の金属酸化物を含んでもよい。異なる金属は、同じ前駆体によって、または一つまたは複数の堆積サイクルで提供される二つ以上の異なる金属前駆体によって提供されてもよい。
いくつかの実施形態では、シリコンおよび金属の両方を含む酸化物膜は、酸素プラズマも励起酸素種も含まないPEALDプロセスによって、本明細書に記載されるように選択的に堆積されてもよい。いくつかの実施形態では、金属およびシリコンの両方を含む酸化物が選択的に堆積される。いくつかの実施形態では、酸化物膜は、金属酸化物およびシリコン酸化物の混合物を含んでもよい。いくつかの実施形態では、酸化物膜は、金属ケイ酸塩を含んでもよい。例えば、膜は、シリコン酸化物、および遷移金属酸化物、例えばZrO、HfO、またはTiO、Al、ランタニド(+Sc+Y)酸化物、Ta、またはNbのうちの一つまたは複数を含んでもよい。
いくつかの実施形態では、本明細書に記載のプロセスにおいてシリコン前駆体と共に金属前駆体が用いられる。いくつかの実施形態では、所望の組成を有する膜を選択的に堆積するために、金属酸化物を堆積させる堆積サイクルとシリコン酸化物を堆積させる堆積サイクルを、堆積プロセスにおいて選択される比で提供することができる。
いくつかの実施形態では、選択的堆積プロセスは、基材を第一の金属前駆体、第二のシリコン前駆体、および第三のプラズマ反応物質と交互にかつ連続的に接触させることを含む単一の堆積サイクルを含むことができる。金属およびシリコン前駆体ならびに第三の反応物質は、金属酸化物およびシリコン酸化物の堆積について本明細書に記載されるとおりとすることができる。堆積サイクルは、金属反応物質の供給、シリコン反応物質の供給、または第三の反応物質の供給から始めることができる。本明細書に記載のように、反応物質の各々の供給は、過剰な反応物質および反応副生成物が反応空間から除去されるパージ工程によって分離されてもよい。いくつかの実施形態では、金属前駆体とシリコン前駆体との比を選択および/または調整して、所望の組成を有する混合金属酸化物膜を提供する。
いくつかの実施形態では、本明細書に記載のプロセスによって金属を含む酸化物膜を堆積させるために使用される金属前駆体は、所望の金属及び酸素を含む揮発性化合物を含むことができる。本明細書に記載の酸素プラズマも酸素の励起種も含まないPEALDプロセスによる金属酸化物膜を堆積させるために用いられうる例示的な金属前駆体のリストを表1に示す。
Figure 2023018059000004
表1 金属酸化物薄膜の堆積のための前駆体
いくつかの実施形態では、本明細書に記載されるプロセスによって金属酸化物膜を堆積させるために用いられる金属前駆体は、下記式の揮発性化合物を含んでもよい:
M(ORx-yII
式中、Rは独立して選択される炭化水素基であってもよく、Mは金属またはGe、例えば遷移金属もしくはGe、Al、Ga、In、Sn、Pb、Bi、Sbであり、x+yは酸化状態に等しい、または金属原子の結合数、例えば3、4、5、もしくは6である。金属原子の二重結合または三重結合があるいくつかの実施形態では、x+yの値を決定する場合に、各二重結合または三重結合を二回または三回数える場合がある。
いくつかの実施形態では、RIIは、炭素、水素、窒素、ハロゲンおよび/または酸素を含む任意の配位子であってもよい。いくつかの実施形態では、Mは群:Ti、V、Cr、Mn、Zr、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir、およびPtから選択される遷移金属である。
いくつかの実施形態では、Mは群:Cu、Fe、Co、Niから選択される遷移金属である。いくつかの実施形態では、Mは群:Au、Pt、Ir、Pd、Os、Ag、Re、Rh、およびRuから選択される遷移金属である。
いくつかの実施形態では、Rは、独立して選択されるC1~C5アルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチル配位子であってもよい。いくつかの実施形態では、Rは、酸素もしくは窒素または別のヘテロ原子を含むことができる。
いくつかの実施形態では、RIIは、例えば、アルケニル、アルキニル、環状炭化水素、アミン、アルキアミン、フェニル、カルボニル、アルデヒド、エステル、エーテル、カルボキシル、ペルオキシ、ヒドロペルオキシ、チオール、アクリレート、またはメタクリレート配位子を含むことができる。
いくつかの実施形態では、上記の式の上記の配位子のうちの少なくとも一つは、酸素を含む。いくつかの実施形態では、Mは、1族または2族の金属元素でもありうる。
いくつかの実施形態では、本明細書に記載されるプロセスによって金属酸化物膜を堆積させるために用いられる金属前駆体は、下記式の揮発性化合物を含んでもよい:
M(OR
式中、Rは独立して選択されるアルキル基であってもよく、Mは金属またはGe、例えば遷移金属もしくはGe、Al、Ga、In、Sn、Pb、Bi、Sbであり、xは酸化状態に等しい、または金属原子の結合数、例えば3、4、5、もしくは6である。
金属原子の二重結合または三重結合があるいくつかの実施形態では、xの値を決定する場合に、各二重結合または三重結合を二回または三回数える場合がある。
いくつかの実施形態では、Rは、独立して選択されるC1~C5アルキル配位子、例えばメチル、エチル、n-プロピル、イソプロピル、tertブチル、またはペンチル配位子であってもよい。
いくつかの実施形態では、Mは群:Ti、V、Cr、Mn、Zr、Nb、Mo、Ru、Rh、Pd、Ag、Au、Hf、Ta、W、Re、Os、Ir、およびPtから選択される遷移金属である。いくつかの実施形態では、Mは群:Cu、Fe、Co、Niから選択される遷移金属である。いくつかの実施形態では、Mは群:Au、Pt、Ir、Pd、Os、Ag、Re、Rh、およびRuから選択される遷移金属である。いくつかの実施形態では、Mは、希土類元素、例えばSc、Y、La、Ce、またはNdであってもよい。
いくつかの実施形態では、本明細書に記載のプロセスによって金属酸化物膜を堆積させるために用いられる金属前駆体は、少なくとも一つの多座配位子、例えば二座配位子、例えばベータジケトネート配位子(acac、thd)、または少なくとも一つの酸素を介して金属原子に結合している別の多座/二座配位子を含んでもよい。いくつかの実施形態では、本明細書に記載のプロセスによって金属酸化物膜を堆積させるために用いられる金属前駆体は、環状配位子、例えばシクロペンタジエニル配位子を含んでもよい。
いくつかの実施形態では、本明細書に記載のプロセスによって金属酸化物膜を堆積させるために用いられる金属前駆体は、アルコキシド前駆体またはアルコキシド配位子を含んでもよい。いくつかの実施形態では、本明細書に記載のプロセスによって金属酸化物膜を堆積させるために用いられる金属前駆体は、少なくとも一つの金属-酸素結合を含む。いくつかの実施形態では、本明細書に記載のプロセスによって金属酸化物膜を堆積させるために用いられる金属前駆体は、金属-酸素結合を含まないが、配位子に酸素を含む。いくつかの実施形態では、金属前駆体は、金属またはGe、例えば遷移金属またはGe、Al、Ga、In、Sn、Pb、Bi、Sbを含む。いくつかの実施形態では、金属前駆体は、1族または2族の金属元素を含む。いくつかの実施形態では、Mは、希土類元素、例えばSc、Y、La、Ce、またはNdであってもよい。
いくつかの実施形態では、本明細書に記載のプロセスにしたがって、フォトレジストまたは他の有機材料を含む基材上に金属酸化物膜を堆積させることができる。いくつかの実施形態では、金属酸化物膜を基材上に堆積させることができ、そうでなければこの基材は酸素プラズマを含むPEALDプロセスによって破壊される可能性がある。
いくつかの実施形態では、金属酸化物膜は、有機パッシベーション層などのパッシベーション層を含む第二の表面と比較して第一の表面上に選択的に堆積される。いくつかの実施形態では、金属酸化物堆積は、パッシベーション層の一部または全てを除去する役割も果たしうる。例えば、金属酸化物膜は、金属または金属性表面と比較して第一の誘電体表面上に選択的に堆積されてもよく、金属または金属性表面は、有機パッシベーション層などのパッシベーション層を含んでもよい。
いくつかの実施形態では、本明細書に記載のPEALDプロセスにしたがって堆積される金属酸化物膜は、酸素プラズマまたは励起酸素種を含むPEALDプロセスによって堆積される同様の金属酸化物膜のウェットエッチング耐性よりも高いウェットエッチング耐性を有することができる。本明細書に記載のように、いくつかの実施形態では、所望のステップカバレッジおよび/またはWERRを達成するために、PEALDプロセスにおいて、範囲、例えばSiOCの堆積に関して本明細書に記載の範囲からプラズマ電力を選択することにより、金属酸化物膜の形成を制御することができる。すなわち、いくつかの実施形態では、本明細書に記載のSiOC膜の形成を制御するために用いられるプロセス条件は、金属酸化物膜の形成を制御するために用いられることができる。
いくつかの実施形態では、金属酸化物薄膜を堆積させるためのPEALDプロセスで用いられる第二の反応物質は、SiOCの堆積に関して本明細書で説明される第二の反応物質と同じである。いくつかの実施形態では、第二の反応物質は、貴ガス、例えばArを含むガス中で生成されるプラズマを含む。上記のように、いくつかの実施形態では、第二の反応物質は、酸素を含まないガス中で生成されるプラズマである。いくつかの実施形態では、第二の反応物質は、Ar中で生成されるプラズマ、ArおよびN中で生成されるプラズマ、またはArおよびH中で生成されるプラズマを含む。いくつかの実施形態では、第二の反応物質は、金属酸化物膜の特定の成分、例えば炭素および/または窒素の量を制御するために選択されることができる。さらに、プラズマ電力を制御して、膜の組成を調整することができる。
いくつかの実施形態では、チタンを含む金属酸化物は、酸素プラズマも他の酸素反応物質も用いないPEALDプロセスによって堆積される。例えば、酸化チタン膜は、非酸素プラズマと組み合わせてチタンイソプロポキシド(IV)(TTIP)を用いてPEALDプロセスによって堆積されてもよい。いくつかの実施形態では、TTIPは、Ar中で生成されるプラズマ、Arおよび窒素を含むガス中で生成されるプラズマ、またはArおよび水素を含むガス中で生成されるプラズマと組み合わせてPEALDプロセスで利用される。いくつかの実施形態では、炭素を含む酸化チタン膜は、チタン反応物質、例えばTTIPが貴ガス中で、例えば純粋なArガス中で生成されるプラズマと組み合わせて利用される、PEALDプロセスによって堆積される。炭素の量は、プラズマ電力を変えることによって調整されることができる。いくつかの実施形態では、炭素および窒素を含む酸化チタン膜(TiO(CN))は、窒素を含むガス中、例えばArおよびNを含むガス中で生成されるプラズマと組み合わせてチタン反応物質、例えばTTIPを用いるPEALDプロセスによって堆積される。
実験は、200および125°CのSiOC PEALDプロセスで、3-メトキシプロピルトリメトキシシラン(MPTMS)およびH/Arプラズマを用いて実施された。Natox、WおよびCuは、選択性を調査するための表面として使用された。25、50、および200サイクルを適用した後、XPSスペクトルが記録された。図2は、両方の温度で、Cu上よりもW上でSiがより多く存在することを示す。200℃で100サイクル後にCu上でSiが検出されなかった。この選択性は、natoxとCuの間でも実現できる。
Cuおよびlow k表面を含む基材上のポリイミドパッシベーション層を含む基材上にも、SiOCを堆積させた。SiOCは、MPTMSおよびH2プラズマから堆積され、10at%未満の炭素濃度を有するSiOC膜が形成された。プラズマは、67ワットまたは300ワットの電力で0.5秒間提供された。4.7Torrの圧力を利用した。図3および4に示されるように、両方の電力設定で、SiOC成長はlow-k表面上では観察されたが、Cu表面上では観察されなかった。
他の実験では、SiOCは、MPTMSおよびH2/ArプラズマからPEALDによって200℃~300℃の堆積温度で、0.1~0.4標準リットル/分のH流量(2標準リットル/分を超えるAr並行流)および30~100Wのプラズマ電力で堆積された。SiOC膜を、化学酸化物ならびにTiN表面およびW表面を含むシリコン基材上に堆積させた。シリコン酸化物上では、プロセス条件は、非常に低いk値(<3.5)および非常に低いウェットエッチング速度(dHF 0.7%中で<1nm/分)を有するSiOC膜を製造するために調整されうる。0.2標準リットル/分のH2流量および70Wの電力で、約300℃で最小限のk値およびウェットエッチング速度が観察された。このような条件下で、堆積したSiOC層は約4のk値および1nm/分のウェットエッチング速度を有することが見いだされた。SiOCの堆積は、WおよびTiNを含む多数の材料に対して選択的であることも観察された。プロセスでは、500サイクルの後、Wでは1nm未満、SiOでは約10.5nmの膜を生成する。
また、下記の表1に示すように、より低い温度がTiN上でのSiOCの成長を促進することが観察された。約6.3nmのSiOCが、200℃で500サイクルのTiN上に堆積されたが、300℃で500サイクルのTiN上ではほとんど成長が見られなかった。対照的に、200℃で500サイクルのSiO上に約10nm、300℃で約5.5nmのSiOCが堆積された。
Figure 2023018059000005
表2
酸化チタンの薄膜を、チタン前駆体としてチタンイソプロポキシド(IV)(TTIP)を用いて酸素を含まないPEALDプロセスによって直接プラズマPEALD反応器内で堆積させた。TTIPは70℃で加熱された供給源ボトルから供給された。TTIP反応物質は、三つの異なるプラズマ反応物質と交互にかつ連続的に供給された。Ar、ArおよびN、ならびにArおよびH中でプラズマが生成された。実験は、200℃の堆積温度で実施された。図5Aは、得られた膜の屈折率を示す。H含有プラズマの場合、屈折率はTiOの屈折率に非常に近い。しかし、N含有プラズマおよび純粋なArプラズマは、可変膜組成を示す異なる屈折率を示す。図5Bは、三つの異なるプラズマ反応物質を用いる酸化チタン膜の成長速度を示す。
以下の表3は、RBSおよびXPSから得られる組成データを示す。XPSおよびRBSの両方は、TiO膜がH含有プラズマにより堆積されることを示す。XRD測定では結晶構造は見られなかった。非晶質TiOが堆積されたことを示している。0.5%dHF溶液中の熱シリコン酸化物(TOX)に対するウェットエッチング速度比(WERR)は、約0.5であった。この低WERRは、一部のパターニング用途において有用な膜を作る。4点プローブの比抵抗測定値は、堆積させたTiO膜の極めて高い抵抗率を示した。
純粋なArプラズマをプラズマ反応物質として用いた場合、得られる膜は炭素リッチTiOC膜であった。炭素含有量は、プラズマ電力を調整することによって変更することができる。さらに、N含有プラズマにより窒素を膜に導入し、TiOCN膜を得る。
Figure 2023018059000006
表3
当業者であれば、本発明の精神から逸脱することなく、多くの様々な変更が可能であることを理解するであろう。記載の特徴、構造、特性および前駆体は、任意の適切な方法で組み合わせることができる。したがって、本発明の形態は例示的なものにすぎず、本発明の範囲を限定するものではないことは明らかである。添付の特許請求の範囲によって規定された通り、すべての修正および変更は本発明の範囲内に入ることが意図される。

Claims (24)

  1. 基材の誘電体表面上に酸化物を選択的に堆積させるためのプラズマ増強原子層堆積(PEALD)プロセスであって、
    第一の誘電体表面および第二の金属表面を含む基材を提供することと、
    前記基材を、酸素を含む第一のシリコン前駆体と、水素を含むが酸素を含まないガス中で生成されたプラズマからの反応種を含む第二の反応物質とに交互にかつ連続的に接触させることを含む少なくとも一つの堆積サイクルを実施することとを含み、
    前記第一の前駆体は、前記基材表面上に吸着し、前記第二の反応物質は、前記吸着した第一の前駆体と反応して、前記第二の金属表面と比較して前記第一の誘電体表面上に酸化物を選択的に形成する、プラズマ増強原子層堆積(PEALD)プロセス。
  2. 前記第二の反応物質はまた、前記金属表面と反応して、前記金属表面上の任意の金属酸化物を還元する、請求項1に記載の方法。
  3. 前記第二の反応物質はまた、前記金属表面と反応して、前記金属表面から酸素を除去する、請求項1に記載の方法。
  4. 前記金属表面から酸素を除去することが、前記金属表面からOH基または酸素架橋を除去することを含む、請求項3に記載の方法。
  5. 前記誘電体表面がSiOを含む、請求項1に記載の方法。
  6. 前記誘電体表面がlow-k材料を含む、請求項1に記載の方法。
  7. 前記金属表面がRu、Co、CuまたはWを含む、請求項1に記載の方法。
  8. 前記金属表面がTiNを含む、請求項1に記載の方法。
  9. 前記酸化物がSiO、SiOCまたはSiOCNである、請求項1に記載の方法。
  10. 前記酸化物が金属酸化物である、請求項1に記載の方法。
  11. 前記酸化物が金属およびシリコンを含む、請求項1に記載の方法。
  12. 前記第一の前駆体が、3-メトキシプロピルトリメトキシシラン(MPTMS)を含む、請求項1に記載の方法。
  13. 前記堆積サイクルが、前記基材を前記第二の反応物質と接触させることで始まる、請求項1に記載の方法。
  14. 前記堆積サイクルを開始する前に、前記基材を第三のプラズマ反応物質と接触させることをさらに含む、請求項1に記載の方法。
  15. 前記堆積サイクルが二回以上繰り返されて、前記誘電体表面上に所望の厚さの酸化物膜を形成する、請求項1に記載の方法。
  16. 前記金属表面がパッシベーション層を含む、請求項1に記載の方法。
  17. 前記パッシベーション層が有機パッシベーション層である、請求項16に記載の方法。
  18. 前記有機パッシベーション層が、前記第一の堆積サイクルの開始前に、前記誘電体表面と比較して前記金属表面上に選択的に堆積される、請求項17に記載の方法。
  19. 前記パッシベーション層が、各堆積サイクル中に前記第二のプラズマ反応物質によってエッチングされる、請求項16に記載の方法。
  20. プラズマ増強原子層堆積(PEALD)プロセスによって、基材の金属表面と比較して前記基材の誘電体表面上にSiOC薄膜を選択的に堆積させる方法であって、前記基材を、シリコンおよび酸素を含む第一の反応物質と、水素を含むが酸素を含まないガス中で生成されたプラズマを含む第二の反応物質とに交互にかつ連続的に接触させることを含む、方法。
  21. 前記第二の反応物質は、HおよびArを含むガス中にプラズマを生成することにより形成される、請求項20に記載の方法。
  22. 前記プラズマが約30~200Wの電力を使用して生成される、請求項21に記載の方法。
  23. 前記PEALDプロセスが約50~300℃の堆積温度で実施される、請求項20に記載の方法。
  24. 前記金属表面がCo、Ru、Ni、W、TiN、CuまたはTaを含む、請求項20に記載の方法。
JP2022185876A 2017-05-16 2022-11-21 誘電体上の酸化物の選択的peald Active JP7470173B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762507078P 2017-05-16 2017-05-16
US62/507,078 2017-05-16
JP2019563260A JP7183187B2 (ja) 2017-05-16 2018-05-03 誘電体上の酸化物の選択的peald
PCT/US2018/030979 WO2018213018A1 (en) 2017-05-16 2018-05-03 Selective peald of oxide on dielectric

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2019563260A Division JP7183187B2 (ja) 2017-05-16 2018-05-03 誘電体上の酸化物の選択的peald

Publications (3)

Publication Number Publication Date
JP2023018059A true JP2023018059A (ja) 2023-02-07
JP2023018059A5 JP2023018059A5 (ja) 2023-05-11
JP7470173B2 JP7470173B2 (ja) 2024-04-17

Family

ID=64274551

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019563260A Active JP7183187B2 (ja) 2017-05-16 2018-05-03 誘電体上の酸化物の選択的peald
JP2022185876A Active JP7470173B2 (ja) 2017-05-16 2022-11-21 誘電体上の酸化物の選択的peald

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019563260A Active JP7183187B2 (ja) 2017-05-16 2018-05-03 誘電体上の酸化物の選択的peald

Country Status (6)

Country Link
US (2) US11170993B2 (ja)
JP (2) JP7183187B2 (ja)
KR (1) KR20200007823A (ja)
CN (2) CN115233183A (ja)
TW (3) TWI829584B (ja)
WO (1) WO2018213018A1 (ja)

Families Citing this family (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US11094535B2 (en) * 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) * 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
EP3973566A4 (en) * 2019-05-20 2023-05-24 Lam Research Corporation SIXNY USED AS A NUCLEATION LAYER FOR SICXOY
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110718647A (zh) 2019-09-25 2020-01-21 武汉华星光电半导体显示技术有限公司 薄膜的制备方法及显示装置的制备方法
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112951985A (zh) * 2019-12-11 2021-06-11 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
WO2022119860A1 (en) * 2020-12-01 2022-06-09 Versum Material Us, Llc Selective thermal atomic layer deposition
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
JP2022135709A (ja) * 2021-03-05 2022-09-15 東京エレクトロン株式会社 成膜方法及び成膜装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240120195A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Dielectric on dielectric selective deposition using aniline passivation

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61198732A (ja) * 1985-02-28 1986-09-03 Fujitsu Ltd 酸化膜の選択成長方法
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
JP2003276111A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層フィルム
JP2003332426A (ja) * 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US20040214427A1 (en) * 2003-04-24 2004-10-28 Kloster Grant M. Forming thin hard mask over air gap or porous dielectric
JP2008510075A (ja) * 2004-08-18 2008-04-03 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
JP2010041038A (ja) * 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
JP2011521452A (ja) * 2008-05-13 2011-07-21 アプライド マテリアルズ インコーポレイテッド コンフォーマルpecvd膜を使用するクリティカルディメンジョンシュリンクのための方法
JP2014063859A (ja) * 2012-09-20 2014-04-10 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014116517A (ja) * 2012-12-11 2014-06-26 Tokyo Electron Ltd 金属化合物膜の成膜方法、成膜装置、電子製品の製造方法および電子製品
JP2014135475A (ja) * 2012-12-13 2014-07-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置およびプログラム
US20150380302A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
WO2016138284A1 (en) * 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US20160322214A1 (en) * 2015-05-02 2016-11-03 Applied Materials, Inc. Methods For Depositing Low K And Low Wet Etch Rate Dielectric Thin Films

Family Cites Families (305)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6156651A (en) * 1996-12-13 2000-12-05 Texas Instruments Incorporated Metallization method for porous dielectrics
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
TW465048B (en) * 1999-03-26 2001-11-21 Taiwan Semiconductor Mfg Method of forming tungsten plugs in interlayer dielectrics using mixed mode deposition process
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
AU6785900A (en) 1999-08-19 2001-03-13 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
JP4382219B2 (ja) 1999-10-29 2009-12-09 日本電気株式会社 多結晶シリコン膜の水素化処理方法および薄膜トランジスタの製造方法
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
JP4703810B2 (ja) 2000-03-07 2011-06-15 東京エレクトロン株式会社 Cvd成膜方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
KR100719177B1 (ko) 2000-07-31 2007-05-17 주식회사 하이닉스반도체 선택적 원자층 증착법을 이용한 텅스텐막 형성 방법
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
JP4333900B2 (ja) 2000-11-30 2009-09-16 エーエスエム インターナショナル エヌ.ヴェー. 磁気メモリセル、磁気構造体及び磁気素子の製造方法、並びに磁気構造体用金属層の成長方法
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
WO2004009861A2 (en) 2002-07-19 2004-01-29 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7041609B2 (en) 2002-08-28 2006-05-09 Micron Technology, Inc. Systems and methods for forming metal oxides using alcohols
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR20140096288A (ko) 2002-11-15 2014-08-05 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US6802945B2 (en) 2003-01-06 2004-10-12 Megic Corporation Method of metal sputtering for integrated circuit metal routing
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
EP1623454A2 (en) 2003-05-09 2006-02-08 ASM America, Inc. Reactor surface passivation through chemical deactivation
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
KR20050103811A (ko) 2004-04-27 2005-11-01 삼성에스디아이 주식회사 플라즈마 증착 공정에 의해 형성된 박막트랜지스터
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7276433B2 (en) 2004-12-03 2007-10-02 Micron Technology, Inc. Methods of forming integrated circuitry, methods of forming memory circuitry, and methods of forming field effect transistors
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US8025922B2 (en) 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7084060B1 (en) 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
JP2009510074A (ja) 2005-09-29 2009-03-12 プラクスエア・テクノロジー・インコーポレイテッド 有機金属化合物及びその使用方法
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US20110198756A1 (en) 2005-11-28 2011-08-18 Thenappan Ue Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
KR100933374B1 (ko) 2006-01-13 2009-12-22 도쿄엘렉트론가부시키가이샤 다공질 막의 성막 방법 및 컴퓨터 판독가능한 기록 매체
US7695567B2 (en) 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
WO2007140813A1 (en) 2006-06-02 2007-12-13 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
JP4881262B2 (ja) 2006-11-28 2012-02-22 株式会社荏原製作所 基板の表面処理方法
DE102007004867B4 (de) 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
CN103147062A (zh) 2007-09-14 2013-06-12 西格玛-奥吉奇有限责任公司 采用单环戊二烯基三烷氧基铪和锆前体通过原子层沉积制备薄膜的方法
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
EP2222889A4 (en) 2007-11-06 2010-12-29 Hcf Partners L P ATOM LOCATION SEPARATION PROCESS
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) * 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US7993950B2 (en) 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009295A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
CN102132422A (zh) 2008-08-27 2011-07-20 应用材料股份有限公司 利用印刷介电阻障的背接触太阳能电池
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
CN102197459A (zh) 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
JP2012510706A (ja) 2008-12-01 2012-05-10 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー 有機電子デバイス用のアノード
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
KR101556238B1 (ko) 2009-02-17 2015-10-01 삼성전자주식회사 매립형 배선라인을 갖는 반도체 소자의 제조방법
US8242019B2 (en) * 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
GB0906105D0 (en) 2009-04-08 2009-05-20 Ulive Entpr Ltd Mixed metal oxides
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP2011018742A (ja) 2009-07-08 2011-01-27 Renesas Electronics Corp 半導体装置の製造方法
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
US20120189868A1 (en) 2009-07-31 2012-07-26 Akzo Nobel Chemicals International B.V. Process for the preparation of a coated substrate, coated substrate, and use thereof
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8173554B2 (en) * 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
WO2011160004A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
JP5400964B2 (ja) 2010-07-01 2014-01-29 東京エレクトロン株式会社 半導体装置の製造方法
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
US8822350B2 (en) 2010-11-19 2014-09-02 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing method and substrate processing apparatus
DE102011012515A1 (de) 2011-02-25 2012-08-30 Umicore Ag & Co. Kg Metallkomplexe mit N-Amino-Amidinat-Liganden
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
US8921228B2 (en) * 2011-10-04 2014-12-30 Imec Method for selectively depositing noble metals on metal/metal nitride substrates
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
JP6043546B2 (ja) 2011-10-21 2016-12-14 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9194840B2 (en) * 2012-01-19 2015-11-24 Life Technologies Corporation Sensor arrays and methods for making same
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US9978585B2 (en) * 2012-06-01 2018-05-22 Versum Materials Us, Llc Organoaminodisilane precursors and methods for depositing films comprising same
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
EP2875166B1 (en) 2012-07-20 2018-04-11 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
JP6022274B2 (ja) 2012-09-18 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US10279959B2 (en) * 2012-12-11 2019-05-07 Versum Materials Us, Llc Alkoxysilylamine compounds and applications thereof
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
WO2014119693A1 (ja) 2013-01-31 2014-08-07 大日本印刷株式会社 電子線硬化性樹脂組成物、リフレクター用樹脂フレーム、リフレクター、半導体発光装置、及び成形体の製造方法
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US8980734B2 (en) 2013-03-08 2015-03-17 Freescale Semiconductor, Inc. Gate security feature
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US9136110B2 (en) 2013-03-15 2015-09-15 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
US9018054B2 (en) * 2013-03-15 2015-04-28 Applied Materials, Inc. Metal gate structures for field effect transistors and method of fabrication
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
JP2015012179A (ja) 2013-06-28 2015-01-19 住友電気工業株式会社 気相成長方法
CN110323268B (zh) 2013-06-28 2023-01-03 英特尔公司 基于选择性外延生长的iii-v族材料的器件
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
EP3046991B1 (en) 2013-09-20 2019-10-30 Baker Hughes, a GE company, LLC Composites for use in stimulation and sand control operations
WO2015047345A1 (en) 2013-09-27 2015-04-02 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) * 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
WO2015094305A1 (en) 2013-12-19 2015-06-25 Intel Corporation Self-aligned gate edge and local interconnect and method to fabricate same
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
JP5883049B2 (ja) 2014-03-04 2016-03-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
WO2015147858A1 (en) 2014-03-28 2015-10-01 Intel Corporation Selective epitaxially grown iii-v materials based devices
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
EP3026055A1 (en) 2014-11-28 2016-06-01 Umicore AG & Co. KG New metal N-aminoguanidinate complexes for use in thin film fabrication and catalysis
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
US9816180B2 (en) 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US10421766B2 (en) * 2015-02-13 2019-09-24 Versum Materials Us, Llc Bisaminoalkoxysilane compounds and methods for using same to deposit silicon-containing films
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9805914B2 (en) 2015-04-03 2017-10-31 Applied Materials, Inc. Methods for removing contamination from surfaces in substrate processing systems
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US20160314964A1 (en) * 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US9343297B1 (en) * 2015-04-22 2016-05-17 Asm Ip Holding B.V. Method for forming multi-element thin film constituted by at least five elements by PEALD
CN113936994A (zh) * 2015-05-01 2022-01-14 应用材料公司 使用表面封端化学性质的薄膜电介质的选择性沉积
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
CN108064225A (zh) 2015-06-18 2018-05-22 英特尔公司 用于第二或第三行过渡金属薄膜的沉积的固有地选择性前驱体
JP5957128B2 (ja) 2015-07-29 2016-07-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) * 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) * 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US20170051405A1 (en) * 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US9523148B1 (en) * 2015-08-25 2016-12-20 Asm Ip Holdings B.V. Process for deposition of titanium oxynitride for use in integrated circuit fabrication
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9793139B2 (en) * 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) * 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
KR102182550B1 (ko) 2016-04-18 2020-11-25 에이에스엠 아이피 홀딩 비.브이. 유도된 자기-조립층을 기판 상에 형성하는 방법
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10171919B2 (en) 2016-05-16 2019-01-01 The Regents Of The University Of Colorado, A Body Corporate Thermal and thermoacoustic nanodevices and methods of making and using same
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) * 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) * 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
WO2018063815A1 (en) * 2016-10-02 2018-04-05 Applied Materials, Inc. Doped selective metal caps to improve copper electromigration with ruthenium liner
US10358719B2 (en) * 2016-11-23 2019-07-23 Applied Materials, Inc. Selective deposition of aluminum oxide on metal surfaces
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10186420B2 (en) * 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
US11501965B2 (en) * 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
KR102627238B1 (ko) 2017-05-05 2024-01-19 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
CN115233183A (zh) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US10332747B1 (en) * 2018-01-24 2019-06-25 Globalfoundries Inc. Selective titanium nitride deposition using oxides of lanthanum masks
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61198732A (ja) * 1985-02-28 1986-09-03 Fujitsu Ltd 酸化膜の選択成長方法
US6589887B1 (en) * 2001-10-11 2003-07-08 Novellus Systems, Inc. Forming metal-derived layers by simultaneous deposition and evaporation of metal
JP2003276111A (ja) * 2002-03-26 2003-09-30 Dainippon Printing Co Ltd 積層フィルム
JP2003332426A (ja) * 2002-05-17 2003-11-21 Renesas Technology Corp 半導体装置の製造方法および半導体装置
US20040214427A1 (en) * 2003-04-24 2004-10-28 Kloster Grant M. Forming thin hard mask over air gap or porous dielectric
JP2008510075A (ja) * 2004-08-18 2008-04-03 ダウ・コーニング・コーポレイション コーティングを有する基板及びその調製方法
JP2011521452A (ja) * 2008-05-13 2011-07-21 アプライド マテリアルズ インコーポレイテッド コンフォーマルpecvd膜を使用するクリティカルディメンジョンシュリンクのための方法
JP2010041038A (ja) * 2008-06-27 2010-02-18 Asm America Inc 重要な用途のための二酸化ケイ素の低温熱でのald
JP2014063859A (ja) * 2012-09-20 2014-04-10 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2014116517A (ja) * 2012-12-11 2014-06-26 Tokyo Electron Ltd 金属化合物膜の成膜方法、成膜装置、電子製品の製造方法および電子製品
JP2014135475A (ja) * 2012-12-13 2014-07-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法、基板処理装置およびプログラム
US20150380302A1 (en) * 2014-06-30 2015-12-31 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
WO2016138284A1 (en) * 2015-02-26 2016-09-01 Applied Materials, Inc. Methods for selective dielectric deposition using self-assembled monolayers
US20160322214A1 (en) * 2015-05-02 2016-11-03 Applied Materials, Inc. Methods For Depositing Low K And Low Wet Etch Rate Dielectric Thin Films

Also Published As

Publication number Publication date
TWI763839B (zh) 2022-05-11
TW202229635A (zh) 2022-08-01
JP2020520126A (ja) 2020-07-02
WO2018213018A1 (en) 2018-11-22
JP7470173B2 (ja) 2024-04-17
CN110651064B (zh) 2022-08-16
KR20200007823A (ko) 2020-01-22
JP7183187B2 (ja) 2022-12-05
US11728164B2 (en) 2023-08-15
TWI803270B (zh) 2023-05-21
TW202330993A (zh) 2023-08-01
TW201900918A (zh) 2019-01-01
US20220076949A1 (en) 2022-03-10
CN115233183A (zh) 2022-10-25
CN110651064A (zh) 2020-01-03
US11170993B2 (en) 2021-11-09
TWI829584B (zh) 2024-01-11
US20200066512A1 (en) 2020-02-27

Similar Documents

Publication Publication Date Title
JP7183187B2 (ja) 誘電体上の酸化物の選択的peald
US11501965B2 (en) Plasma enhanced deposition processes for controlled formation of metal oxide thin films
JP7249952B2 (ja) 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
JP7135187B2 (ja) SiOCN薄膜の形成
KR102515145B1 (ko) SiOC 박막의 형성
KR20230047002A (ko) 유기 재료의 선택적 증착

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221221

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221221

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230620

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20231120

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231220

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240312

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240405

R150 Certificate of patent or registration of utility model

Ref document number: 7470173

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150