JP2012209552A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2012209552A
JP2012209552A JP2012064435A JP2012064435A JP2012209552A JP 2012209552 A JP2012209552 A JP 2012209552A JP 2012064435 A JP2012064435 A JP 2012064435A JP 2012064435 A JP2012064435 A JP 2012064435A JP 2012209552 A JP2012209552 A JP 2012209552A
Authority
JP
Japan
Prior art keywords
semiconductor device
manufacturing
mask
pattern
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012064435A
Other languages
English (en)
Other versions
JP5925546B2 (ja
Inventor
Eiichi Soda
栄一 曽田
yun-peng Yin
イン ユンペン
Kanakasabapathy Sivananda
カナカサバパティ シバナンダ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
International Business Machines Corp
Original Assignee
Renesas Electronics Corp
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp, International Business Machines Corp filed Critical Renesas Electronics Corp
Publication of JP2012209552A publication Critical patent/JP2012209552A/ja
Application granted granted Critical
Publication of JP5925546B2 publication Critical patent/JP5925546B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】半導体装置における配線形状を改善すること。
【解決手段】半導体装置の製造方法は、溝領域を規定する第1パターンを有する第1マスクを、サイドウォール形状の転写により、半導体装置の層間膜に設けられた金属膜上に形成する工程と、前記第1パターンに重なる少なくとも一つの開口を有する第2マスクを、平面図で見た場合に、前記2マスクが前記第1マスクに重なり、前記開口が前記溝領域に重なるように、形成し、第2パターンを形成する工程と、前記第1及び第2マスクを介して、前記層間膜をエッチングし、前記第1パターンを前記層間膜に転写させる工程と、前記第2マスクを介して前記層間膜をエッチングし、前記第2パターンを前記層間膜に転写させる工程とを具備する。前記第1パターンは、前記第2パターンとは異なる深さで前記層間膜に形成される。
【選択図】図15

Description

本発明は、集積回路の製造方法に関する。特に、半導体装置における配線構造の製造方法に関する。
半導体装置の微細化により、配線の低抵抗化及び低容量化が要求されている。配線及びビアとして、アルミニウムではなく、銅が用いられるようになってきている。これらの構造を銅により形成する場合、銅のドライエッチングが困難である観点から、デュアルダマシンプロセスが一般的に用いられる。
デュアルダマシンプロセスは、大まかに、トレンチファースト方式とビアファースト方式に分けられる。各方式には、様々なサブ方式がある。例えば、米国特許第6083824号は、下層の層間絶縁膜中に形成されるトレンチパターンを規定するハードマスクをパターニングし、その後、ビアホールパターンを形成する為のフォトレジストを、ハードマスクを覆うように形成し、トレンチとビアのアライメントを向上させる点を開示している。ビアは、フォトレジスト及びハードマスクを貫通するようにエッチングされ、その後、フォトレジストが除去され、トレンチがハードマスクを介して形成される。
しかしながら、チップサイズ及び回路レイアウトが縮小し続けているため、配線構造を形成する層のアスペクト比が増大し、厚いハードマスクが必要となっている。その上、トレンチパターンを形成するためのハードマスクがビアのアライメントにも使用されるトレンチファースト方式では、マスクは、2回、エッチング工程に晒される場合がある。このような方式は、ハードマスクの厚みを増加させ、選択的なエッチング条件をビア形成時の絶縁層のエッチング条件として決定したとしても、ハードマスク層の侵食の点で制限を受ける。更には、厚いマスク層を用いたとしても、必ずしも配線構造を形成する層のアスペクト比は増大せず、層間構造のパターン倒壊につながる。
米国特許第6083824号
本願発明者らは、サイドウォール形状の転写をトレンチパターンの形成に利用し、残存したサイドウォールを次のビア形成時における犠牲マスクとして用いることにより、半導体装置における配線形状を改善できることを見出した。特に絶縁材料を用いた場合、残存するサイドウォールが比較的高くなり、下層のメタルハードマスク層の厚みを従来技術よりもかなり薄くすることが可能になる。
その他の本発明の目的、特徴及び作用は、以下の発明の詳細な説明、図面の記載から、明かとなるであろう。
本発明の好適な実施形態に係る第1工程における半導体装置を示す断面図である。 図1に示される半導体装置の次の工程における断面図である。 図2に示される半導体装置の次の工程における断面図である。 図3に示される半導体装置の次の工程における断面図である。 図4に示される半導体装置の次の工程における断面図である。 図5に示される半導体装置の次の工程における断面図である。 図6に示される半導体装置の次の工程における断面図である。 図7に示される半導体装置の次の工程における断面図である。 図8に示される半導体装置の次の工程における断面図である。 図9に示される半導体装置の次の工程における断面図である。 図10に示される半導体装置の次の工程における断面図である。 図11に示される半導体装置の次の工程における断面図である。 図12に示される半導体装置の次の工程における断面図である。 図13Aに示される半導体装置の平面図である。 図13A、図13Bに示される半導体装置の次の工程における断面図である。 図14に示される半導体装置の次の工程における断面図である。 図1に示される半導体装置の図4に示される工程の次工程における断面図であり、本発明の第2の実施形態の製造方法を示す図である。 図16に示される半導体装置の次の工程における断面図である。 図17に示される半導体装置の次の工程における断面図である。 図18に示される半導体装置の次の工程における断面図である。 図19に示される半導体装置の次の工程における断面図である。 図20に示される半導体装置の次の工程における断面図である。 図21に示される半導体装置の次の工程における断面図である。 図22に示される半導体装置の次の工程における断面図である。 第3の実施形態に係る半導体装置のビアエッチング時の断面図である。 図24Aに示される装置の平面図である。 トレンチファーストメタルマスク集積における自己整合ビアの形成方法を概念的に示す平面図である。 従来のメタルハードマスクを利用した自己整合によるビアの製造方法を示す図である。 図26Aの平面図である。 図26Aの次の工程を示す断面図である。 図27Aの平面図である。 図26Aの次の工程を示す断面図である。 図28Aの平面図である。
従来の自己整合によるビア(SAV)形成方法には、いわゆる「トレンチファースト」があり、トレンチパターンがメタルハードマスクによって形成される。図25に示されるように、ビアVwは、Mxトレンチに平行なX方向において自己整合するが、Mx+1トレンチに平行なY方向においては自己整合しない。Mx+1トレンチのためのトレンチパターンが予め形成されたメタルハードディスクは、ビアVwをX方向において限定することを目的とするものである。
図26Aの断面図及び図26Bの平面図において、参照番号11は、絶縁キャップ層であり、図示された配線層と図示しない下層の配線層(若しくは図示された配線層が第1配線層である場合には半導体基板)とを分ける層である。一方、参照番号25は、層間絶縁膜であり、その上には更なる絶縁キャップ層13が設けられている。絶縁キャップ層13上にはメタルハードマスク層15が設けられている。層15には、予め、トレンチパターンに対応する開口が設けられている。ハードマスク層15上には、有機平坦化層(OPL)37、反射防止膜39、及びビアパターン39が設けられたフォトレジスト層41が積層されている。
図26A及び図26Bに示される構造がエッチングされると、図27A及び図27Bに示される構造が得られる。この従来の方式では、メタルハードマスク15に関連し、高い選択性を有するエッチング条件が選ばれる必要がある。しかしそれでも、SAVの絶縁層エッチングにより、メタルハードマスク層15の領域45が著しく侵食されるため、比較的厚いハードマスク15を用いる必要がある。
次いで、OPLが剥離され、図28A及び図28Bにより示される構造が得られる。しかし、層15における著しい侵食部分45は残存する。ハードマスクは一般的に最終製品に残り、ビアの頂部の領域を正確に規定することができなくなる。
以下に説明される本発明の実施形態は、図25乃至図28により説明した技術を改善したものである。
図1には、半導体装置の断面図が示されている。図示された全ての要素は、断面図である。層10は、絶縁キャップであり、窒素がドープされたシリコンカーバイド、及びSi−N−C−H(NBLOk)等に例示され、図示された構造を下層の基板若しくは下部の層間膜(図示せず)と分けている。層24は、層間絶縁膜であり、好ましくは、low−k誘電体膜であり、より好ましくは、ultra−low−k誘電体膜である。Low−k誘電体材料は、比誘電率が酸化シリコン(3.9)よりも低いという特徴を有しており、ultra−low−k誘電体膜は、一般的に、2.5以下の比誘電率を有している。層24は、好ましくは、100nmの厚みで形成されるが、それより厚くても薄くてもよい。
層24上には次の絶縁キャップ層12が設けられている。絶縁キャップ層12は、本実施形態では、好ましくは、約15nmの酸化シリコンにより形成される。より好ましくは、層12は、オルトケイ酸テトラエチル(tetraethylorthosilicate)等のような、低密度で堆積された酸化シリコンのハードマスクである。層12上には、メタルハードマスク層14が設けられており、本実施形態では、約25nmの厚みで形成されたTiNにより形成されることが好ましい。層14を覆うのは、アモルファスカーボン層22であり、絶縁キャップ層や他の反射防止層などとともに、フォトリソグラフィにおける反射を制御する為に用いられる。アモルファスカーボン層22は、後述のように、サイドウォールを形成する為の型となる直方体柱を形成するように、加工(パターニング)される。アモルファスカーボン層22の厚みは、好ましくは、約140nmであるが、後述のように、80nmから200nmの範囲をとることができ、好ましくは、120nmから200nmである。
アモルファスカーボン層22上には、シリコン含有有機反射防止材(SiARC)18が設けられている。最後に、層20は、フォトレジストパターンであり、これから説明する直方体柱部のリソグラフィのために用いられる。
本実施形態に係るプロセスは、SiARC及びアモルファスカーボン層18及び22をフォトマスク20を介してエッチングすることにより開始される。これらの層は、図2に示されるように、下層領域のTiNハードマスク14が露出するように、選択的に除去される。次いで、図3に示されるように、SiARC層18の残存部分が、TiN層14から突き出たアモルファスカーボン層による「直方体柱部」を残して、焼き去られる。
図4に示されるように、SiOによるスペーサ層26が、直方体柱部22及びTiN層14を被覆するように堆積される。続いて、図5に示されるように、SiO層26が、各直方体柱部22の両側にSiOによるサイドウォール28が残るように、エッチングされる。例えば、サイドウォール28を形成する為のエッチングは、直方体柱部の上表面が露出するまでCFガスを用いて行なわれる。次いで、図6に示されるように、サイドウォール28が残るように、直方体柱部が引き抜かれる。直方体柱部の引き抜き手法としては、例えば、酸素ガスによるアッシングが効果的である。
図7に示されるように、サイドウォール28が、有機平坦化膜(OPL)30により、被覆される。OPL30は、感光性有機ポリマー若しくはエッチタイプ有機化合物を含んでいてもよい。好ましい感光性有機ポリマーは、ポリアクリル樹脂、エポキシ樹脂、フェノール樹脂、ポリアミド樹脂、ポリイミド樹脂、不飽和ポリエステル樹脂、ポリフェニレンエーテル樹脂、ポリフェニレンスフフィド樹脂、若しくは、ベンゾシクロブテン(BCB)である。これらの材料は、スピンーオン法を用いて形成されてもよい。
OPL30上には、SiARC層32が設けられ、更に、サイドウォールと共にトレンチパターンを規定するリソグラフィのブロックとして用いられるフォトレジストマスク34が設けられる。本実施形態のマスク34は、フッ化アルゴン(ArF)エキシマレーザーによる露光されるフォトレジスト層である。図8に示されるように、SiARC層32及びOPL30は、第1のエッチング工程により、マスク34を介して選択的に除去される。その後、図9に示されるように、残存したSiARC層32が除去され、TiN層14が選択的にエッチングされる。具体的には、好ましくは、まず、SiARC層がマスク34を介してCFによりエッチングされ、次いで、OPL30がO又はN/Hガスを用いてエッチングされる。ArFレジスト層34はこれらの工程後に、除去される(図8)。
TiN層14は、好ましくは、SiARC層32およびOPL30をマスクとして、Clによりエッチングされる。TiN層14がエッチングされる部分は、マスク34により設けられた層30及び32の開口、及びサイドウォール28から露出した部分である。TiN層14のエッチングにより、露出したサイドウォール28が腐食し、高さが減少することが理解される。
図10に示されるように、続いて、OPL30が剥離され、新たなOPL36、SiARC層38、及びフォトマスク40が形成される(図11参照)。マスク40は、ビアが形成される位置を規定する。次いで、図12に示されるように、SiARC層38及びOPL36が、マスク40を介して、下層のTEOS膜12が露出するように、エッチングされる。
続いて、図13Aに示されるように、次なるエッチング工程により、サイドウォール28間の領域であり、層36及び層38の開口から露出した領域であり、マスク40によって決められる領域において、TEOS層12及び層間絶縁膜24が選択的に除去される。サイドウォール28の露出部分は、層12及び層24のエッチング時に更に腐食する。しかし、サイドウォールは、高いため、下部のTiNハードマスク層14が露出することが防止され、ビアの断面構造は良好に規定される。すなわち、サイドウォール28が、本エッチング時における犠牲マスクとして機能するため、ビア形状を損なうことなく、従来技術を用いた場合よりもTiN層14の厚みを薄くすることが可能になる。
図13Bは、層間絶縁膜24に設けられたビアの外観を示す図である。図13Aに示されるビアの底部には、層10が露出しており、OPL36から突き出たサイドウォール28部分が見えている。
OPL36の剥離後(図14)、サイドウォール28がエッチングにより除去され、サイドウォールスペーサによって露出した領域において、TEOS層12、層間絶縁膜24、及びNBLok層10が選択的にエッチングされる。図15に示されるように、層10が選択的に除去されることにより、ビア42の形成が完了する。一方で、層12及び24が選択的に除去されることにより、トレンチ44が形成される。
上述の工程は、トレンチ自体はビアの後に形成されるにもかかわらず、トレンチのリソグラフィがビアのリソグラフィより前に行なわれるため、「トレンチファースト」技術であると考えられる。
図15に示される構造は、その後、公知の技術により、ビア42及びトレンチ44を埋め込むように、銅によって被覆される。トレンチ及びビアが同一の銅の堆積処理により自発的に埋められる場合、「デュアル」ダマシンということになる。
尚、上述の製造方法において、ダブルパターニングを用いることにより、サイドウォールの寸法を、リソグラフィの解像度以下の寸法にすることが可能である。すなわち、リソグラフィーにより、単一の直方体柱部を形成し、スペーサーにより、サイドウォールを形成する。この時、サイドウォールの寸法を、リソグラフィーの解像度以下の寸法にすることで、リソグラフィの限界以上の微細パターンを得ることができる。
また、上述の例では、直方体柱部が、アモルファスカーボン層により形成される場合について説明した。アモルファスカーボン層は、例えば、化学蒸着(CVD)法などにより、堆積させることができる。また、直方体円柱部としては、例えば、スピン塗布(スピン−オフ)法により堆積された有機膜(OPL)を用いることも可能である。
一方、図16には、本発明の他の実施形態に係るプロセスが示されている。図16では、図4の続きのプロセスが示されている。すなわち、第2の実施形態に係るプロセスは、図4まで第1の実施形態と同じである。
しかしながら、本実施形態では、酸化層26は、その形成後、直ちにエッチバックされるのではない。代わりに、OPL46、SiARC層48及びマスク50が連続層46を覆うように形成される。本実施形態では、マスクが適当に形成されていなかった場合であっても、容易にマスクを再加工することが可能となる。すなわち、再加工時のリソグラフィ工程においてマスク50、SiARC層48、及びOPL46を剥離する際、酸化層26により保護されているので、下地のTiN層14がダメージを受けない。
図16に示される構造に対して、その後、上述の第1の実施形態と同様の処理が施され、マスク50を介して露出した層26がエッチングされ、次いで、SiARC層48が、予めマスクされた領域(既述の図9に対応)において焼き去られ、サイドウォールスペーサのエッチングにより、アモルファスカーボンによる直方体柱部が抜き去られ(図6に対応)、マスク50から露出した領域において、サイドウォール28により保護された領域を除いて、TiN層14がエッチングされ、残存したOPL46が除去される(図10に対応)。その結果、図17に示される構造が得られる。
次いで、既述の実施形態と同様に、ビアのリソグラフィ処理が実行され、図18に示されるように、サイドウォール28が新たにOPL52、SiARC層54、及びビアマスク56の3層により被覆される。尚、本実施形態において、残存したスペーサー層26は、次のエッチングステップにおいて、下地のTiNマスク層14を更に保護する機能を果たす。
図19に示されるように、TEOS層12がビアの位置において露出するように、SiARC層54及びOPL52がマスク56を介してエッチングされる。次いで、図20に示されるように、ビアの絶縁層エッチングが実行され、TEOS層12の露出した領域が、下地の絶縁膜24と共に、NBLok層10に至るまで除去される。本処理において、サイドウォール28は再び犠牲マスクとして機能し、TiN層14の腐食を防止し、正確なビア形状が保護される。本実施形態のサイドウォール28は、最終製品の高密度配線領域に対応する領域に存在する。また、本実施形態によれば、スペーサー層26の高さにより、装置のフィールド領域に対応するTiN層14の下地領域が保護される。
その後、OPL52が除去され、図21に示される構造が得られる。図21より、アモルファスカーボンによる直方体柱部22は、ここまでエッチングされてこなかったスペーサー層26により被覆されている領域において、未だ残存していることが理解される。これらの直方体柱部は、長時間のOPLプラズマもしくは過剰の下方流アッシングなどによって除去されてもよく、最終製品において残っていてもよい。
図22は、第1の実施形態と同様にトレンチのエッチング及びNBLok層10の開口処理を行った後の構造を示しており、ビア42及びトレンチ44が完全に形成されており、銅で満たされる用意が整った状態を示している。図22には、残存した直方体柱部22の露出部が示されている。一方、図23は、直方体柱部を構成するアモルファスカーボンを剥離させることにより、残存した直方体柱部22が更に除去された後の装置を示している。
図24A及び図24Bは、本発明の第3の実施形態を示す図であり、第1の実施形態の図13A及び図13Bに対応する段階、および第2の実施形態の図20に対応する段階を示す図である。本実施形態では、ビア同士が、比較的近くに位置している。そのため、3層マスク中のビア用の開口を分けて形成する必要はない。代わりに、図24Bに示されるように、OPL58に設けられた単一の細長い開口により、中央のサイドウォールスペーサ28と共に、ハードマスク層14及び絶縁層24に設けられた近接するビア群を規定することができる。この技術は、「バービア」と呼ばれる。本実施形態は、第1の実施形態及び第2の実施形態の夫々に変形して用いることも可能である。
上述の技術により、メタルハードマスクの厚みを従来技術よりも小さくした上で、ビアをより正確に形成することが可能になる。若しくは、ハードマスク層に対して高い選択性を有するSAVエッチング条件を用いることなく、メタルハードマスクの厚みを従来技術と同等とすることができる。
本発明について様々な実施形態について説明したが、これらの実施形態は、本発明の単なる例示に過ぎず、添付の特許請求の範囲のスコープ及び思想を限定して解釈するために用いてはならない。

Claims (13)

  1. 溝領域を規定する第1パターンを有する第1マスクを、サイドウォールイメージの転写により、半導体装置の層間膜に設けられた金属膜上に形成する工程と、
    前記第1パターンに重なる少なくとも一つの開口を有する第2マスクを、平面図で見た場合に、前記開口が前記溝領域に重なるように、前記第1マスク上に形成し、第2パターンを形成する工程と、
    前記第1及び第2マスクを介して、前記層間膜をエッチングし、前記第1パターンを前記層間膜に転写させる工程と、
    前記第2マスクを介して前記層間膜をエッチングし、前記第2パターンを前記層間膜に転写させる工程と、
    を具備し、
    前記第1パターンは、前記層間膜に、前記第2パターンとは異なる深さで形成される
    半導体装置の製造方法。
  2. 請求項1に記載された半導体装置の製造方法であって、
    前記第1パターンは、前記層間膜の厚さの途中まで伸びる連続した溝として、前記層間膜に転写される
    半導体装置の製造方法。
  3. 請求項1に記載された半導体装置の製造方法であって、
    前記第2パターンは、前記層間膜の厚さ全体を通じて延びるビアとして、前記層間膜に転写される
    半導体装置の製造方法。
  4. 請求項1に記載された半導体装置の製造方法であって、
    前記第1マスクは、リソグラフィにより複数の直方体柱部を形成し、前記直方体柱部に絶縁材料を塗布し、前記絶縁材料による前記直方体柱部のサイドウォールが残るように前記絶縁材料を選択的に除去し、前記サイドウォールを残したまま前記直方体柱部を除去することにより、形成される
    半導体装置の製造方法。
  5. 請求項4に記載された半導体装置の製造方法であって、
    前記サイドウォールの厚み及びスペースの少なくとも一方は、前記リソグラフィの実行時における解像度以下である
    半導体装置の製造方法。
  6. 請求項4に記載された半導体装置の製造方法であって、
    前記直方体柱部は、化学蒸着又はスピンーオン法により堆積された有機材料である
    半導体装置の製造方法。
  7. 請求項6に記載された半導体装置の製造方法であって、
    前記直方体柱部は、アモルファスカーボンにより形成される
    半導体装置の製造方法。
  8. 請求項1に記載された半導体装置の製造方法であって、
    前記層間膜中における前記第1及び第2パターンは、銅により埋め込まれる
    半導体装置の製造方法。
  9. 請求項1に記載された半導体装置の製造方法であって、
    前記第2マスクは、上層のフォトレジスト層、及び、下層の有機平坦化層を備えている
    半導体装置の製造方法。
  10. 請求項1に記載された半導体装置の製造方法であって、
    更に、
    前記第2マスクを形成する前に、前記第1マスクを覆う第3マスクを形成する工程、
    を具備し、
    前記第3マスクは、前記第1パターンが形成される領域を露出させ、前記第1パターンが形成されない領域を被覆する
    半導体装置の製造方法。
  11. 請求項1に記載された半導体装置の製造方法であって、
    更に、
    前記第1マスクが形成される前に、前記第1マスクとなる酸化層を被覆する第3マスクを形成する工程と、ここで、前記第3マスクは、前記第1パターンが形成される領域を露出させ、前記第1パターンが形成されない領域を被覆するように、形成され、
    前記第3マスクを介して前記酸化層を選択的に除去することにより、前記第1マスクを形成する工程と、
    を具備し、
    前記酸化膜は、前記第1パターンが形成されない領域において、除去されない
    半導体装置の製造方法。
  12. 請求項1に記載された半導体装置の製造方法であって、
    前記第2マスクは、複数の開口を備え、
    前記複数の開口の各々は、前記第1マスクと共に、単一のビアパターンを規定する
    半導体装置の製造方法。
  13. 請求項1に記載された半導体装置の製造方法であって、
    前記第2マスクは、前記第1マスクと共に、隣接する一連のビアパターンを規定する、細長い開口を備えている
    半導体装置の製造方法。
JP2012064435A 2011-03-28 2012-03-21 半導体装置の製造方法 Active JP5925546B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161468365P 2011-03-28 2011-03-28
US61/468365 2011-03-28

Publications (2)

Publication Number Publication Date
JP2012209552A true JP2012209552A (ja) 2012-10-25
JP5925546B2 JP5925546B2 (ja) 2016-05-25

Family

ID=47189010

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012064435A Active JP5925546B2 (ja) 2011-03-28 2012-03-21 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US8586478B2 (ja)
JP (1) JP5925546B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017216448A (ja) * 2016-06-01 2017-12-07 エーエスエム アイピー ホールディング ビー.ブイ. 有機膜の堆積
JP2019537254A (ja) * 2016-10-20 2019-12-19 東京エレクトロン株式会社 ビア対グリッドのパターニングにおけるオーバレイエラーを減少する方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8580692B2 (en) * 2011-06-29 2013-11-12 International Business Machines Corporation Film stack including metal hardmask layer for sidewall image transfer fin field effect transistor formation
US8586482B2 (en) * 2011-06-29 2013-11-19 International Business Machines Corporation Film stack including metal hardmask layer for sidewall image transfer fin field effect transistor formation
KR20130070351A (ko) * 2011-12-19 2013-06-27 에스케이하이닉스 주식회사 반도체장치 제조 방법
JP6017928B2 (ja) * 2012-11-09 2016-11-02 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US8859384B1 (en) * 2013-08-01 2014-10-14 International Business Machines Corporation Inductor formation with sidewall image transfer
US20150118832A1 (en) * 2013-10-24 2015-04-30 Applied Materials, Inc. Methods for patterning a hardmask layer for an ion implantation process
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
CN106373880B (zh) * 2015-07-22 2021-05-25 联华电子股份有限公司 半导体元件及其形成方法
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9806153B1 (en) * 2017-02-09 2017-10-31 International Business Machines Corporation Controlling channel length for vertical FETs
US9905424B1 (en) * 2017-04-24 2018-02-27 Globalfoundries Inc. Self-aligned non-mandrel cut formation for tone inversion
US10394123B2 (en) * 2017-05-17 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Blocking layer material composition and methods thereof in semiconductor manufacturing
US10115594B1 (en) * 2017-09-05 2018-10-30 Nanya Technology Corporation Method of forming fine island patterns of semiconductor devices
US10395926B1 (en) 2018-04-17 2019-08-27 Globalfoundries Inc. Multiple patterning with mandrel cuts formed using a block mask
CN112768351B (zh) * 2019-11-06 2022-06-10 长鑫存储技术有限公司 一种图形形成方法
CN113161284A (zh) * 2020-01-07 2021-07-23 台湾积体电路制造股份有限公司 用于制造互连结构的方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002124568A (ja) * 2000-10-18 2002-04-26 Tokyo Electron Ltd デュアルダマシン構造のエッチング方法
JP2008536297A (ja) * 2005-03-15 2008-09-04 マイクロン テクノロジー, インク. フォトリソグラフィー構造よりも狭いピッチを有するパターン
JP2009506576A (ja) * 2005-08-31 2009-02-12 マイクロン テクノロジー, インク. ピッチ増倍コンタクトを形成する方法
WO2009091664A1 (en) * 2008-01-16 2009-07-23 Cadence Design Systems, Inc. Improved uniformity for semiconductor patterning operations
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
JP2010503218A (ja) * 2006-08-29 2010-01-28 マイクロン テクノロジー, インク. スタガードコンタクトを持つファインピッチアレイを含む半導体デバイスと、その設計および製造のための方法
JP2010135624A (ja) * 2008-12-05 2010-06-17 Tokyo Electron Ltd 半導体装置の製造方法
JP2010171039A (ja) * 2009-01-20 2010-08-05 Toshiba Corp 半導体装置の製造方法
WO2011151243A1 (fr) * 2010-06-01 2011-12-08 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de lithographie a dedoublement de pas

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6083824A (en) * 1998-07-13 2000-07-04 Taiwan Semiconductor Manufacturing Company Borderless contact
JP3854247B2 (ja) * 2003-05-30 2006-12-06 株式会社東芝 不揮発性半導体記憶装置
KR100752674B1 (ko) * 2006-10-17 2007-08-29 삼성전자주식회사 미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한반도체 소자의 미세 패턴 형성 방법

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002124568A (ja) * 2000-10-18 2002-04-26 Tokyo Electron Ltd デュアルダマシン構造のエッチング方法
JP2008536297A (ja) * 2005-03-15 2008-09-04 マイクロン テクノロジー, インク. フォトリソグラフィー構造よりも狭いピッチを有するパターン
JP2009506576A (ja) * 2005-08-31 2009-02-12 マイクロン テクノロジー, インク. ピッチ増倍コンタクトを形成する方法
JP2010503218A (ja) * 2006-08-29 2010-01-28 マイクロン テクノロジー, インク. スタガードコンタクトを持つファインピッチアレイを含む半導体デバイスと、その設計および製造のための方法
WO2009091664A1 (en) * 2008-01-16 2009-07-23 Cadence Design Systems, Inc. Improved uniformity for semiconductor patterning operations
JP2011514654A (ja) * 2008-01-16 2011-05-06 ケイデンス デザイン システムズ インコーポレイテッド 半導体パターン形成作業に対する均一性の改善
WO2009150870A1 (ja) * 2008-06-13 2009-12-17 東京エレクトロン株式会社 半導体装置の製造方法
JP2010135624A (ja) * 2008-12-05 2010-06-17 Tokyo Electron Ltd 半導体装置の製造方法
JP2010171039A (ja) * 2009-01-20 2010-08-05 Toshiba Corp 半導体装置の製造方法
WO2011151243A1 (fr) * 2010-06-01 2011-12-08 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de lithographie a dedoublement de pas

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017216448A (ja) * 2016-06-01 2017-12-07 エーエスエム アイピー ホールディング ビー.ブイ. 有機膜の堆積
KR20170136457A (ko) * 2016-06-01 2017-12-11 에이에스엠 아이피 홀딩 비.브이. 유기 막들의 퇴적
JP7092466B2 (ja) 2016-06-01 2022-06-28 エーエスエム アイピー ホールディング ビー.ブイ. 有機膜の堆積
KR102428855B1 (ko) * 2016-06-01 2022-08-04 에이에스엠 아이피 홀딩 비.브이. 유기 막들의 퇴적
JP2019537254A (ja) * 2016-10-20 2019-12-19 東京エレクトロン株式会社 ビア対グリッドのパターニングにおけるオーバレイエラーを減少する方法

Also Published As

Publication number Publication date
US8586478B2 (en) 2013-11-19
JP5925546B2 (ja) 2016-05-25
US20120329268A1 (en) 2012-12-27

Similar Documents

Publication Publication Date Title
JP5925546B2 (ja) 半導体装置の製造方法
KR102108234B1 (ko) 반도체 장치 및 구조에 이르기 위한 패터닝 방법
KR102102735B1 (ko) 다중 패터닝 방법
US20170221702A1 (en) Method of Double Patterning Lithography Process Using Plurality of Mandrels for Integrated Circuit Applications
US7947907B2 (en) Electronics structures using a sacrificial multi-layer hardmask scheme
JP3501280B2 (ja) 半導体装置の製造方法
EP3035379B1 (en) Method for blocking a trench portion
US20070197014A1 (en) Method of fabricating semiconductor device
JP3757213B2 (ja) 半導体装置の製造方法
US8735283B2 (en) Method for forming small dimension openings in the organic masking layer of tri-layer lithography
US8124537B2 (en) Method for etching integrated circuit structure
TW201946100A (zh) 半導體裝置之製造方法
KR100333382B1 (ko) 반도체 장치의 다층금속배선 형성방법
KR20150065609A (ko) 혼 형상 스페이서를 이용한 트렌치 형성
JP2008218999A (ja) 半導体装置の製造方法
KR102547096B1 (ko) 듀얼다마신구조를 형성하는 방법
JP3981353B2 (ja) 集積回路を製造する方法
JP2005159008A (ja) 半導体装置の製造方法
KR20080030292A (ko) 반도체 소자의 금속 배선 형성 방법
KR101124302B1 (ko) 반도체 소자의 듀얼 다마신 패턴 형성 방법
JP4095588B2 (ja) 集積回路にフォトリソグラフィ解像力を超える最小ピッチを画定する方法
US10903110B2 (en) Method of forming fine interconnection for a semiconductor device
US20080146031A1 (en) Method for forming a semiconductor structure
JPH10150103A (ja) 半導体装置の製造方法
US20030064599A1 (en) Pattern forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140821

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150423

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150428

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150619

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150908

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151016

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160329

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160420

R150 Certificate of patent or registration of utility model

Ref document number: 5925546

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250