TW201946100A - 半導體裝置之製造方法 - Google Patents

半導體裝置之製造方法 Download PDF

Info

Publication number
TW201946100A
TW201946100A TW108106234A TW108106234A TW201946100A TW 201946100 A TW201946100 A TW 201946100A TW 108106234 A TW108106234 A TW 108106234A TW 108106234 A TW108106234 A TW 108106234A TW 201946100 A TW201946100 A TW 201946100A
Authority
TW
Taiwan
Prior art keywords
layer
mask
mask layer
patterned
semiconductor device
Prior art date
Application number
TW108106234A
Other languages
English (en)
Other versions
TWI720429B (zh
Inventor
黃玉蓮
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201946100A publication Critical patent/TW201946100A/zh
Application granted granted Critical
Publication of TWI720429B publication Critical patent/TWI720429B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/4238Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the surface lay-out

Abstract

一種半導體裝置及其製造方法。半導體裝置的製造方法包括形成一第一罩幕層於一目標層上;形成一第二罩幕層於第一罩幕層上;圖案化第二罩幕層;形成一第三罩幕層於圖案化的第二罩幕層上;圖案化第三罩幕層;利用圖案化的第二罩幕層及圖案化的第三罩幕層作為蝕刻罩幕組合來蝕刻第一罩幕層;去除圖案化的第三罩幕層以露出一部分的第一罩幕層;對第一罩幕層的露出部分上進行修整製程;以及利用第一罩幕層來蝕刻目標層,以在目標層內形成開口。

Description

半導體裝置之製造方法
本發明實施例係關於一種半導體技術,且特別是關於一種半導體裝置及其製造方法。
隨著半導體裝置的微縮方面的加快,各種製程技術(例如,微影)係用以允許製造具有越來越小尺寸的裝置。舉例來說,隨著閘極密度的增加,裝置內各種不同特徵部件(例如,層疊的內連特徵部件)的製造整體上與裝置特徵部件的微縮相容。然而,隨著半導體製程越來越小的製程容許度,這些裝置的製造已經接近甚至超過了微影設備的理論極限。隨著半導體裝置繼續微縮,裝置的元件之間所需的空間(即,間距(pitch))小於使用傳統光學罩幕及微影設備所能製造的間距。
一種半導體裝置之製造方法包括:形成一第一罩幕層於一目標層上;形成一第二罩幕層於第一罩幕層上;圖案化第二罩幕層;形成一第三罩幕層於圖案化的第二罩幕層上;圖案化第三罩幕層;利用圖案化的第二罩幕層及圖案化的第三罩幕層作為一蝕刻罩幕組合來蝕刻第一罩幕層;去除圖案化的第三罩幕層,以露出一部分的第一罩幕層;對第一罩幕層的露出部分進行一修整製程;以及利用第一罩幕層來蝕刻目標層,以形成複數個開口於目標層內。
一種半導體裝置之製造方法包括:沉積一矽層於一基底上;沉積一氧化層於矽層上;圖案化出複數個第一罩幕區於氧化層內,第一罩幕區由露出矽層的複數個間隙隔開;沉積一光阻層於矽層上;圖案化出複數個第二罩幕區於光阻層內,其中第二罩幕區的至少一者延伸跨過兩相鄰的第一罩幕區之間的間隙;去除矽層未覆蓋第一罩幕區及第二罩幕區的部分,以於矽層內形成複數個第三罩幕區;去除第二罩幕區;進行一蝕刻製程,以蝕刻第三罩幕區未覆蓋第一罩幕區的部分;以及在進行蝕刻製程之後,去除基底未覆蓋第三罩幕區的部分。在一實施例中,去除部分的基底而形成複數個開口於基底內,且上述方法更包括形成複數個接點於基底內的開口內。
一種半導體裝置之製造方法包括:形成一目標層於一基底上;形成一第一罩幕層於目標層上,第一罩幕層包括一第一材料;形成一圖案化的介電層於第一罩幕層上,圖案化的介電層包括不同於第一材料的一第二材料;形成一圖案化的光阻層於圖案化的介電層上,圖案化的光阻層包括不同於第一材料的一第三材料;利用一第一蝕刻製程來蝕刻第一罩幕層,其中第一蝕刻製程選擇第一材料多過於第二材料及第三材料;去除圖案化的光阻層,以露出第一罩幕層的複數個第一部;利用一第二蝕刻製程來修整第一罩幕層的第一部,其中第二蝕刻製程選擇第一材料多過於第二材料;以及將蝕刻的第一罩幕層內的圖案轉移至目標層內。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化本揭露內容。當然,這些僅為範例說明並非用以限定本發明。舉例來說,若是以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,本揭露內容在各個不同範例中會重複標號及/或文字。重複是為了達到簡化及明確目的,而非自行指定所探討的各個不同實施例及/或配置之間的關係。
再者,在空間上的相關用語,例如"下方"、"之下"、"下"、"上方"、"上"等等在此處係用以容易表達出本說明書中所繪示的圖式中元件或特徵部件與另外的元件或特徵部件的關係。這些空間上的相關用語除了涵蓋圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。此裝置可具有不同方位(旋轉90度或其他方位)且此處所使用的空間上的相關符號同樣有相應的解釋。
根據一些實施例,提供半導體裝置及半導體裝置的製造方法。在一些實施例中,進行圖案化製程,以於半導體裝置的目標層內圖案化出用於導電特徵部件的開口。舉例來說,圖案化製程可用於形成電晶體裝置的接點(例如,源極/汲極接點或閘極接點)的開口。使用微影製程圖案化一第一介電層,以形成一第一硬式罩幕於一第二介電層上。形成一圖案化的光阻層於第一硬式罩幕上。第一硬式罩幕及圖案化的光阻層係用於圖案化第二介電層。在圖案化第二介電層之後,去除圖案化的光阻層並對第二介電層進行修整製程。修整製程減小了第二介電層的露出部分的尺寸。然後,使用第二介電層來圖案化一目標層。位於下方的目標層可為用於各種不同目的的膜層。舉例來說,目標層可為低k值介電層或氧化物層,其中利用第二介電層圖案化出開口。隨後,可填入導電材料於低k值介電層或氧化物層的開口內,以定義出導電特徵部件,導電特徵部件具有由第二介電層所定義的切口。一些導電特徵部件可以具有微小間距,或者一些切口可以具有微小間距。
第1至15B圖係繪示出根據一些示例性實施例之於半導體裝置100上的目標層102內形成接點(contact)的中間階段的剖面示意圖及/或平面示意圖。在一些實施例中,接點可為用於鰭式場效電晶體(Fin Field-effect Transistor, FinFET)裝置的源極/汲極接點或閘極接點。第7A至15A圖係繪示出沿對應的第7B至15B的平面示意圖中所示的A-A’線的剖面示意圖。目標層102係根據本揭露實施例之待形成圖案的膜層。在一些實施例中,半導體裝置100為晶圓的一部分。在上述實施例中,在形成半導體裝置100的各種特徵部件(例如主動裝置、內連結構等)之後,可於晶圓的切割道區進行單體化(singulation)製程,以將晶圓分割成單獨的半導體晶片(也稱為單體)。
在一些實施例中,目標層102為介電層,例如內層介電(inter-layer dielectric, ILD)層。在一些實施例中,可利用實施例製程來圖案化目標層102內的開口,且可於開口內形成接點(例如,接觸插塞),如以下關於第1至15B圖所述。舉例來說,於第1圖中,於半導體裝置100內形成包括目標層102的疊層。在一些實施例中,形成目標層102於半導體基底104上。半導體基底104可由半導體材料形成,例如矽、摻雜或未摻雜、或絕緣體上覆半導體(semiconductor-on-insulator, SOI)基底的主動層。半導體基底104可包括其他半導體材料(例如,鍺)、化合物半導體(包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦)、合金半導體(包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP)、或其組合。也可以使用其他基底,例如多層或漸變基底。諸如平面電晶體、FinFET、其他類型的電晶體、二極體、電容器、電阻器等裝置(未繪示)可形成於半導體基底104的主動表面內及/或之上。舉例來說,可於半導體基底104內形成的一或多個FinFET的鰭部、金屬閘極或源極/汲極區上方形成目標層102。
儘管第1圖繪示出目標層102與半導體基底104為實體接觸,然而任何數量的中間層可設置於目標層102與半導體基底104之間。上述中間層可包括其他ILD層,且內部可形成接觸插塞、導電線及/或介層連接(via),或者可包括一或多個中間層(例如,蝕刻停止層、黏著層等)、其組合等。舉例來說,可選的蝕刻停止層(未繪示)可設置於目標層102正下方。蝕刻停止層可用作後續於目標層102上進行的蝕刻製程的停止處。用於形成蝕刻停止層的材料及製程可取決於目標層102的材料。在一些實施例中,蝕刻停止層可由氮化矽、SiON、SiCON、SiC、SiOC、SiCx Ny 、SiOx 、其他介電材料或其組合等形成。可利用電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD),低壓化學氣相沉積(low pressure CVD, LPCVD)、電漿氣相沉積(plasma vapor deposition, PVD)等形成。也可使用其他材料及製程。
形成硬式罩幕層106於目標層102上。硬式罩幕層106可由包括金屬(例如,氮化鈦、鈦、氮化鉭、鉭、摻雜金屬的碳化物(例如,碳化鎢)等)及/或類金屬(例如,氮化矽、氮化硼、碳化矽等)的材料形成。在一些實施例中,可決定硬式罩幕層106的材料成分,而相對於其他層具有高蝕刻選擇比,諸如目標層102及/或後續形成的膜層(例如,矽罩幕層108或氧化物罩幕層110(以下有更詳細的敘述))。可利用化學氣相沉積(CVD)、原子層沉積(Atomic Layer Deposition, ALD)等製程來形成硬式罩幕層106。也可利用其他製程及材料。在一些實施例中,硬式罩幕層106具有介於約20nm與約50nm之間的厚度。然而在其他實施例中,硬式罩幕層106可具有另一厚度。在後續的製程步驟中,利用本揭露實施例所述的圖案化技術而形成一圖案於硬式罩幕層106上。接著,以硬式罩幕層106作為蝕刻目標層102的蝕刻罩幕,其中硬式罩幕層106的圖案係轉移至目標層102。
形成一矽罩幕層108於硬式罩幕層106上方。在後續的製程步驟中,利用本揭露實施例所述的圖案化技術而形成一圖案於矽罩幕層108上。接著,以圖案化的矽罩幕層108作為圖案化硬式罩幕層106的蝕刻罩幕。可利用化學氣相沉積(CVD)、原子層沉積(ALD)等製程來形成矽罩幕層108。在一些實施例中,可決定矽罩幕層108的材料成分而相對於其他層具有高蝕刻選擇比,諸如硬式罩幕層106、氧化物罩幕層110(敘述於下)或其他層。矽罩幕層108可包括多於一層,且包括多於一種材料。在一些實施例中,矽罩幕層108具有介於約20nm與約50nm之間的厚度,然而在其他實施例中,矽罩幕層108可具有另一厚度。
形成一氧化物罩幕層110於矽罩幕層108上。在後續的製程步驟中,利用本揭露實施例所述的圖案化技術而形成一圖案於氧化物罩幕層110上。接著,以圖案化的氧化物罩幕層110作為圖案化矽罩幕層108的蝕刻罩幕。氧化物罩幕層110可由氧化矽形成,諸如硼磷矽酸鹽正矽酸乙酯(borophosphosilicate tetraethylorthosilicate, BPTEOS)或未摻雜的正矽酸乙酯(tetraethylorthosilicate, TEOS)氧化物,且可利用化學氣相沉積(CVD)、原子層沉積(ALD)、電漿輔助原子層沉積(Plasma-enhanced Atomic Layer Deposition, PEALD)、旋塗等形成。氧化物罩幕層110可包括低溫(Low-Temperature, LT)氧化物層,其在低溫下沉積,例如,低於約100ºC。在一些實施例中,可決定氧化物罩幕層110的材料成分而相對於其他層具有高蝕刻選擇比,諸如硬式罩幕層106、矽罩幕層108或其他層。氧化物罩幕層110可包括多於一層,且包括多於一種材料。在一些實施例中,氧化物罩幕層110具有介於約20nm與約50nm之間的厚度,然而在其他實施例中,氧化物罩幕層110可具有另一厚度。
形成三層式罩幕層120於氧化物罩幕層110上。三層式罩幕層120包括底層112、位於底層112上方的中間層114以及位於中間層上方的頂層116。頂層116可以由光阻(例如,光敏材料)形成,其包括有機材料,且可為正型光敏材料或負型光敏材料。在一些實施例中,底層112可以由高分子材料形成。底層112也可為底層抗反射塗層(bottom anti-reflective coating, BARC)。中間層114可包括無機材料,其可為氮化物(例如,氮化矽)、氮氧化物(例如,氮氧化矽)、氧化物(例如,氧化矽)等。中間層114可相對於頂層116及底層112具有高蝕刻選擇比。三層式罩幕層120的各個層可使用旋塗製程依序毯覆式沉積。也可以使用其他製程及材料。儘管此處所討論的是三層式罩幕層120,然而在其他實施例中,三層式罩幕層120實際上可為單層式罩幕層或雙層式罩幕層(例如,僅包括底層112及頂層116而沒有中間層114)。所使用的罩幕層的類型(例如,單層式罩幕層,雙層式罩幕層或三層罩式幕層)可取決於用於圖案化氧化物罩幕層110的微影製程。例如,在極紫外光(extreme ultraviolet, EUV)微影製程中可用單層式罩幕層或雙層式罩幕層。
第1至7B圖係繪示出根據一些示例性實施例之形成圖案化開口於氧化物罩幕層110中的中間階段。第1至7B圖中所示的示例性圖案化製程係利用兩個單獨的微影製程來圖案化氧化物罩幕層110。第1至5圖係繪示出利用第一微影圖案化製程於氧化物罩幕層110內形成第一開口122的中間階段。第6、7A及7B圖係繪示出利用第二為影圖案化製程於氧化物罩幕層110內形成第二開口134的中間階段。在一些情況中,採用兩個或更多個微影圖案化製程(即,多重圖案化)可允許得到具有更小間距的圖案化特徵部件。在其他實施例中,僅使用單一微影製程來圖案化氧化物罩幕層110。其他微影技術(包括另外或不同的步驟)也可用於圖案化氧化物罩幕層110,且涵蓋於本揭露的範圍內。
如第1圖所示,可圖案化頂層116(例如,使用微影製程)。 隨後,以頂層116作為圖案化中間層114的蝕刻罩幕(請參照第2圖)。接著,以中間層114作為圖案化底層112的蝕刻罩幕(請參照第3圖),然後使用底層112來圖案化氧化物罩幕層110(請參照第4圖)。在一些情況中,使用三層光阻(例如,三層式罩幕層120)來蝕刻目標層(例如,氧化物罩幕層110)能夠改進於目標層內微小間距圖案的定義。也可使用任何合適的微影製程來圖案化頂層116,以在其內形成第一開口122。
請參照第2圖,在圖案化頂層116之後,在蝕刻製程中將頂層116的圖案轉移至中間層114。上述蝕刻製程可為異向性蝕刻,使頂層116內的第一開口122延伸穿過中間層114,且其於中間層114內具有與於頂層116內大致相同的尺寸(或略小)。所得到的結構如第2圖所示。
如第3圖所示,可進行蝕刻製程,以將中間層114的圖案轉移至底層112,而使第一開口122延伸穿過底層112。用於底層112的蝕刻製程為異向性,使中間層114內的第一開口122延伸穿過底層112,且其於底層112內具有與於中間層114內大致相同的尺寸(或略小)。頂層116同為蝕刻底層112時的一部分,因而也會消耗。
在第4圖中,使用蝕刻製程將底層112的圖案轉移至氧化物罩幕層110。蝕刻製程可為異向性蝕刻,使底層112內的第一開口122延伸穿過氧化物罩幕層110,且其於氧化物罩幕層110內具有與於底層112內大致相同的尺寸(或略小)。氧化物罩幕層110蝕刻製程可包括乾式蝕刻製程及後續的濕式清潔製程,濕式清潔製程中氧化物罩幕層110相對於矽罩幕層108具有高蝕刻選擇比。在一些實施例中,乾式蝕刻製程可包括由約100瓦特至約800瓦特的功率產生的電感耦合電漿,在約10mTorr至約100mTorr的壓力下進行。在一些實施例中,乾式蝕刻製程可使用氟基的製程氣體(例如,CF4 、CH2 F2 、CHF3 或其他類型的製程氣體)。在一些實施例中,濕式清潔製程可包括使用HF(例如,稀釋的HF)、SC-1等。在其他實施例中,也可使用其他蝕刻技術。在蝕刻氧化物罩幕層110期間,中間層114會消耗,且至少部分的底層112也會消耗。在蝕刻氧化物罩幕層110時,且未完全消耗底層112的實施例中,可進行灰化製程以去除底層112的剩餘殘留物。留下的結構繪示於第5A圖(剖面示意圖)及第5B圖(平面示意圖)。第5A圖係沿第5B圖的平面示意圖中所示的A-A’線的剖面示意圖。在第5B圖的平面示意圖中,開口122定義出於目標層102內形成一些接點的區域。
第6至7B圖繪示出形成第二開口134於氧化物罩幕層110內。在第6圖中,形成罩幕層130於圖案化氧化物罩幕層110上。在一些實施例中,罩幕層130可相似於前述參照第1圖所敘述的三層式罩幕層120。罩幕層130可包括底層126、中間層128及頂層132。頂層132可由光阻(例如,光敏材料)形成,其包括有機材料。頂層132可為正型光敏材料或負型光敏材料。在一些實施例中,底層126可為高分子材料,例如底層抗反射塗層(BARC)。中間層128可包括無機材料,其可為氮化物(例如,氮化矽)、氮氧化物(例如,氮氧化矽)、氧化物(例如,氧化矽)等。中間層128相對於頂層132及底層126具有高蝕刻選擇比。可使用旋塗製程依序毯覆式沉積罩幕層130的各個層。也可使用其他製程及材料。儘管此處所討論的是三層式罩幕層130,然而在其他實施例中,罩幕層130可為單層式罩幕層或雙層式罩幕層(例如,僅包括底層126及頂層132而沒有中間層128)。
在第6圖中,使用微影製程來圖案化頂層132,以形成第二開口134。隨後,以頂層132作為圖案化中間層128的蝕刻罩幕。接著,以中間層128作為圖案化底層126的蝕刻罩幕,然後使用底層126於氧化物罩幕層110內圖案化出第二開口134(請參照第7A及7B圖)。也可使用任何合適的微影製程來進行頂層132、中間層128、底層126及氧化物罩幕層110的圖案化。舉例來說,罩幕層130的圖案化階段可相似於前述有關於第1至4圖所敘述的三層式罩幕層120的圖案化階段。
在第7A及7B圖中,使用微影製程將頂層132內的第二開口圖案轉移至氧化物罩幕層110。以此種方式,氧化物罩幕124由氧化物罩幕層110的剩餘部分(例如,第一開口122與第二開口134之間的氧化物罩幕層110的部分)所定義而成。留下的結構繪示於第7A圖(剖面示意圖)及第7B圖(平面示意圖)。第7A圖係沿第7B圖的平面示意圖中所示的A-A’線的剖面示意圖。在第7B圖的平面示意圖中,第一開口122及第二開口134形成間隙於相鄰的氧化物罩幕124之間,露出部分的矽罩幕層108。在第7A及7B圖中標記具有寬度W1的第一示例性間隙及具有寬度W1’的第二示例性間隙。在一些實施例中,氧化物罩幕124之間的間隙可具有介於約10nm與約100nm之間的橫向寬度(例如,寬度W1或W1’)。舉例來說,寬度W1可約在20nm與約100nm之間,而寬度W1’可約在10nm與約30nm之間。不同的間隙可具有不同的寬度,或者單一間隙可以具有多個寬度。
請參照第8A至8C圖,形成罩幕層140於氧化物罩幕124及矽罩幕層108上。在第8A至15B圖中,“B”圖為平面示意圖,而“A”圖為沿“B”圖的A-A’的剖面示意圖。罩幕層140可包括底層142、中間層144及頂層148。儘管繪示出三層式罩幕層140,然而在一些實施例中,罩幕層140可為單層式罩幕層或雙層式罩幕層,如前所述。在一些實施例中,頂層148可由光阻(例如,光敏材料)形成,其包括有機材料。頂層148可由正型光敏材料或負型光敏材料形成。在一些實施例中,底層142可為高分子材料,例如底層抗反射塗層(BARC)。中間層144可包括無機材料,其可為氮化物(例如,氮化矽)、氮氧化物(例如,氮氧化矽)、氧化物(例如,氧化矽)等。中間層144相對於頂層148與底層142具有高蝕刻選擇比。三層式罩幕層140的各個層可使用旋塗製程依序毯覆式沉積。也可以使用其他製程及材料。
在第8A至8C圖中,使用微影製程來圖案化頂層148。頂層148的示例性圖案化區在平面示意圖中具有矩形形狀(請參照第8B圖),且可具有介於約30nm與約80nm之間的橫向寬度W2。在第8B圖的平面示意圖中繪示出的頂層148的圖案化區可為多重圖案化區的一部分,如第8C圖的擴展的平面示意圖所示。第8C圖中繪示出可對應於第8B圖的示例區域。頂層148的圖案化區可具有延伸橫跨兩個或更多個相鄰氧化物罩幕124的長度。在其他情況中,頂層148可具有多個圖案化區,且圖案化區可以具有除矩形形狀之外的形狀。隨後,圖案化的頂層148作為圖案化中間層144的蝕刻罩幕。接著,以中間層144作為圖案化底層142的蝕刻罩幕。圖案化的底層142覆蓋第一開口122及/或第二開口134的區域。在第9A及9B圖中將未覆蓋的開口標記為開口146。留下的結構繪示於第9A及9B圖,其中開口146露出矽罩幕層108的區域。可使用任何合適的微影製程來進行頂層148、中間層144及底層142的圖案化。舉例來說,罩幕層140的圖案化階段可相似於前面所述的三層式罩幕層120或罩幕層130的圖案化階段。在第9B圖的平面示意圖中,透過開口146露出的矽罩幕層108的區域可定義出目標層102內形成接點的區域。底層142覆蓋矽罩幕層108的區域可定義出切口,其中切口位於後續形成於目標層102內的接點之間,以下有進一步詳細敘述。
請參照第10A及10B圖,使用蝕刻製程去除矽罩幕層108的露出部分。蝕刻製程可為異向性蝕刻,使得氧化物罩幕層110內的開口146延伸穿過矽罩幕層108,且其於矽罩幕層108內具有與於氧化物罩幕層110內大致相同的尺寸(或略小)。開口146露出硬式罩幕層106的區域。上述蝕刻製程可為異向性蝕刻,且可包括乾式蝕刻製程,其使矽罩幕層108相對於氧化物罩幕層110具有高蝕刻選擇比。上述蝕刻製程也可使矽罩幕層108相對於硬式罩幕層106具有高蝕刻選擇比。舉例來說,上述蝕刻製程可包括電漿蝕刻製程。在一些實施例中,電漿蝕刻製程可包括由約100瓦特至約800瓦特的功率產生的電感耦合電漿,在約10mTorr至約100mTorr的壓力下進行。在一些實施例中,電漿蝕刻製程可使用Cl2 、HBr、氟基製程氣體(例如,CF4 、CH2 F2 、CHF3 )或其他類型的製程氣體。在其他實施例中,也可使用其他製程氣體或蝕刻技術。在一些情況中,在蝕刻矽罩幕層108期間,中間層14會消耗,且至少部分的底層142也會消耗。在蝕刻矽罩幕層108時底層142未被完全消耗的實施例中,可進行灰化製程以去除底層142的剩餘殘留物。留下的結構繪示於第11A至11C圖。在去除底層142之後,露出矽罩幕層108中未被氧化物罩幕層110覆蓋且先前被底層142覆蓋的部分,其於第11B圖中標記為露出部分150。繪示於第11B圖的平面式意圖的露出部分150為大的圖案化區域的一部分,如第11C圖的擴展的平面示意圖所示。第11C圖繪示出可對應於第11B圖的示例區域。
在第12A至12C圖中,進行修整(trim)製程以縮減露出部分150的橫向寬度。修整製程可為等向性或異向性的,且可為乾式或濕式製程。修整製程可包括蝕刻製程,其可相對於氧化物罩幕層110或硬罩幕層106選擇性蝕刻矽罩幕層108的。繪示於第12B圖的平面式意圖的露出部分150為大的圖案化區域的一部分,如第12C圖的擴展的平面示意圖所示。第12C圖繪示出可對應於第12B圖的示例區域。
在一些實施例中,修整製程包括電漿蝕刻製程,其中露出部分150受電漿作用。在一些實施例中,電漿蝕刻製程於製程反應室中進行,其中製程氣體供應於製程反應室內。製程氣體可包括HBr、Cl2 、其他氣體或氣體組合。載氣(例如,氮氣、氬氣、氦氣、氙氣等)可用於將製程氣體帶入製程反應室。電漿蝕刻製程可在約20ºC至約60ºC的溫度下進行,例如約40ºC。製程反應室內的壓力可介於約50mTorr與約200之間。電漿蝕刻製程可進行一既定持續時間,例如介於約20秒與約200秒之間。在一些實施例中,上述電漿為直接式電漿。在其他實施例中,上述電漿為產生於單獨電漿產生室的遠距(remote)電漿,且電漿產生室係連接至製程反應室。可透過任何產生電漿的合適方法將製程氣體激發成電漿,諸如變壓器耦合電漿產生器、電感耦合電漿系統、磁增強反應離子技術、電子迴旋共振技術等等。
在其他實施例中,修整製程包括濕式蝕刻製程。濕式蝕刻製程可包括使用蝕刻劑(例如,NH4 OH或使用NH4OH、H2 O2 及H2 O的混合物(即,APM)),然而在其他實施例中也可使用其他蝕刻劑或濕式蝕刻技術。濕式蝕刻製程可在20ºC至約80ºC的溫度下進行,例如約60ºC。濕式蝕刻製程進行一既定持續時間,例如介於約10秒與約300秒之間。在一些實施例中,NH4 OH可作為蝕刻劑,其濃度介於約1%(體積百分比)與10%(體積百分比)之間。在一些實施例中,在進行修整製程之後,可選擇性進行濕式清潔製程,以去除氧化物罩幕層110的任何餘留部分。
修整製程縮減了露出部分150的尺寸,例如露出部分150的橫向尺寸。舉例來說,修整製程可將暴露部分150(繪示於第11B圖)的寬度W2縮減至更小寬度W3(繪示於第12B圖)。在一些實施例中,修整製程可將W2縮減約5nm至約10nm之間。在一些實施例中,寬度W3可介於約20nm和約75nm之間。舉例來說,修整製程可以將約30nm的寬度W2縮減至約20nm的寬度W3,然而寬度W2或寬度W3也可具有其他數值。在一些情況中,露出部分150的不同部分可具有不同的寬度,且修整製程可將這些不同的寬度縮減相似的量。
在一些情況中,修整製程也縮減了露出部分150的厚度。在一些實施例中,修整製程可以將露出部分的厚度縮減約5nm至約10nm之間的量。在一些情況中,矽罩幕層108受氧化物罩幕層110覆蓋的部分因受到保護而不受修整製程的影響,因此其尺寸在修整製程中影響很小或未縮減。舉例來說,氧化物罩幕124下方的矽罩幕層108的一部分在進行修整製程之前具有寬度W4(繪示於第11A圖),且在進行修整製程之後具有相同的寬度W4或近似相同的寬度W4 (繪示於第12A圖)。在一些實施例中,寬度W4可介於約50nm與約200nm之間。在一些情況中,修整製程會底切(undercut)由氧化物罩幕層110覆蓋的矽罩幕層108的部分。在進行修整製程之前完全延伸跨過兩個相鄰氧化物罩幕124的露出部分150可在進行修整製程之後維持完全延伸跨過兩個相鄰的氧化物罩幕124。在此種方式中,修整製程可沿一維度(即,寬度)縮減一些露出部分150的尺寸,但未沿另一維度(即,長度)縮減。
在第12B圖的平面示意圖中,透過開口146露出的硬罩幕層106的區域可定義出於目標層102內形成接點的區域。矽罩幕層108的露出部分150可定義位於後續形成於目標層102內的接點之間的切口。透過使用修整製程來縮減露出部分150的橫向寬度,可縮減切口的間距,且相鄰的接點分開的距離更小。使用前述修整製程也能允許小的切口間距,同時降低因不全的切口使相鄰接點連接的可能性。
在第13A及13B圖中,以矽罩幕層108作為蝕刻罩幕,以將開口146延伸至目標層102中,而在目標層102內形成接觸開口。舉例來說,開口146露出基底104內FinFET裝置的源極/汲極區,以便形成FinFET裝置的源極/汲極接點及/或露出基底104內的FinFET裝置的金屬閘極區,以便形成FinFET裝置的閘極接點。目標層102的蝕刻可包括異向性乾式蝕刻製程及/或濕式蝕刻製程,其依序蝕刻穿過硬罩幕層106到達目標層102。目標層102的餘留部分可具有與第12A及12B圖的矽罩幕層108相同的圖案。因此,可在單一圖案化步驟中圖案化目標層102。在一些情況中,在蝕刻硬罩幕層106及目標層102期間,會消耗氧化物罩幕層110和矽罩幕層108,如第13A及13B圖所示。在圖案化開口146之後,可進行濕式清潔製程,以去除氧化物罩幕層110、矽罩幕層108或硬罩幕層106的任何餘留部分。
請參照第14A及14B圖與第15A及15B圖,形成接點於目標層102的圖案化開口146內。在第14A及14B圖中,可沿著開口146的側壁及底表面形成一或多個襯層162,且形成一導電材料164於目標層102上方。在一些實施例中,在形成襯層162之前,可形成矽化物區於開口146所露出的源極/汲極區。導電材料164一開始先沉積於襯層162上,並過塡而超出開口146,如第14A及14B圖所示。襯層162可包括TiO、TiN、TaO、TaN等,且可供作接點的擴散阻擋層、黏著層及/或種子層。可使用任何合適的製程沉積襯層,例如PVD、CVD、ALD等。導電材料164可為合適的導電材料,例如銅或其他導電材料,且可使用如PVD、電鍍等製程來沉積。
請參照第15A及15B圖,可進行一平坦化製程,以去除目標層102上方導電材料164的多餘部分。因此,可形成接點於目標層102內。當圖案化硬罩幕層106時,露出部分150下方的區域 (參見第12A及12B圖)係相鄰接點之間形成切口的區域。舉例來說,在第15B圖中,第一接點166A透過切口170與第二接點166B隔開。
如先前關於第8A及8B圖所討論,在一些實施例中,可延伸第8A及8B圖中所示的頂層148的圖案化區的長度,或者可形成頂層148的另外的圖案化區。舉例來說,可延伸頂層148的圖案化區的長度,使第15B圖中所示的切口170延伸於另外的接點之間。頂層148的多個圖案化區可用於形成另外的切口(其從第15B圖所示的切口170偏移)。在一些情況中,可使用多重圖案化製程來形成用以定義切口的矽罩幕層108的露出部分150。在一些實施例中,可透過多次使用實施例所述的圖案化技術而於目標層102內形成多組接點。舉例來說,可先透過形成第一組罩幕層於目標層102上以及使用此處所述的技術對目標層102進行圖案化,以形成源極/汲極接觸開口於目標層102內。接著可用導電材料填充源極/汲極接觸開口。然後,透過形成第二組罩幕層於目標層102上,且使用此處所述的技術對目標層102進行圖案化而形成閘極接觸開口於目標層102內。接著可用導電材料填充閘極接觸開口。此為一示例,且這些或各種其他組接點或其他導電特徵部件可同時形成或以上述示例的順序或以其他的順序形成。透過採用這裡討論的實施例,可形成具有切口間距約20nm或更大切口間距的接點。
請參照第16圖,提供一種形成導電特徵部件於目標層內的方法200。在步驟202中,圖案化一第一光阻,以形成一第一罩幕,例如,如第1至3圖所示。在步驟204中,利用第一罩幕作為蝕刻罩幕來蝕刻一第一層,例如,如第4圖所示。第一層係設置於一第二層(其設置於目標層上)上。在步驟206中,圖案化一第二光阻,以形成一第二罩幕,例如,如第6圖所示。在步驟208中,利用第二罩幕作為蝕刻罩幕來蝕刻第一層,例如,如第7A及7B圖所示。在一些實施例中,步驟206及208為選擇性的步驟。在一些實施例中,可重複步驟206及208,舉例來說,透過圖案化另一光阻,以形成另一罩幕(其作為蝕刻第一層的蝕刻罩幕)。在步驟210中,圖案化一第三光阻,以形成一第三罩幕,例如,如第8A至9B圖所示。在步驟212中,利用第三罩幕及第一層作為蝕刻罩幕組合來蝕刻第二層,例如,如第10A及10B圖所示。在步驟214中,對第二層進行一修整製程。修整製程縮減了第二層的露出部分的尺寸。在步驟216中,利用第二層作為蝕刻罩幕來蝕刻目標層。上述蝕刻形成了開口於目標層內。在步驟218中,形成導電特徵部件於目標層的開口內。一些導電特徵部件可透過切口而隔開。
在一些實施例中,此處所述的圖案化方法可用於形成導電特徵部件,例如第15A及15B圖中繪示的位於目標層內的接點。如此處文所述,罩幕係用以定義形成接點的區域,然後利用另一罩幕來定義於接點之間形成切口的區域。因為切割區域的圖案化係於圖案化接點區域之後進行的,所以此處所述的圖案化方法可視為是“後切口(cut last)”製程。此與“先切口(cut first)”製程(其中在圖案化接點區域之前先進行切口區域的圖案化)形成對比。在一些情況中,具有一或多個切口的多個接點可形成為具有相同的尺寸或實質上相同的尺寸,這允許接點的電阻能夠獲得更好的控制。在一些情況中,第12A及12B圖所述的修整製程的使用可縮減相鄰接點之間的切口寬度。此能夠允許增加各個接點的尺寸(例如,長度)而不增加半導體裝置的尺寸或佔用面積(footprint)。增加接點的尺寸可降低接點的電阻(例如,接觸電阻、片電阻等),其可改善半導體裝置的效能。舉例來說,可降低裝置的功率耗損。使用如此處所述的修整製程能夠允許縮減切口的寬度而不增加過蝕刻切口或形成不全的切口的機會。
在一些實施例中,一種半導體裝置之製造方法包括:形成一第一罩幕層於一目標層上;形成一第二罩幕層於第一罩幕層上;圖案化第二罩幕層;形成一第三罩幕層於圖案化的第二罩幕層上;圖案化第三罩幕層;利用圖案化的第二罩幕層及圖案化的第三罩幕層作為一蝕刻罩幕組合來蝕刻第一罩幕層;去除圖案化的第三罩幕層,以露出一部分的第一罩幕層;對第一罩幕層的露出部分進行一修整製程;以及利用第一罩幕層來蝕刻目標層,以形成複數個開口於目標層內。在一實施例中,第一罩幕層包括矽,且第二罩幕層包括氧化矽。在一實施例中,目標層設置於複數個半導體鰭部上,且目標層包括多晶矽。在一實施例中,目標層設置於複數個半導體鰭部上,且目標層包括金屬。在一實施例中,圖案化的第三罩幕層延伸跨過位於圖案化的第二罩幕層內的一間隙。在一實施例中,上述方法更包括填入一導電材料於目標層內的開口。在一實施例中,在進行修整製程之後,第一罩幕層的露出部分具有一橫向寬度約為20nm。在一實施例中,修整製程包括一電漿蝕刻製程。在一實施例中,圖案化第二罩幕層包括:形成一第一圖案化的光阻層於第二罩幕層上;利用第一圖案化的光阻層作為一蝕刻罩幕來蝕刻第二罩幕層;去除第一圖案化的光阻層;形成一第二圖案化的光阻層於第二罩幕層上;利用第二圖案化的光阻層作為一蝕刻罩幕來蝕刻第二罩幕層;以及去除第二圖案化的光阻層。
在一些實施例中,一種半導體裝置之製造方法包括:沉積一矽層於一基底上;沉積一氧化層於矽層上;圖案化出複數個第一罩幕區於氧化層內,第一罩幕區由露出矽層的複數個間隙隔開;沉積一光阻層於矽層上;圖案化出複數個第二罩幕區於光阻層內,其中第二罩幕區的至少一者延伸跨過兩相鄰的第一罩幕區之間的間隙;去除矽層未覆蓋第一罩幕區及第二罩幕區的部分,以於矽層內形成複數個第三罩幕區;去除第二罩幕區;進行一蝕刻製程,以蝕刻第三罩幕區未覆蓋第一罩幕區的部分;以及在進行蝕刻製程之後,去除基底未覆蓋第三罩幕區的部分。在一實施例中,去除部分的基底而形成複數個開口於基底內,且上述方法更包括形成複數個接點於基底內的開口內。在一實施例中,上述蝕刻製程蝕刻由第一罩幕區覆蓋的第三罩幕區的一第一者的側壁小於上述蝕刻製程蝕刻未覆蓋第一罩幕區的第三罩幕區的一第二者的側壁。在一實施例中,進行上述蝕刻製程包括進行一乾式蝕刻製程。在一實施例中,乾式蝕刻製程使用HBr或Cl2 作為製程氣體。在一實施例中,進行上述蝕刻製程包括進行一濕式蝕刻製程。在一實施例中,濕式蝕刻製程使用NH4 OH作為蝕刻劑。
在一些實施例中,一種半導體裝置之製造方法包括:形成一目標層於一基底上;形成一第一罩幕層於目標層上,第一罩幕層包括一第一材料;形成一圖案化的介電層於第一罩幕層上,圖案化的介電層包括不同於第一材料的一第二材料;形成一圖案化的光阻層於圖案化的介電層上,圖案化的光阻層包括不同於第一材料的一第三材料;利用一第一蝕刻製程來蝕刻第一罩幕層,其中第一蝕刻製程選擇第一材料多過於第二材料及第三材料;去除圖案化的光阻層,以露出第一罩幕層的複數個第一部;利用一第二蝕刻製程來修整第一罩幕層的第一部,其中第二蝕刻製程選擇第一材料多過於第二材料;以及將蝕刻的第一罩幕層內的圖案轉移至目標層內。在一實施例中,第一材料為矽,且第二材料為氧化矽。在一實施例中,上述方法更包括形成複數個接點於目標層內。在一實施例中,接點中一第一接點與一第二接點之間隔開約20nm。
以上概略說明了本發明數個實施例的特徵,使所屬技術領域中具有通常知識者對於本揭露的型態可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到可輕易利用本揭露作為其它製程或結構的變更或設計基礎,以進行相同於此處所述實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構並未脫離本揭露之精神和保護範圍內,且可在不脫離本揭露之精神和範圍內,當可作更動、替代與潤飾。
100‧‧‧半導體裝置
102‧‧‧目標層
104‧‧‧半導體基底
106‧‧‧硬式罩幕層
108‧‧‧矽罩幕層
110、124‧‧‧氧化物罩幕層
112、126、142‧‧‧底層
114、128、144‧‧‧中間層
116、132、148‧‧‧頂層
120‧‧‧三層式罩幕層
122‧‧‧第一開口
130、140‧‧‧罩幕層
134‧‧‧第二開口
146‧‧‧開口
150‧‧‧露出部分
162‧‧‧襯層
164‧‧‧導電材料
200‧‧‧方法
202、204、206、208、210、212、214、216、218‧‧‧步驟
W1、W1’、 W2、W3、W4‧‧‧寬度
第1圖係繪示出根據一些實施例之罩幕層的圖案化。 第2圖係繪示出根據一些實施例之罩幕層的進一步圖案化。 第3圖係繪示出根據一些實施例之罩幕層的進一步圖案化。 第4圖係繪示出根據一些實施例之氧化物罩幕層的圖案化。 第5A及5B圖係繪示出根據一些實施例之罩幕層的去除。 第6圖係繪示出根據一些實施例之罩幕層的圖案化。 第7A及7B圖係繪示出根據一些實施例之氧化物罩幕層的進一步圖案化。 第8A至8C圖係繪示出根據一些實施例之罩幕層的圖案化。 第9A及9B圖圖係繪示出根據一些實施例之罩幕層的進一步圖案化。 第10A及10B圖係繪示出根據一些實施例之矽罩幕層的圖案化。 第11A至11C圖係繪示出根據一些實施例之罩幕層的去除。 第12A至12C圖係繪示出根據一些實施例之進行修整製程。 第13A至13B圖係繪示出根據一些實施例之硬式罩幕層及目標層的圖案化。 第14A及14B圖係繪示出根據一些實施例之導電材料的沉積。 第15A及15B圖係繪示出根據一些實施例之接點(contact)的形成。 第16圖係繪示出根據一些實施例之半導體裝置內的接點製造方法流程圖。

Claims (20)

  1. 一種半導體裝置之製造方法,包括: 形成一第一罩幕層於一目標層上; 形成一第二罩幕層於該第一罩幕層上; 圖案化該第二罩幕層; 形成一第三罩幕層於該圖案化的第二罩幕層上; 圖案化該第三罩幕層; 利用該圖案化的第二罩幕層及該圖案化的第三罩幕層作為一蝕刻罩幕組合來蝕刻該第一罩幕層; 去除該圖案化的第三罩幕層,以露出一部分的該第一罩幕層; 對該第一罩幕層的該露出部分進行一修整製程;以及 利用該第一罩幕層來蝕刻該目標層,以形成複數個開口於該目標層內。
  2. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該第一罩幕層包括矽,且該第二罩幕層包括氧化矽。
  3. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該目標層設置於複數個半導體鰭部上,且其中該目標層包括多晶矽。
  4. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該目標層設置於複數個半導體鰭部上,且其中該目標層包括金屬。
  5. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該圖案化的第三罩幕層延伸跨過位於該圖案化的第二罩幕層內的一間隙。
  6. 如申請專利範圍第1項所述之半導體裝置之製造方法,更包括填入一導電材料於該目標層內的該等開口。
  7. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中在進行該修整製程之後,該第一罩幕層的該露出部分具有一橫向寬度約為20nm。
  8. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中該修整製程包括一電漿蝕刻製程。
  9. 如申請專利範圍第1項所述之半導體裝置之製造方法,其中圖案化該第二罩幕層包括: 形成一第一圖案化的光阻層於該第二罩幕層上; 利用該第一圖案化的光阻層作為一蝕刻罩幕來蝕刻該第二罩幕層; 去除該第一圖案化的光阻層; 形成一第二圖案化的光阻層於該第二罩幕層上; 利用該第二圖案化的光阻層作為一蝕刻罩幕來蝕刻該第二罩幕層;以及 去除該第二圖案化的光阻層。
  10. 一種半導體裝置之製造方法,包括: 沉積一矽層於一基底上; 沉積一氧化層於該矽層上; 圖案化出複數個第一罩幕區於該氧化層內,該等第一罩幕區由露出該矽層的複數個間隙隔開; 沉積一光阻層於該矽層上; 圖案化出複數個第二罩幕區於該光阻層內,其中該等第二罩幕區的至少一者延伸跨過兩相鄰的該等第一罩幕區之間的該間隙; 去除該矽層未覆蓋該等第一罩幕區及該等第二罩幕區的部分,以於該矽層內形成複數個第三罩幕區; 去除該等第二罩幕區; 進行一蝕刻製程,以蝕刻該等第三罩幕區未覆蓋該等第一罩幕區的部分;以及 在進行該蝕刻製程之後,去除該基底未覆蓋該等第三罩幕區的部分。
  11. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中去除部分的該基底而形成複數個開口於該基底內,且上述方法更包括形成複數個接點於該基底內的該等開口內。
  12. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中該蝕刻製程蝕刻由該等第一罩幕區覆蓋的該等第三罩幕區的一第一者的側壁小於該蝕刻製程蝕刻未覆蓋該等第一罩幕區的該等第三罩幕區的一第二者的側壁。
  13. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中進行該蝕刻製程包括進行一乾式蝕刻製程。
  14. 如申請專利範圍第13項所述之半導體裝置之製造方法,其中該乾式蝕刻製程使用HBr或Cl2 作為製程氣體。
  15. 如申請專利範圍第10項所述之半導體裝置之製造方法,其中進行該蝕刻製程包括進行一濕式蝕刻製程。
  16. 如申請專利範圍第15項所述之半導體裝置之製造方法,其中該濕式蝕刻製程使用NH4 OH作為蝕刻劑。
  17. 一種半導體裝置之製造方法,包括: 形成一目標層於一基底上; 形成一第一罩幕層於該目標層上,該第一罩幕層包括一第一材料; 形成一圖案化的介電層於該第一罩幕層上,該圖案化的介電層包括不同於該第一材料的一第二材料; 形成一圖案化的光阻層於該圖案化的介電層上,該圖案化的光阻層包括不同於該第一材料的一第三材料; 利用一第一蝕刻製程來蝕刻該第一罩幕層,其中第一蝕刻製程選擇該第一材料多過於該第二材料及該第三材料; 去除該圖案化的光阻層,以露出該第一罩幕層的複數個第一部; 利用一第二蝕刻製程來修整該第一罩幕層的該等第一部,其中第二蝕刻製程選擇該第一材料多過於該第二材料;以及 將該蝕刻的該第一罩幕層內的圖案轉移至該目標層內。
  18. 如申請專利範圍第17項所述之半導體裝置之製造方法,其中該第一材料為矽,且該第二材料為氧化矽。
  19. 如申請專利範圍第17項所述之半導體裝置之製造方法,更包括形成複數個接點於該目標層內。
  20. 如申請專利範圍第19項所述之半導體裝置之製造方法,其中該等接點中一第一接點與一第二接點之間隔開約20nm。
TW108106234A 2018-04-30 2019-02-25 半導體裝置之製造方法 TWI720429B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/967,492 US10699943B2 (en) 2018-04-30 2018-04-30 Method of forming contacts in a semiconductor device
US15/967,492 2018-04-30

Publications (2)

Publication Number Publication Date
TW201946100A true TW201946100A (zh) 2019-12-01
TWI720429B TWI720429B (zh) 2021-03-01

Family

ID=68292912

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108106234A TWI720429B (zh) 2018-04-30 2019-02-25 半導體裝置之製造方法

Country Status (3)

Country Link
US (2) US10699943B2 (zh)
CN (1) CN110416067B (zh)
TW (1) TWI720429B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111834222A (zh) * 2019-04-15 2020-10-27 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN110928142B (zh) * 2019-11-28 2023-08-29 北京遥测技术研究所 一种光刻厚胶与金属基底结合力的改善方法
JP7402715B2 (ja) * 2020-03-06 2023-12-21 東京エレクトロン株式会社 ウエハを処理する方法
CN113496874B (zh) * 2020-04-01 2024-04-19 中芯国际集成电路制造(上海)有限公司 半导体结构及半导体结构的形成方法
CN111508929B (zh) * 2020-04-17 2022-02-22 北京北方华创微电子装备有限公司 图形片及半导体中间产物
US20220102143A1 (en) * 2020-09-29 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Metal Hard Masks for Reducing Line Bending

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6998198B2 (en) * 2001-11-30 2006-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole printing by packing and unpacking
JP2005203672A (ja) * 2004-01-19 2005-07-28 Sony Corp 半導体装置の製造方法
KR100640657B1 (ko) * 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
KR100672123B1 (ko) * 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
EP1850369A1 (en) * 2006-04-28 2007-10-31 STMicroelectronics S.r.l. Manufacturing process of an organic mask for microelectronic industry
KR20080034234A (ko) * 2006-10-16 2008-04-21 삼성전자주식회사 반도체 장치의 미세 패턴 형성 방법
US7592265B2 (en) * 2007-01-04 2009-09-22 United Microelectronics Corp. Method of trimming a hard mask layer, method for fabricating a gate in a MOS transistor, and a stack for fabricating a gate in a MOS transistor
KR100843899B1 (ko) * 2007-03-19 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR100871967B1 (ko) * 2007-06-05 2008-12-08 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4550126B2 (ja) * 2008-04-25 2010-09-22 東京エレクトロン株式会社 エッチングマスク形成方法、エッチング方法、および半導体デバイスの製造方法
US8222159B2 (en) * 2008-08-25 2012-07-17 Elpida Memory, Inc. Manufacturing method of semiconductor device
US8697340B2 (en) * 2008-12-29 2014-04-15 Macronix International Co., Ltd. Semiconductor structure and method of fabricating the same
US8008206B2 (en) * 2009-09-24 2011-08-30 Taiwan Semiconductor Manufacturing Company, Ltd. Double patterning strategy for contact hole and trench in photolithography
CN102446703A (zh) * 2010-10-14 2012-05-09 中芯国际集成电路制造(上海)有限公司 双重图形化方法
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8758984B2 (en) * 2011-05-09 2014-06-24 Nanya Technology Corp. Method of forming gate conductor structures
US8627244B2 (en) * 2011-06-29 2014-01-07 International Business Machines Corporation Frequency domain layout decomposition in double patterning lithography
US20130071955A1 (en) * 2011-09-16 2013-03-21 Tokyo Electron Limited Plasma etching method
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8735295B2 (en) * 2012-06-19 2014-05-27 United Microelectronics Corp. Method of manufacturing dual damascene structure
US8637406B1 (en) * 2012-07-19 2014-01-28 International Business Machines Corporation Image transfer process employing a hard mask layer
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
JP6085803B2 (ja) * 2013-02-19 2017-03-01 富士通セミコンダクター株式会社 半導体装置の製造方法
US9368348B2 (en) * 2013-10-01 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning process
US9754789B2 (en) * 2013-10-21 2017-09-05 Samsung Electronics Co., Ltd. Method of fabricating semiconductor device and computing system for implementing the method
US9209076B2 (en) * 2013-11-22 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of double patterning lithography process using plurality of mandrels for integrated circuit applications
US9123776B2 (en) * 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9368349B2 (en) * 2014-01-14 2016-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Cut last self-aligned litho-etch patterning
US9305837B2 (en) * 2014-04-10 2016-04-05 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and formation thereof
US9773076B2 (en) * 2014-05-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive lines in circuits
US9397099B1 (en) * 2015-01-29 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a plurality of fins and method for fabricating the same
KR102353280B1 (ko) 2015-08-17 2022-01-19 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9620380B1 (en) 2015-12-17 2017-04-11 GlobalFoundries, Inc. Methods for fabricating integrated circuits using self-aligned quadruple patterning
US10020379B2 (en) * 2016-11-18 2018-07-10 Taiwan Semiconuctor Manufacturing Co., Ltd. Method for forming semiconductor device structure using double patterning
US10002786B1 (en) * 2016-12-15 2018-06-19 Globalfoundries Inc. Interconnection cells having variable width metal lines and fully-self aligned variable length continuity cuts
US10312103B2 (en) * 2017-02-28 2019-06-04 International Business Machines Corporation Alternating hardmasks for tight-pitch line formation
US10157775B2 (en) * 2017-04-10 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10566232B2 (en) * 2017-05-18 2020-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post-etch treatment of an electrically conductive feature
US10242883B2 (en) * 2017-06-23 2019-03-26 Lam Research Corporation High aspect ratio etch of oxide metal oxide metal stack
US10510540B2 (en) * 2017-07-15 2019-12-17 Micromaterials Llc Mask scheme for cut pattern flow with enlarged EPE window
US10347506B2 (en) * 2017-07-31 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple patterning method using mask portions to etch semiconductor substrate
US10276445B2 (en) * 2017-08-31 2019-04-30 Taiwan Semiconductor Manfacturing Co., Ltd. Leakage reduction methods and structures thereof
US10529617B2 (en) * 2017-09-29 2020-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal routing with flexible space formed using self-aligned spacer patterning
US10510671B2 (en) * 2017-11-08 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with conductive line
US10559492B2 (en) * 2017-11-15 2020-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices and structures resulting therefrom
US10312089B1 (en) * 2017-11-29 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for controlling an end-to-end distance in semiconductor device
US10529552B2 (en) * 2017-11-29 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device and a coating material
US10274678B1 (en) * 2018-03-26 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming photonic devices
US10395976B1 (en) * 2018-05-13 2019-08-27 Nanya Technology Corporation Method of manufacturing semiconductor device
US10867839B2 (en) * 2018-06-15 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methods for semiconductor devices
US10978301B2 (en) * 2018-08-31 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Morphology of resist mask prior to etching
US10867842B2 (en) * 2018-10-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for shrinking openings in forming integrated circuits
US11121026B2 (en) * 2018-10-31 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Also Published As

Publication number Publication date
TWI720429B (zh) 2021-03-01
US20190333806A1 (en) 2019-10-31
CN110416067B (zh) 2021-09-17
US11088018B2 (en) 2021-08-10
CN110416067A (zh) 2019-11-05
US10699943B2 (en) 2020-06-30
US20200335390A1 (en) 2020-10-22

Similar Documents

Publication Publication Date Title
US11183392B2 (en) Method for manufacturing semiconductor devices and structures thereof
TWI720429B (zh) 半導體裝置之製造方法
US10340141B2 (en) Patterning method for semiconductor device and structures resulting therefrom
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
US10867804B2 (en) Patterning method for semiconductor device and structures resulting therefrom
US11495684B2 (en) Method of removing an etch mask
TW202109618A (zh) 圖案化半導體裝置的方法
US20240112905A1 (en) Semiconductor Device and Method
TWI728493B (zh) 半導體裝置的製造方法及其接觸插塞的製造方法
US11887851B2 (en) Method for forming and using mask
US20230154753A1 (en) Patterned Semiconductor Device and Method
US10879108B2 (en) Topographic planarization method for lithography process
US11848209B2 (en) Patterning semiconductor devices and structures resulting therefrom