JP7402715B2 - ウエハを処理する方法 - Google Patents

ウエハを処理する方法 Download PDF

Info

Publication number
JP7402715B2
JP7402715B2 JP2020039233A JP2020039233A JP7402715B2 JP 7402715 B2 JP7402715 B2 JP 7402715B2 JP 2020039233 A JP2020039233 A JP 2020039233A JP 2020039233 A JP2020039233 A JP 2020039233A JP 7402715 B2 JP7402715 B2 JP 7402715B2
Authority
JP
Japan
Prior art keywords
film
hard mask
silicon
etching
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020039233A
Other languages
English (en)
Other versions
JP2021141260A (ja
Inventor
憲明 岡部
拓哉 清野
亮太 小塚
康弘 濱田
祐太郎 季子
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2020039233A priority Critical patent/JP7402715B2/ja
Priority to KR1020210023839A priority patent/KR20210113044A/ko
Priority to TW110106262A priority patent/TW202139288A/zh
Priority to US17/190,818 priority patent/US20210280419A1/en
Priority to CN202110239207.4A priority patent/CN113363143A/zh
Publication of JP2021141260A publication Critical patent/JP2021141260A/ja
Application granted granted Critical
Publication of JP7402715B2 publication Critical patent/JP7402715B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Description

本開示の例示的実施形態は、ウエハを処理する方法に関するものである。
半導体デバイスといった電子デバイスの製造においては、シリコン含有膜にホール又は溝といった開口を形成するために、シリコン含有膜に対してプラズマエッチングが行われることがある。このような開口の形成のために、シリコン含有膜上にはマスクが設けられる。マスクとしては、レジストマスクが知られている。
近年、電子デバイス内の素子は、三次元構造を有するようになっている。これに伴い、シリコン含有膜に相当に深い開口が形成されるようになっている。しかしながら、レジストマスクは、シリコン含有膜のプラズマエッチング中に大きく消耗する。そこで、ハードマスクが用いられるようになっている。ハードマスクとしては、特許文献1~4に記載されているように、タングステンシリサイド又はチタンナイトライド(TiN)から形成されたハードマスクが用いられている。
特開2007-294836号公報 特開2003-243526号公報 特開2005-150403号公報 米国特許出願公開第2019/0019675号明細書
本開示は、シリコン含有膜のエッチングを高アスペクト比で行うための技術を提供する。
一つの例示的実施形態において、ウエハを処理する方法が提供される。当該方法は、基板と基板上に設けられたシリコン含有膜とを有するウエハを準備する工程を備える。当該方法は、更に、シリコン含有膜上にハードマスクを形成する工程を備える。当該方法は、更に、ハードマスクを用いてシリコン含有膜をエッチングする工程を備える。ハードマスクは、シリコン含有膜上に設けられタングステンを含む第1の膜と、第1の膜上に設けられジルコニウム又はチタン及び酸素を含む第2の膜とを有する。
本開示によれば、シリコン含有膜のエッチングを高アスペクト比で行うための技術が提供される。
一つの例示的実施形態に係るウエハを処理する方法を示す図である。 図1に示す方法の実行に用いることが可能な成膜装置の構成の一例を示す図である。 図1に示す方法の実行に用いることが可能な塗布装置の構成の一例を示す図である。 図1に示す方法の実行に伴って実現され得るウエハの複数の状態を示す図である。 図1に示す方法が実行されるウエハの第2のハードマスクを評価した結果を示す図である。 図1に示す方法が実行されるウエハの第2のハードマスクを評価した実験結果を示す図である。 図1に示す方法が実行されるウエハにおいて第2のハードマスクを用いて第1のハードマスクのエッチングを行った実験結果を示す図である。
近年、電子デバイスの高速化、高密度化に伴い、微細パターンの加工が困難となりつつある。特にメモリデバイス(DRAM)のキャパシタの形成では、CD(Critical Dimension)が20nm以下及び深さが1.0μm以上である50以上のA/R(Aspect Ratio)の加工が要求される。
現在はハードマスクにアモルファスシリコンを用いて、シリコン含有膜をエッチングしている。アモルファスシリコンは、例えば、酸化シリコンのハードマスクを用いてエッチングしている。A/Rの増加と共に、アモルファスシリコンハードマスクを厚くしなければならない。アモルファスシリコンハードマスクが厚くなると、アモルファスシリコンをエッチングするための酸化シリコンのハードマスクも厚くしなければならない。ハードマスクの厚さが増すとイオンの垂直入射が抑制されるため、よれ(Twisting)が発生しやすくなる。したがって、シリコン含有膜のエッチング時にアモルファスシリコンよりもプラズマエッチングに対して高い耐性を有するハードマスクが必要となっている。また、このプラズマエッチングに対して高い耐性を有するハードマスクをエッチングする時に用いるハードマスクについても、同様にプラズマエッチングに対して高い耐性を有することが求められる。
以下、種々の例示的実施形態について説明する。一つの例示的実施形態において、ウエハを処理する方法が提供される。当該方法は、基板と基板上に設けられたシリコン含有膜とを有するウエハを準備する工程を備える。当該方法は、更に、シリコン含有膜上にハードマスクを形成する工程を備える。当該方法は、ハードマスクをエッチングして、ハードマスクにパターンを形成する工程を備える。当該方法は、更に、パターンが形成されたハードマスクを用いてシリコン含有膜をエッチングする工程を備える。ハードマスクは、シリコン含有膜上に設けられタングステンを含む第1の膜と、第1の膜上に設けられジルコニウム又はチタン及び酸素を含む第2の膜とを有する。
別の一つの例示的実施形態において、ウエハを処理する方法が提供される。当該方法は、基板と基板上に設けられたシリコン含有膜とを有するウエハを準備する工程を備える。当該方法は、更に、シリコン含有膜上にタングステンを含む第1の膜を形成する工程を備える。当該方法は、更に、第1の膜上にジルコニウム又はチタン及び酸素を含む第2の膜を形成する工程を備える。当該方法は、更に、第2の膜をマスクとして第1の膜をエッチングして、第1の膜にパターンを形成する工程を備える。当該方法は、更に、パターンが形成された第1の膜をマスクとして、シリコン含有膜をエッチングする工程を備える。
上記した一つの例示的実施形態において、シリコン含有膜上にタングステンを含む第1の膜が設けられ、第1の膜上にジルコニウム又はチタンを含む第2の膜が設けられたハードマスクを用いて、シリコン含有膜がエッチングされる。ジルコニウム又はチタンを含む第2の膜は、タングステンを含む第1の膜に比較して十分に高いエッチング耐性を有する。このため、第1の膜及び第2の膜を有するハードマスクに対するパターン形成ではパターンの形状異常が十分に抑制される。従って、形状異常が十分に抑制されたパターンが形成された第1の膜(更にはハードマスク)を用いたシリコン含有膜のエッチングによってシリコン含有膜に形成されるパターンの形状異常も十分に抑制され得る。従って、シリコン含有膜に形成されるパターンが高アスペクト比の場合であっても、よれ(Twisting)等が抑制されて十分に良好となり得る。
一つの例示的実施形態において、第1の膜を形成する工程では、スパッタリングによって第1の膜をシリコン含有膜上に形成し得る。
一つの例示的実施形態において、第1の膜を形成する工程では、化学気相成長法によって第1の膜をシリコン含有膜上に形成し得る。
一つの例示的実施形態において、第2の膜を形成する工程では、塗布処理によって第2の膜を第1の膜上に形成し得る。
一つの例示的実施形態において、塗布処理は、スピンコート処理であり得る。
一つの例示的実施形態において、第2の膜を形成する工程では、化学気相成長法又は原子層堆積法によって第2の膜を第1の膜上に形成し得る。
一つの例示的実施形態において、第2の膜にパターンを形成する工程において行われる第2の膜のエッチングは、ハロゲン原子を含むガスのプラズマを用いた異方的なエッチングであり得る。第1の膜にパターンを形成する工程において行われる第1の膜のエッチングは、ハロゲン原子を含むガスのプラズマを用いた異方的なエッチングであり得る。
一つの例示的実施形態において、第1の膜及び第2の膜のそれぞれは、アモルファス膜であり得る。
一つの例示的実施形態において、第1の膜は、シリコンを更に含み得る。
一つの例示的実施形態において、シリコン含有膜をエッチングする工程において行われるシリコン含有膜のエッチングは、フルオロカーボン系ガスのプラズマ又はハイドロフルオロカーボン系ガスのプラズマを用いた異方的なエッチングであり得る。
一つの例示的実施形態においてシリコン含有膜は、単結晶シリコンの膜、多結晶シリコンの膜、酸化シリコンの膜、及び窒化シリコンの膜のうち何れか一つの膜を有する単層膜、或いは、何れか二以上の膜を有する多層膜であり得る。
以下、図面を参照して種々の例示的実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
以下、図1~図4を参照して、ウエハを処理する方法の一つの例示的実施形態について説明する。図1は、一つの例示的実施形態に係るウエハを処理する方法(方法MTという)を示す流れ図である。図2は、図1に示す方法MTの実行に用いることが可能な成膜装置の構成の一例を示す図である。図3は、図1に示す方法MTの実行に用いることが可能な塗布装置の構成の一例を示す図である。図4は、図1に示す方法MTの実行に伴って実現され得るウエハWの複数の状態を示す図である。
まず、図2を参照して、一つの例示的実施形態に係る成膜装置10の構成を説明する。図2に示す成膜装置10は、スパッタリングによって成膜を行う装置である。成膜装置10は、チャンバ本体12を備えている。チャンバ本体12は、略筒形状を有している。チャンバ本体12は、その内部空間をチャンバ12cとして提供している。チャンバ本体12は、例えば、アルミニウムといった導体から形成されている。チャンバ本体12は、接地電位に接続されている。
チャンバ本体12の底部には、チャンバ12cを減圧するための排気装置14が、アダプタ14aを介して接続されている。排気装置14は、圧力制御器、並びに、ドライポンプ及び/又はターボ分子ポンプといった減圧ポンプを含んでいる。また、チャンバ本体12の側壁には、ウエハWのチャンバ12c内への搬入、及び、ウエハWのチャンバ12cからの搬出のための開口12tが形成されている。この開口12tは、ゲートバルブ12gによって開閉可能になっている。
チャンバ本体12には、ポート12pが設けられている。ポート12pは、チャンバ本体12にガスを導入するための流路を提供している。ポート12pには、ガス供給部が接続される。ガス供給部からは、ポート12pを介してチャンバ12cにガスが供給される。チャンバ12cに供給されるガスは、希ガス又は窒素ガスといった不活性ガスであり得る。
チャンバ12c内には、ステージ16が設けられている。ステージ16は、その上に配置されたウエハWを支持するよう構成されている。ステージ16は、ウエハWを保持する静電チャックを有していてもよい。また、ステージ16は、ヒータといった温度調整機構を有していてもよい。
ステージ16は、駆動機構18に接続されている。駆動機構18は、支軸18a及び駆動装置18bを含んでいる。支軸18aは、ステージ16の直下からチャンバ本体12の底部を通ってチャンバ本体12の外部まで延在している。支軸18aの中心軸線は、鉛直方向に延びる軸線AXに一致している。この支軸18aとチャンバ本体12の底部との間には、封止部材40が設けられている。封止部材40は、支軸18aが回転及び上下動可能であるように、チャンバ本体12の底部と支軸18aとの間の空間を封止するように構成されている。このような封止部材40は、例えば、磁性流体シールであり得る。
支軸18aの一端には、ステージ16が結合されており、当該支軸18aの他端には駆動装置18bが接続されている。駆動装置18bは、支軸18aを回転及び上下動させるための駆動力を発生するように構成されている。ステージ16は、支軸18aが回転することによって軸線AX中心に回転し、支軸18aが上下動することに伴って上下動するように構成されている。
チャンバ本体12の天部には、ホルダ20及びホルダ22が取り付けられている。ホルダ20及びホルダ22は、金属から形成されている。ホルダ20は、絶縁性部材24を介してチャンバ本体12の天部に支持されている。ホルダ22は、絶縁性部材26を介してチャンバ本体12の天部に支持されている。ホルダ20は、ターゲット28(第1のターゲット)を保持し、ホルダ22はターゲット30(第2のターゲット)を保持する。
ホルダ20及びホルダ22はそれぞれ、軸線AXを含む仮想の平面に対して略対称にターゲット28及びターゲット30が配置されるよう、ターゲット28及びターゲット30を保持する。また、ホルダ20及びホルダ22はそれぞれ、ターゲット28及びターゲット30が上方に向かうにつれて軸線AXに近づく傾斜を有するように、ターゲット28及びターゲット30を保持する。
ホルダ20には、電源32が電気的に接続されている。電源32は、ホルダ20に印加される電圧を発生するように構成されている。電源32からの電圧は、ホルダ20を介してターゲット28に印加される。電源32は、直流電源であってもよく、高周波電源であってもよい。電源32が高周波電源である場合には、当該電源32は、その負荷側のインピーダンスを整合ポイントに近づけるか又は一致させるための整合器を介して、ホルダ20に接続される。
ホルダ22には、電源34が電気的に接続されている。電源34は、ホルダ22に印加される電圧を発生するように構成されている。電源34からの電圧は、ホルダ22を介してターゲット30に印加される。電源34は、直流電源であってもよく、高周波電源であってもよい。電源34が高周波電源である場合には、当該電源34は、その負荷側のインピーダンスを整合ポイントに近づけるか又は一致させるための整合器を介して、ホルダ22に接続される。
成膜装置10は、カソードマグネット36及びカソードマグネット38を更に備え得る。カソードマグネット36は、ホルダ20を介してターゲット28と対峙するよう、チャンバ本体12の外側に設けられている。カソードマグネット38は、ホルダ22を介してターゲット30と対峙するよう、チャンバ本体12の外側に設けられている。カソードマグネット36及びカソードマグネット38には、マグネット駆動部36a及びマグネット駆動部38aがそれぞれ接続されている。
成膜装置10での成膜時には、チャンバ本体12内にウエハWが搬入され、当該ウエハWがステージ16上に載置されて当該ステージ16によって支持される。そして、ステージ16の鉛直方向の位置が駆動機構18によって調整され、ステージ16が軸線AX中心に回転される。なお、ステージ16の回転は、成膜が行われる間、継続する。
次いで、ガス供給部からチャンバ12cにガスが供給され、排気装置14によってチャンバ12cが減圧される。そして、電源32及び電源34によってターゲット28及びターゲット30に電圧が印加される。また、カソードマグネット36及びカソードマグネット38がマグネット駆動部36a及びマグネット駆動部38aによって駆動される。これにより、プラズマがターゲット28及びターゲット30の近傍に集中する。
そして、ターゲット28及びターゲット30にプラズマ中の正イオンが衝突することで、ターゲット28及びターゲット30からそれぞれの構成物質が放出される。放出された構成物質はウエハW上に堆積する。これにより、ウエハW上に膜が形成される。
一実施形態では、ターゲット28及びターゲット30の各々は、タングステンとシリコンを含有するターゲットであり得る。この実施形態のターゲット28及びターゲット30では、ウエハW上に形成される膜がタングステン及びシリコンを含むアモルファス膜となるように、タングステン濃度及びシリコン濃度が調整されている。
成膜装置10において用いられるターゲットがタングステンとシリコンを含有するターゲットである場合には、ターゲット28及びターゲット30のうち少なくとも一方のターゲットが成膜に用いられ得る。ターゲット28及びターゲット30のうち一方のターゲットのみが成膜に用いられる場合には、当該一方のターゲットを保持するホルダのみに電圧が印加される。また、当該一方のターゲットに対応するカソードマグネットのみが対応のマグネット駆動部によって駆動される。
別の実施形態では、ターゲット28はタングステンから形成されており、ターゲット30はシリコンから形成されている。この実施形態では、ターゲット28及びターゲット30の双方が成膜に用いられる。また、タングステン及びシリコンを含有するアモルファス膜がウエハW上に形成されるように、ターゲット28に印加される電圧及びターゲット30に印加される電圧が調整される。
次に図3を参照して、一つの例示的実施形態に係る塗布装置PM2の構成を説明する。塗布装置PM2は、カセットステーションPM10、処理ステーションPM11、及びインターフェイスステーションPM13を備える。塗布装置PM2は、カセットステーションPM10、処理ステーションPM11、及びインターフェイスステーションPM13が一体に接続された構成を有し得る。
カセットステーションPM10は、複数枚のウエハWを収容したカセットCが搬入出されるように構成されている。処理ステーションPM11は、ウエハWに所定の処理を施すように構成された複数の各種処理装置を備えている。インターフェイスステーションPM13は、処理ステーションPM11に隣接する露光装置PM12との間でウエハWの受け渡しを行うように構成されている。
カセットステーションPM10には、カセット載置台PM20が設けられている。カセット載置台PM20には、塗布装置PM2の外部に対してカセットCを搬入出する際に、カセットCを載置するカセット載置板PM21が複数設けられている。
カセットステーションPM10には、図3に示すようにX方向に延びる搬送路PM22上を移動自在なウエハ搬送装置PM23が設けられている。ウエハ搬送装置PM23は、上下方向及び鉛直軸周り(θ方向)にも移動自在である。ウエハ搬送装置PM23は、各カセット載置板PM21上のカセットCと、後述する処理ステーションPM11の第3のブロックG3の受け渡し装置との間でウエハWを搬送できるように構成されている。
処理ステーションPM11には、各種装置を備えた複数例えば4つのブロック(第1のブロックG1、第2のブロックG2、第3のブロックG3、及び第4のブロックG4)が設けられている。例えば処理ステーションPM11の正面側(図3のX方向負方向側)には、第1のブロックG1が設けられている。処理ステーションPM11の背面側(図3のX方向正方向側)には、第2のブロックG2が設けられている。また、処理ステーションPM11のカセットステーションPM10の側(図3のY方向負方向側)には、第3のブロックG3が設けられている。処理ステーションPM11のインターフェイスステーションPM13の側(図3のY方向正方向側)には、第4のブロックG4が設けられている。
第1のブロックG1には、複数の液処理装置が設けられている。複数の液処理装置はそれぞれ、例えば、現像装置、有機溶剤供給装置、反射防止膜形成装置、中性層形成装置、レジスト塗布装置、及びブロック共重合体塗布装置等であり得る。現像装置、有機溶剤供給装置、反射防止膜形成装置、中性層形成装置、レジスト塗布装置、及びブロック共重合体塗布装置は、例えば、下から順に重ねられて配置されている。現像装置、有機溶剤供給装置、反射防止膜形成装置、中性層形成装置、レジスト塗布装置、及びブロック共重合体塗布装置は、例えば、それぞれ水平方向に三つ並べて配置されている。液処理装置の数や配置は、任意に選択され得る。
現像装置は、ウエハWを現像処理するように構成されている。有機溶剤供給装置は、ウエハW上に有機溶剤を供給するように構成されたポリマー除去装置として用いられている。反射防止膜形成装置は、ウエハW上に反射防止膜を形成するように構成されている。中性層形成装置は、ウエハW上に中性剤を塗布して中性層を形成するように構成されている。レジスト塗布装置は、ウエハW上にレジスト液を塗布してレジスト膜を形成するように構成されている。ブロック共重合体塗布装置は、ウエハW上にブロック共重合体を塗布するように構成されている。
上記の液処理装置では、ウエハW上に予め設定された塗布液を塗布するスピンコート処理が行われる。スピンコート処理では、例えば塗布ノズルからウエハW上に塗布液を吐出すると共にウエハWをコーターで回転させて、塗布液をウエハWの表面に拡散させる。
第2のブロックG2では、熱処理装置、紫外線照射装置、アドヒージョン装置、周辺露光装置、及びポリマー分離装置が、上下方向と水平方向に並べて設けられている。熱処理装置、紫外線照射装置、アドヒージョン装置、周辺露光装置、及びポリマー分離装置の数や配置は、任意に選択され得る。
熱処理装置は、ウエハWの熱処理を行うように構成されている。熱処理装置は、ウエハWを載置して加熱する熱板と、ウエハWを載置して冷却する冷却板とを有し、加熱処理と冷却処理の両方を行えるように構成されている。
紫外線照射装置は、ウエハWに対して紫外線を照射するように構成されている。アドヒージョン装置は、ウエハWを疎水化処理するように構成されている。周辺露光装置は、ウエハWの外周部を露光するように構成されている。ポリマー分離装置は、ブロック共重合体塗布装置でウエハW上に塗布されたブロック共重合体を親水性ポリマーと疎水性ポリマーに相分離させるように構成されている。
第3のブロックG3には、複数の受け渡し装置が下から順に設けられている。第4のブロックG4には、複数の受け渡し装置が下から順に設けられている。
第1のブロックG1~第4のブロックG4に囲まれた領域には、ウエハ搬送領域Dが形成されている。ウエハ搬送領域Dには、複数のウエハ搬送装置PM70が配置されている。
ウエハ搬送装置PM70は、ウエハ搬送領域D内を移動し、周囲の第1のブロックG1、第2のブロックG2、第3のブロックG3、及び第4のブロックG4内の所定の装置にウエハWを搬送できるように構成されている。複数のウエハ搬送装置PM70のそれぞれは、搬送アームPM70aを有する。搬送アームPM70aは、例えばY方向、X方向、θ方向、及び上下方向に移動自在に構成されている。
図3に示すように第3のブロックG3のX方向正方向側の隣には、ウエハ搬送装置PM90が設けられている。ウエハ搬送装置PM90は、例えばX方向、θ方向、及び上下方向に移動自在に構成された搬送アームPM90aを有している。ウエハ搬送装置PM90は、ウエハWを支持した状態で上下に移動して、第3のブロックG3内の各受け渡し装置にウエハWを搬送できるように構成されている。
インターフェイスステーションPM13には、ウエハ搬送装置PM91と受け渡し装置PM92が設けられている。ウエハ搬送装置PM91は、例えばY方向、θ方向及び上下方向に移動自在に構成された搬送アームPM91aを有している。ウエハ搬送装置PM91は、例えば搬送アームにウエハWを支持して、第4のブロックG4内の各受け渡し装置、受け渡し装置PM92、及び露光装置PM12との間でウエハWを搬送できるように構成されている。
塗布装置PM2及び成膜装置10には、制御部PM300が接続されている。制御部PM300は、例えばコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、塗布装置PM2及び成膜装置10を統括的に制御するコンピュータプログラムが格納されている。プログラム格納部には、図1に示されている方法MTを実現するためのコンピュータプログラムが格納されている。
このようなコンピュータプログラムは、例えばハードディスク、フレキシブルディスク、コンパクトディスク、マグネットオプティカルデスク、及びメモリーカードなどのコンピュータが読み取り得る記憶媒体に記録されたものであってよい。この場合、記録媒体に記録されたコンピュータプログラムは、当該記憶媒体から制御部PM300にインストールされて用いられ得る。
図1に戻って方法MTについて詳細に説明する。方法MTは、工程ST1~工程ST6を有する。工程ST1では、図4に示す状態K1のウエハWが準備される。状態K1のウエハWは、基板101及びシリコン含有膜102を有する。シリコン含有膜102は、基板101上に設けられている。なお、図4では基板101に接してシリコン含有膜102が設けられているが、基板101とシリコン含有膜102の間に、例えば、導電性や絶縁性を有する他の膜が設けられていてもよい。シリコン含有膜102は、単結晶シリコンの膜、多結晶シリコンの膜、酸化シリコンの膜、及び窒化シリコンの膜のうち何れか一つの膜を有する単層膜、或いは、何れか二以上の膜を有する多層膜であり得る。
続く工程ST2では、図4に示す状態K2のウエハWのように、シリコン含有膜102上にハードマスクが形成される。このハードマスクは、第1のハードマスク103(第1の膜)と、第1のハードマスク103上に設けられた第2のハードマスク104(第2の膜)とを有する。より具体的に、工程ST2では、第1のハードマスク103及び第2のハードマスク104がシリコン含有膜102上に順次形成される。工程ST2では、炭素含有膜105が第2のハードマスク104上に更に形成され得る。
工程ST2では、まず、第1のハードマスク103がシリコン含有膜102上に形成される。第1のハードマスク103は、シリコン含有膜102に対するプラズマエッチング用のハードマスクである。当該プラズマエッチングは、ハロゲン系ガスのプラズマを用いたドライエッチング(異方的反応性イオンエッチング)であり得る。第1のハードマスク103は、当該プラズマエッチング(後述の工程ST4)に対して高い耐性を有する。
第1のハードマスク103は、タングステン(W)及びシリコン(Si)を含む。第1のハードマスク103は、W及びSiを含むアモルファス膜である。例えば、第1のハードマスク103は、WSiを含む。第1のハードマスク103のWの濃度は、アモルファス状態が維持され、耐熱性(耐結晶化性)が実現され得る範囲内にある。第1のハードマスク103の膜厚は、300~400nmの範囲内にあり得るが、シリコン含有膜102の膜厚及びエッチング選択比に依存して設定され得る。
第1のハードマスク103は、プラズマエッチング(工程ST4)に対して高い耐性を有しているので、第1のハードマスク103の膜厚をより薄くすることができると共に、よれ(Twisting)が低減される。
第1のハードマスク103の成膜は、例えばスパッタリングによって実行され得る。第1のハードマスク103の成膜には、成膜装置10が用いられ、単体のターゲット又は複数のターゲットを用いたスパッタリング又はコスパッタリングが用いられ得る。コスパッタリングの場合、タングステン濃度は任意に設定され得る。
スパッタリングによる第1のハードマスク103の成膜に用いられる材料は、第1のハードマスク103がWSiを含む場合、例えば、一元系の場合にはWSiであり、二元系の場合にはW及びSiであり得る。スパッタリングによる第1のハードマスク103の成膜に用いられる温度は、室温であり得る。第1のハードマスク103の成膜にスパッタリングが用いられる場合には、タングステン濃度の制御及び結晶性の制御が良好に行われ得ると共に、不純物が低減され得る。
なお、第1のハードマスク103の成膜に、Chemical Vapor Deposition(CVD:化学気相成長)法を用いることもできる。この場合、熱又はプラズマによる気相成長によって第1のハードマスク103が成膜され得る。CVD法による第1のハードマスク103の成膜では、成膜に用いられるガスの混合比を調整することによって、Wの濃度が任意に設定され得る。
工程ST2では、第1のハードマスク103の成膜の後に、第2のハードマスク104の成膜が行われる。工程ST2によって、第2のハードマスク104は、第1のハードマスク103上に形成される。
第2のハードマスク104は、第1のハードマスク103に対するプラズマエッチング用のハードマスクである。当該プラズマエッチングは、ハロゲン系ガスのプラズマを用いたドライエッチング(異方的反応性イオンエッチング)であり得る。
第2のハードマスク104は、当該プラズマエッチング(後述の工程ST4)に対して高い耐性を有する。第2のハードマスク104は、ジルコニウム(Zr)又はチタン(Ti)及び酸素(O)を含む。第2のハードマスク104は、Zr又はTi及び酸素を含むアモルファス膜である。例えば、第2のハードマスク104は、酸化ジルコニウム又は酸化チタンであり得る。第2のハードマスク104のZr又はTiの濃度は、アモルファス状態が維持され、耐熱性(耐結晶化性)が実現され得る範囲内にある。第2のハードマスク104の膜厚は、30~200nmの範囲内にあり得るが、第1のハードマスク103の膜厚及びエッチング選択比に依存して設定され得る。
第2のハードマスク104は、塗布処理によって第1のハードマスク103上に形成される。より具体的に、第2のハードマスク104の形成(成膜)処理は、例えばスピンコート処理によって行われ得る。第2のハードマスク104の成膜には、塗布装置PM2が用いられる。
スピンコート処理による第2のハードマスク104の成膜に用いられる材料は、Zr又はTiを含む金属酸化物カルボキシレート、及び有機溶剤を含む。この有機溶剤は、例えば、エーテル、エステル、エーテルエステル、ケトン、ケトンエステル等であり得る。
スピンコート処理による第2のハードマスク104の成膜に用いられる温度(乾燥温度)は、摂氏200~400度の範囲内であり得る。スピンコート処理による第2のハードマスク104の成膜に用いられる温度は、第1のハードマスク103及び第2のハードマスク104が結晶化されない(アモルファス状態が維持される)温度範囲に設定され得る。
第2のハードマスク104の成膜にスピンコート処理が用いられる場合には、非真空系の装置で実行されるため、真空系の装置で実行される場合に比較して成膜処理が容易となり、コストが低減され得る。
なお、第2のハードマスク104の成膜にCVD法又はAtomic Layer Deposition(ALD:原子層堆積)法を用いることもできる。この場合、熱若しくはプラズマによる気相成長、又は界面成長によって第2のハードマスク104が成膜され得る。
工程ST2では、第2のハードマスク104の成膜の後に、炭素含有膜105の成膜が行われる。工程ST2によって、炭素含有膜105は、第2のハードマスク104上に形成される。
炭素含有膜105は、第1のハードマスク103及び第2のハードマスク104に対するプラズマエッチング用のマスクとして機能し得る。炭素含有膜105の膜厚は、200~300nmの範囲内にあり得る、第1のハードマスク103及び第2のハードマスク104の膜厚及びエッチング選択比に依存して設定され得る。
炭素含有膜105の成膜は、例えばスピンコート処理によって実現され得る。炭素含有膜105の成膜には、例えば塗布装置PM2が用いられる。スピンコート処理による炭素含有膜105の成膜に用いられる温度(乾燥温度)は、摂氏400~600度の範囲内にあり得る。スピンコート処理による炭素含有膜105の成膜に用いられる温度(乾燥温度)は、第1のハードマスク103及び第2のハードマスク104が結晶化されない(アモルファス状態が維持される)温度範囲に設定され得る。
続く工程ST3では、図4に示す状態K3のウエハWのように、シリコン含有膜102に転写されるパターンが炭素含有膜105に形成される。パターンは、ホール又は溝であり得る。
続く工程ST4では、図4に示す状態K4のウエハWのように、第1のハードマスク103及び第2のハードマスク104が、炭素含有膜105に形成されたパターンに従ってエッチングされる。
工程ST4では、エッチング装置(図示略)が用いられる。工程ST4では、まず、第2のハードマスク104がエッチングされる。より具体的に、工程ST4では、シリコン含有膜102に転写されるパターンが形成された炭素含有膜105をマスクとして第2のハードマスク104がエッチングされて、第2のハードマスク104に当該パターンが形成される。
第2のハードマスク104に対するエッチングは、ハロゲン原子を含むガスのプラズマを用いた異方的なエッチングである。より具体的に、第2のハードマスク104に対するエッチングは、例えばClガス、BClガス等のプラズマを用いた異方的反応性イオンエッチングであり得る。
工程ST4では、次いで、第1のハードマスク103がエッチングされる。より具体的に、工程ST4では、シリコン含有膜102に転写されるパターンが形成された第2のハードマスク104をマスクとして第1のハードマスク103がエッチングされて、第1のハードマスク103に当該パターンが形成される。
第1のハードマスク103に対するエッチングは、ハロゲン原子を含むガスのプラズマを用いた異方的なエッチングである。より具体的に、第1のハードマスク103に対するエッチングは、例えばClガス等のプラズマを用いた異方的反応性イオンエッチングであり得る。工程ST4の終了時には、第2のハードマスク104が残留し得るが、第2のハードマスク104が全て除去される場合もあり得る。
続く工程ST5では、図4に示す状態K5のウエハWのように、ハードマスクを用いてシリコン含有膜102がエッチングされる。工程ST5では、エッチング装置(図示略)が用いられる。より具体的に、工程ST5では、シリコン含有膜102に転写されるパターンが形成された第1のハードマスク103(更に第2のハードマスク104を含む場合があり得る)をマスクとしてシリコン含有膜102がエッチングされる。これにより、第1のハードマスク103に当該パターンが形成される。従って、工程ST5によって、シリコン含有膜102には、基板101に至るホール又は溝が形成され得る。工程ST5の終了時には、第1のハードマスク103のみが残留し得る。
工程ST5において実行されるシリコン含有膜102に対するエッチングは、フルオロカーボン系ガス(例えばCガス)、又は、ハイドロフルオロカーボン系ガス(例えばCHガス)のプラズマを用いた異方的反応性イオンエッチングであり得る。
続く工程ST6では、図4に示す状態K6のウエハWのように、第1のハードマスク103が除去される。工程ST5の終了時に第2のハードマスク104が残留している場合には、工程ST6では、第1のハードマスク103及び第2のハードマスク104が共に除去される。
工程ST6において実行される第1のハードマスク103を除去する処理は、スピンクリーニングによって実行され得る。この場合、薬液として、APM(ammonia peroxide mixture)液やFPM(hydrofluoric acid-hydrogen peroxide mixture)液等が用いられ得る。工程ST6における温度(洗浄温度)は、室温~摂氏70度の範囲内であり得る。
以下、第2のハードマスク104の評価のために行った実験について説明する。実験では、第2のハードマスク104と同様の構成の複数のハードマスクを形成し、これら複数のハードマスクの表面をX線回折法によって分析した。
図5及び図6には、本実験によって得られた結果が表されている。本実験のX線回折法では、2θ-ωスキャンにより、複数のハードマスク各々の内部のX線回折スペクトルを取得した。図5及び図6において、横軸は回折角2θ[deg.]を示しており、縦軸はLog強度[a.u.]を示している。
図5は、三つの酸化ジルコニウムのハードマスクをそれぞれ異なる温度で熱処理を行った後にX線回折法による解析を行った結果を示すグラフである。図5のグラフGPa1、GPa2、GPa3のそれぞれは、摂氏400度、摂氏500度、摂氏580度のそれぞれの温度で酸化ジルコニウムのハードマスクを熱処理した場合のX線解析法による解析結果を表している。
図6は、三つの酸化チタンのハードマスクをそれぞれ異なる温度で熱処理を行った後にX線回折法による解析を行った結果を示すグラフである。図6のグラフGPb1、GPb2、GPb3のそれぞれは、摂氏400度、摂氏500度、摂氏580度のそれぞれの温度で酸化チタンのハードマスクを熱処理した場合のX線解析法による解析結果を表している。
図5に示すように、熱処理温度500℃以上の場合には、結晶面の回折ピークが観察されており、ハードマスク中に酸化ジルコニウムの結晶が存在することが確認された。一方、ハードマスクの熱処理温度が400℃の場合には、結晶面の回折ピークが観察されず、したがって、ハードマスク中に酸化ジルコニウムの結晶がほぼ存在しないことが確認された。
また、図6に示すように、熱処理温度500℃以上の場合には、結晶面の回折ピークが観察されており、ハードマスク中に酸化チタンの結晶が存在することが確認された。一方、ハードマスクの熱処理温度が400℃の場合には、結晶面の回折ピークが観察されず、したがって、ハードマスク中に酸化チタンの結晶が略存在しないことが確認された。
次に、第2のハードマスク104を用いて第1のハードマスク103のエッチング(工程ST4)を行った実験結果について説明する。本実験では第1のハードマスク103としてタングステンシリコン膜のハードマスクを形成し、更に第2のハードマスク104と同様の酸化ジルコニウム、酸化チタン、酸化シリコンのハードマスクを第2のハードマスク104として形成して工程ST4を実行した。なお、比較例として、酸化シリコンのハードマスクを、TEOS(tetraethyl orthosilicate)を原料ガスとしたプラズマCVD(PE CVD)により形成して工程ST4を実行した。
工程ST4において、第1のハードマスク103のエッチング時には、第2のハードマスク104もエッチングされる。このため、図7には、第1のハードマスク103のエッチング膜厚と第2のハードマスク104のエッチング膜厚を比にしたエッチング選択比の計算結果が示されている。このエッチング膜厚は、エッチングによって除去された膜厚である。
第1のハードマスク103のエッチング膜厚は、EAによって表される。第2のハードマスク104のエッチング膜厚は、EAによって表される。
図7の縦軸は、エッチング選択比(Etch selectivity)を表している。このエッチング選択比は、EA/EAである。
図7に示されているように、摂氏400度以上の熱処理後において、酸化ジルコニウム及び酸化チタンの何れの第2のハードマスク104であっても、酸化シリコンのハードマスクに比べ極めて高いエッチング選択比が得られることが確認された。即ち、酸化ジルコニウム又は酸化チタンの第2のハードマスク104は、タングステンシリコンの第1のハードマスク103よりも、工程ST4で実行されるエッチングに対する耐性が高いことが確認された。このため、第1のハードマスク103の膜厚を例えば400nmに設定する場合、第2のハードマスク104の膜厚を20~30nm程度に設定することが可能となり、第2のハードマスク104の薄膜化が可能となる。
従って、第1のハードマスク103のエッチングによって第1のハードマスク103に形成されるパターンの形状異常は、十分に抑制され得る。このため、第1のハードマスク103の下層にあるシリコン含有膜102のエッチングによってシリコン含有膜102に形成される高アスペクト比のパターンの形状も、よれ(Twisting)等が抑制されて十分に良好となり得る。
上記説明した一つの例示的実施形態に係る方法MTによれば、シリコン含有膜102上に第1のハードマスク103及び第2のハードマスク104が順次設けられたハードマスクを用いて、シリコン含有膜102がエッチングされる。第1のハードマスク103はタングステンを含み、第2のハードマスク104は、ジルコニウム又はチタンを含む。第2のハードマスク104は第1のハードマスク103に比較して十分に高いエッチング耐性を有する。このため、第1のハードマスク103及び第2のハードマスク104を有するハードマスクに対するパターン形成ではパターンの形状異常が十分に抑制される。従って、形状異常が十分に抑制されたパターンが形成された第1のハードマスク103(更には第2のハードマスク104)を用いたシリコン含有膜102のエッチングによってシリコン含有膜102に形成されるパターンの形状異常も十分に抑制され得る。従って、シリコン含有膜102に形成されるパターンが高アスペクト比の場合であっても、よれ(Twisting)等が抑制されて十分に良好となり得る。
以上、種々の例示的実施形態について説明してきたが、上述した例示的実施形態に限定されることなく、様々な省略、置換、及び変更がなされてもよい。また、異なる実施形態における要素を組み合わせて他の実施形態を形成することが可能である。
以上の説明から、本開示の種々の実施形態は、説明の目的で本明細書で説明されており、本開示の範囲及び主旨から逸脱することなく種々の変更をなし得ることが、理解されるであろう。したがって、本明細書に開示した種々の実施形態は限定することを意図しておらず、真の範囲と主旨は、添付の特許請求の範囲によって示される。
10…成膜装置、12…チャンバ本体、12c…チャンバ、12g…ゲートバルブ、12p…ポート、12t…開口、14…排気装置、14a…アダプタ、16…ステージ、18…駆動機構、18a…支軸、18b…駆動装置、20…ホルダ、22…ホルダ、24…絶縁性部材、26…絶縁性部材、28…ターゲット、30…ターゲット、32…電源、34…電源、36…カソードマグネット、36a…マグネット駆動部、38…カソードマグネット、38a…マグネット駆動部、40…封止部材、AX…軸線、D…ウエハ搬送領域、G1…第1のブロック、G2…第2のブロック、G3…第3のブロック、G4…第4のブロック、MT…方法、PM10…カセットステーション、PM11…処理ステーション、PM12…露光装置、PM13…インターフェイスステーション、PM2…塗布装置、PM20…カセット載置台、PM21…カセット載置板、PM22…搬送路、PM23…ウエハ搬送装置、PM300…制御部、PM70…ウエハ搬送装置、PM70a…搬送アーム、PM90…ウエハ搬送装置、PM90a…搬送アーム、PM91…ウエハ搬送装置、PM91a…搬送アーム、PM92…受け渡し装置、W…ウエハ、K1…状態、K2…状態、K3…状態、K4…状態、K5…状態、K6…状態、101…基板、102…シリコン含有膜、103…第1のハードマスク、104…第2のハードマスク、105…炭素含有膜。

Claims (11)

  1. ウエハを処理する方法であって、
    基板と該基板上に設けられたシリコン含有膜とを有するウエハを準備する工程と、
    前記シリコン含有膜上にハードマスクを形成する工程と、
    前記ハードマスクをエッチングして、該ハードマスクにパターンを形成する工程と、
    前記パターンが形成された前記ハードマスクを用いて前記シリコン含有膜をエッチングする工程と、
    を備え、
    前記ハードマスクは、前記シリコン含有膜上に設けられタングステン及びシリコンを含む第1の膜と、該第1の膜上に設けられジルコニウム又はチタン及び酸素を含む第2の膜とを有する、
    方法。
  2. ウエハを処理する方法であって、
    基板と該基板上に設けられたシリコン含有膜とを有するウエハを準備する工程と、
    前記シリコン含有膜上にタングステン及びシリコンを含む第1の膜を形成する工程と、
    前記第1の膜上にジルコニウム又はチタン及び酸素を含む第2の膜を形成する工程と、
    前記第2の膜をマスクとして前記第1の膜をエッチングして、該第1の膜にパターンを形成する工程と、
    前記パターンが形成された前記第1の膜をマスクとして、前記シリコン含有膜をエッチングする工程と、
    を備える、
    方法。
  3. 第1の膜を形成する前記工程では、スパッタリングによって前記第1の膜を前記シリコン含有膜上に形成する、
    請求項2に記載の方法。
  4. 第1の膜を形成する前記工程では、化学気相成長法によって前記第1の膜を前記シリコン含有膜上に形成する、
    請求項2に記載の方法。
  5. 第2の膜を形成する前記工程では、塗布処理によって前記第2の膜を前記第1の膜上に形成する、
    請求項2~4の何れか一項に記載の方法。
  6. 前記塗布処理は、スピンコート処理である、
    請求項5に記載の方法。
  7. 第2の膜を形成する前記工程では、化学気相成長法又は原子層堆積法によって前記第2の膜を前記第1の膜上に形成する、
    請求項2~4の何れか一項に記載の方法。
  8. 第2の膜にパターンを形成する前記工程において行われる前記第2の膜のエッチング、及び第1の膜にパターンを形成する前記工程において行われる前記第1の膜のエッチングのそれぞれは、ハロゲン原子を含むガスのプラズマを用いた異方的なエッチングである、
    請求項2~7の何れか一項に記載の方法。
  9. 前記第1の膜及び前記第2の膜のそれぞれは、アモルファス膜である、
    請求項1~8の何れか一項に記載の方法。
  10. シリコン含有膜をエッチングする前記工程において行われる前記シリコン含有膜のエッチングは、フルオロカーボン系ガスのプラズマ又はハイドロフルオロカーボン系ガスのプラズマを用いた異方的なエッチングである、
    請求項1~の何れか一項に記載の方法。
  11. 前記シリコン含有膜は、単結晶シリコンの膜、多結晶シリコンの膜、酸化シリコンの膜、及び窒化シリコンの膜のうち何れか一つの膜を有する単層膜、或いは、何れか二以上の膜を有する多層膜である、
    請求項1~10の何れか一項に記載の方法。
JP2020039233A 2020-03-06 2020-03-06 ウエハを処理する方法 Active JP7402715B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2020039233A JP7402715B2 (ja) 2020-03-06 2020-03-06 ウエハを処理する方法
KR1020210023839A KR20210113044A (ko) 2020-03-06 2021-02-23 웨이퍼를 처리하는 방법
TW110106262A TW202139288A (zh) 2020-03-06 2021-02-23 處理晶圓之方法
US17/190,818 US20210280419A1 (en) 2020-03-06 2021-03-03 Wafer processing method
CN202110239207.4A CN113363143A (zh) 2020-03-06 2021-03-04 处理晶片的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020039233A JP7402715B2 (ja) 2020-03-06 2020-03-06 ウエハを処理する方法

Publications (2)

Publication Number Publication Date
JP2021141260A JP2021141260A (ja) 2021-09-16
JP7402715B2 true JP7402715B2 (ja) 2023-12-21

Family

ID=77524910

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020039233A Active JP7402715B2 (ja) 2020-03-06 2020-03-06 ウエハを処理する方法

Country Status (5)

Country Link
US (1) US20210280419A1 (ja)
JP (1) JP7402715B2 (ja)
KR (1) KR20210113044A (ja)
CN (1) CN113363143A (ja)
TW (1) TW202139288A (ja)

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000269192A (ja) 1999-03-16 2000-09-29 Toshiba Corp ドライエッチング方法および半導体装置の製造方法
JP2001284329A (ja) 2000-03-31 2001-10-12 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2007140460A (ja) 2005-06-08 2007-06-07 Canon Inc モールド、パターン形成方法、及びパターン形成装置
JP2012069803A (ja) 2010-09-24 2012-04-05 Fujifilm Corp 有機薄膜太陽電池及びその製造方法
JP2013509003A (ja) 2009-10-27 2013-03-07 ラム リサーチ コーポレーション ハロゲン除去のための方法及び装置
US20150047891A1 (en) 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Features with Fine Line Space and Methods for Forming the Same
JP2015129938A (ja) 2013-12-30 2015-07-16 ローム・アンド・ハース・エレクトロニック・マテリアルズ・コリア・リミテッド 光酸発生剤を含む反射防止コーティング組成物を用いるパターン形成方法
JP2015213108A (ja) 2014-05-01 2015-11-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2015041624A5 (ja) 2013-08-20 2016-07-07
JP2016192522A (ja) 2015-03-31 2016-11-10 大日本印刷株式会社 インプリントモールドの製造方法
JP2017049580A (ja) 2015-08-31 2017-03-09 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC コンタクトホール形成方法
WO2018084186A1 (ja) 2016-11-07 2018-05-11 東京エレクトロン株式会社 ハードマスク及びハードマスクを製造する方法
CN109755126A (zh) 2017-11-07 2019-05-14 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753945A (en) * 1995-06-29 1998-05-19 Northern Telecom Limited Integrated circuit structure comprising a zirconium titanium oxide barrier layer and method of forming a zirconium titanium oxide barrier layer
JP2956583B2 (ja) * 1996-05-31 1999-10-04 日本電気株式会社 半導体装置とその製造方法
JP3432744B2 (ja) * 1998-06-11 2003-08-04 株式会社東芝 半導体装置およびその製造方法
FR2834387B1 (fr) 2001-12-31 2004-02-27 Memscap Composant electronique incorporant un circuit integre et un micro-condensateur
JP2005150403A (ja) 2003-11-14 2005-06-09 Fujitsu Ltd 半導体装置の製造方法
JP4725451B2 (ja) 2006-03-27 2011-07-13 ヤマハ株式会社 絶縁ゲート型電界効果トランジスタの製法
KR100985412B1 (ko) * 2008-03-21 2010-10-06 주식회사 하이닉스반도체 저 시트저항 워드라인과 수직채널트랜지스터를 구비한반도체장치 및 그 제조 방법
JP6255187B2 (ja) * 2013-08-20 2017-12-27 東京エレクトロン株式会社 シリコン酸化膜をエッチングする方法
JP6288699B2 (ja) * 2014-01-10 2018-03-07 三菱重工業株式会社 内燃機関のノッキング判定装置及びノッキング制御装置
US9659811B1 (en) * 2016-07-07 2017-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Manufacturing method of semiconductor device
KR102456578B1 (ko) 2017-07-14 2022-10-20 삼성전자주식회사 하드마스크 조성물과 그 제조방법 및 하드마스크 조성물을 이용한 패턴층의 형성방법
US10699943B2 (en) * 2018-04-30 2020-06-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contacts in a semiconductor device
US10658190B2 (en) * 2018-09-24 2020-05-19 International Business Machines Corporation Extreme ultraviolet lithography patterning with directional deposition

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000269192A (ja) 1999-03-16 2000-09-29 Toshiba Corp ドライエッチング方法および半導体装置の製造方法
JP2001284329A (ja) 2000-03-31 2001-10-12 Toshiba Corp 半導体装置の製造方法及び半導体装置
JP2007140460A (ja) 2005-06-08 2007-06-07 Canon Inc モールド、パターン形成方法、及びパターン形成装置
JP2013509003A (ja) 2009-10-27 2013-03-07 ラム リサーチ コーポレーション ハロゲン除去のための方法及び装置
JP2012069803A (ja) 2010-09-24 2012-04-05 Fujifilm Corp 有機薄膜太陽電池及びその製造方法
US20150047891A1 (en) 2013-08-16 2015-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated Circuit Features with Fine Line Space and Methods for Forming the Same
JP2015041624A5 (ja) 2013-08-20 2016-07-07
JP2015129938A (ja) 2013-12-30 2015-07-16 ローム・アンド・ハース・エレクトロニック・マテリアルズ・コリア・リミテッド 光酸発生剤を含む反射防止コーティング組成物を用いるパターン形成方法
JP2015213108A (ja) 2014-05-01 2015-11-26 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2016192522A (ja) 2015-03-31 2016-11-10 大日本印刷株式会社 インプリントモールドの製造方法
JP2017049580A (ja) 2015-08-31 2017-03-09 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC コンタクトホール形成方法
WO2018084186A1 (ja) 2016-11-07 2018-05-11 東京エレクトロン株式会社 ハードマスク及びハードマスクを製造する方法
CN109755126A (zh) 2017-11-07 2019-05-14 中芯国际集成电路制造(上海)有限公司 半导体器件的制造方法

Also Published As

Publication number Publication date
US20210280419A1 (en) 2021-09-09
JP2021141260A (ja) 2021-09-16
TW202139288A (zh) 2021-10-16
KR20210113044A (ko) 2021-09-15
CN113363143A (zh) 2021-09-07

Similar Documents

Publication Publication Date Title
US11637037B2 (en) Method to create air gaps
CN105719952B (zh) 用于电介质蚀刻应用的集成蚀刻/清洁
JP5497278B2 (ja) 銅の異方性ドライエッチング方法および装置
US10515821B1 (en) Method of achieving high selectivity for high aspect ratio dielectric etch
TWI790265B (zh) 改良之金屬接觸定位結構
TWI775839B (zh) 具有選擇性阻隔層的結構
JP7443250B2 (ja) 原子層自己整合基板の処理及び統合型ツールセット
KR20070057057A (ko) 반도체 장치의 제조 방법, 기판 처리 시스템 및 기록 매체
KR100967458B1 (ko) 에칭 방법 및 에칭 장치
TWI761455B (zh) 半導體製造方法及電漿處理裝置
JP7402715B2 (ja) ウエハを処理する方法
WO2021150280A1 (en) Methods and devices for subtractive self-alignment
JP6951548B2 (ja) 金属酸化物の後処理の方法
TWI751326B (zh) 自對準通孔處理流程
US7569478B2 (en) Method and apparatus for manufacturing semiconductor device, control program and computer storage medium
TWI754180B (zh) 用於形成薄膜的處理腔室與方法
JP4128365B2 (ja) エッチング方法及びエッチング装置
TWI843902B (zh) 用於減除式自我對齊之方法及裝置
TWI798215B (zh) 選擇性側壁間隔物
TW201903885A (zh) 含矽間隔物的選擇性形成
TW201903834A (zh) 自對準觸點與閘極處理流程
TWI782981B (zh) 子鰭片至絕緣體矽之轉換
JP2023127349A (ja) ハードマスク、基板処理方法、およびハードマスクの除去方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230808

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230810

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231114

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20231211

R150 Certificate of patent or registration of utility model

Ref document number: 7402715

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150