JP2013509003A - ハロゲン除去のための方法及び装置 - Google Patents

ハロゲン除去のための方法及び装置 Download PDF

Info

Publication number
JP2013509003A
JP2013509003A JP2012536909A JP2012536909A JP2013509003A JP 2013509003 A JP2013509003 A JP 2013509003A JP 2012536909 A JP2012536909 A JP 2012536909A JP 2012536909 A JP2012536909 A JP 2012536909A JP 2013509003 A JP2013509003 A JP 2013509003A
Authority
JP
Japan
Prior art keywords
wafer
chamber
degassing
station
deaeration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012536909A
Other languages
English (en)
Other versions
JP5693596B2 (ja
Inventor
シン・ハーミート
サント・サンケット
チョウ・シャン−アイ
バヘディ・バヒド
カサエス・ラファエル
ラマチャンドラン・シーサーマン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/606,528 external-priority patent/US8232538B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2013509003A publication Critical patent/JP2013509003A/ja
Application granted granted Critical
Publication of JP5693596B2 publication Critical patent/JP5693596B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

【解決手段】入口ロードロックチャンバのなかに、ウエハが提供される。入口ロードロックチャンバのなかに、真空が形成される。ウエハは、加工ツールへ搬送される。加工されたウエハを提供するために、ウエハは、プロセスチャンバにおいて加工され、該加工は、ウエハ上にハロゲン残留物を形成する。ウエハの加工後、プロセスチャンバにおいて脱気工程が提供される。加工されたウエハは、脱気チャンバのなかへ移される。加工されたウエハは、脱気チャンバにおいて、UV光と、オゾン、酸素、又はH2Oの少なくとも1つを含むガス流とによって処理される。ガス流は、停止される。UV光は、停止される。加工されたウエハは、脱気チャンバから取り出される。
【選択図】図3

Description

本発明は、半導体デバイスに関する。より詳細には、本発明は、ハロゲンが使用されるウエハ加工を必要とする半導体デバイスの生産に関する。
半導体デバイスの形成中、ウエハは、加工ツールにおいて加工され、そこで、ウエハは、ウエハの表面上に残留プロセスガス、断片、及び副産物を残らせるプロセスを経る。これらの残留物の非限定的な例として、ハロゲン含有種が挙げられる。
残留物を除去する1つの方法は、加工されたウエハを下流ストリッパにおいてマイクロ波又は誘導結合プラズマ源によって処理することによる。下流ストリッパモジュールは、加工ツールのなかの1つのモジュールとして配することができる。加工されたウエハは、次いで、下流ストリッパモジュールのなかに配され、そこで、加工されたウエハの表面上のハロゲン化物が除去/低減される。しかしながら、この剥ぎ取りプロセスは、加工ツールの真空内における加工の後に生じる。ゆえに、下流ストリッパモジュールは、加工ツールのなかの貴重な場所の1つを占有する。加工ツールのなかのこの場所を下流ストリッパモジュールのために使用するということは、その場所を別のプロセスチャンバのために使用することができず、結果としてウエハ加工のスループットを低下させることを意味する。
以上を達成するために、及び本発明の目的にしたがって、ウエハを加工するための方法が提供される。入口ロードロックチャンバのなかに、ウエハを入れる。入口ロードロックチャンバのなかを、真空にする。ウエハは、入口ロードロックチャンバから加工ツールへ搬送される。加工されたウエハを提供するために、ウエハは、加工ツールのなかのプロセスチャンバにおいて加工され、該加工は、ウエハ上にハロゲン残留物を形成する。ウエハの加工後、プロセスチャンバにおいてウエハに対して脱気工程が提供される。加工されたウエハは、脱気チャンバのなかへ移され、脱気チャンバのなかでは、真空が維持される。加工されたウエハは、脱気チャンバにおいて、UV光と、オゾン、酸素、又はH2Oの少なくとも1つを含むガス流とによって処理される。ガス流は、停止される。UV光は、停止される。加工されたウエハは、脱気チャンバから取り出される。
ウエハを加工するための方法が提供される。入口ロードロックチャンバのなかに、ウエハを入れる。入口ロードロックチャンバのなかを、真空にする。ウエハは、入口ロードロックチャンバから加工ツールへ搬送される。加工されたウエハを提供するために、ウエハは、加工ツールのなかのプロセスチャンバにおいて加工され、該加工は、ウエハ上にハロゲン残留物を形成する。加工されたウエハは、脱気チャンバのなかへ移される。加工されたウエハは、脱気チャンバにおいて、UV光と、オゾン、酸素、又はH2Oの少なくとも1つを含むガス流とによって処理される。ガス流は、停止される。UV光は、停止される。加工されたウエハは、脱気チャンバから取り出される。
発明の別の顕現において、シリコンウエハを加工するための方法が提供される。入口ロードロックチャンバのなかに、シリコンウエハを入れる。入口ロードロックチャンバのなかを、真空にする。ウエハは、入口ロードロックチャンバから加工ツールへ搬送される。ウエハのエッチングが実施される。フッ素含有ウエハプロセスが実施され、該プロセスは、ウエハ上にハロゲン残留物を残らせる。プロセスチャンバにおいて、ハロゲン残留物の少なくとも幾らかを除去する脱気工程がウエハに対して提供され、プロセスチャンバにおけるウエハに対する脱気工程の提供は、酸素が少なくとも5%のハロゲンフリーガスを提供することと、該酸素が少なくとも5%のガスからプラズマを形成することとを含む。加工されたウエハは、脱気チャンバのなかへ移され、脱気チャンバのなかでは、真空が維持される。加工されたウエハは、脱気チャンバにおいて、UV光と、オゾン、酸素、又はH2Oの少なくとも1つを含むガス流とによって処理される。ガス流は、停止される。UV光は、停止される。加工されたウエハは、脱気チャンバから取り出される。
本発明のこれらの及びその他の特徴は、図面との関連のもとで、発明の詳細な説明において以下で更に詳しく説明される。
添付の図面において、本発明は、限定的なものではなく例示的なものとして示されており、図中、類似の参照符号は、同様の要素を指すものとする。
発明の一実施形態のフローチャートである。
発明の一実施形態を含むシステムの概略図である。
分離ステーションとカセットとを伴う大気圧搬送モジュールの概略図である。
脱気チャンバ及び関連コンポーネントの一実施形態の概略図である。
発明の実施において使用可能なコンピュータシステムの概略図である。 発明の実施において使用可能なコンピュータシステムの概略図である。
脱気チャンバ及び関連コンポーネントの別の実施形態の概略図である。
発明の別の実施形態のハイレベルフローチャートである。
発明の一実施形態にしたがって加工されたウエハの断面図である。 発明の一実施形態にしたがって加工されたウエハの断面図である。 発明の一実施形態にしたがって加工されたウエハの断面図である。
プロセスチャンバ工程及びその場(in-situ)脱気工程におけるウエハプロセスのより詳細なフローチャートである。
次に、添付の図面に示されるような幾つかの実施形態を参照にして、本発明が詳細に説明される。以下の説明では、本発明の完全な理解を与えるために、多くの詳細が明記されている。しかしながら、当業者ならば、本発明が、これらの一部又は全部の詳細を伴わなくても実施可能であることが明らかである。また、本発明を不必要に不明瞭にしないために、周知のプロセス工程及び/又は構造の詳細な説明は省かれている。
半導体デバイスの生産中にウエハが加工されるときに、ウエハは、処理の結果としてしばしばハロゲン残留物を残される。残留物を含むウエハが大気中に戻されると、それらの残留物は、大気中の湿気と反応して汚染を生じるだろう。残留物によって生じるだろう汚染には、3つの主なタイプ、すなわち、1)自己汚染、2)交差汚染、及び3)機器汚染がある。
自己汚染は、ウエハ上の残留物が大気と反応してウエハ自体に損傷をもたらすときに生じるだろう。例えば、ウエハ上における凝縮は、結晶構造の欠陥、マスクの浸食、又はパターンの崩壊をもたらす恐れがある。
交差汚染は、残留物を含むウエハが、未加工ウエハを含むその他のウエハのごく近くに配されるときに生じるだろう。例えば、これは、加工されたウエハが大気のなかのカセットに戻すためにロードロックに入れられ、未加工のウエハが加工のためにロードロックから取り出されるのに伴って、加工されたウエハと未加工のウエハとが接近するときに生じるだろう。更なる例は、加工されたウエハが、多くの未加工のウエハを含むカセットに戻されるときである。このようにごく近くにあるときは、加工されたウエハからの残留物が未加工のウエハへ移り、未加工のウエハを汚染するだろう。この交差汚染は、未加工のウエハが引き続き加工されるときに、それらの未加工のウエハにおいて欠陥を引き起こす恐れがある。例えば、汚染は、マイクロマスク欠陥を引き起こす恐れがある。
機器汚染は、残留物を伴うウエハが大気中に達し、残留物が大気中の湿気と反応するときに生じるだろう。この反応は、酸性ガス放出を引き起こすことがあり、これは、例えばウエハを移送するために使用されるロボットアームなどの、ウエハを取り扱う機器に伝わる恐れがある。汚染物質の酸性特質は、すると、時間の経過とともに腐食損傷を引き起こす恐れがある。
ウエハ加工のスループットを低下させず、尚且つ下流ストリッパモジュールにおいて使用される高温を必要としないような、ハロゲン残留物からの汚染を低減させる又は排除する方法が必要とされている。ウエハによっては、下流ストリッパモジュールにおいて使用される多くは200℃から400℃である高温に耐えられない材料で作成されるものがある。例えば、非晶質炭素を使用したウエハは、下流ストリッパにおいて必要とされる温度に曝されると単純に燃え上がると考えらえる。
図1は、発明の一実施形態のハイレベルフローチャートである。未加工のウエハは、通常、加工ツールの外側において、複数のウエハを保持可能なカセットのなかの標準大気中から開始する。加工されるためには、ウエハは、加工ツールの真空のなかへ移されなければならない。この実施形態では、ウエハは、カセットから取り出され(工程102)、入口ロードロックのなかへ移動される(工程104)。入口ロードロックは、閉じられ、入口ロードロックのなかを、真空にする(工程108)。入口ロードロックのなかに真空が確立されたら、加工ツールの真空へのドアが開かれ、ウエハは、入口ロードロックから加工ツールへ移送され(工程112)、そこで、ウエハは、加工される(工程116)。加工は、例えば、エッチングマスクをあてがうこと、エッチングを施すこと、又はエッチングマスクを剥ぎ取ることを含むことができる。加工は、実際は、加工ツール内における1つ又は複数のプロセスチャンバの使用を必要とするだろう複数のプロセスを含むことができる。加工されたウエハは、すると、脱気チャンバのなかへ移される(工程120)。脱気チャンバは、次いで、密閉され、真空を含むようになる。ウエハは、ハロゲン化物残留物を取り除くために、UV光及びガス混合によって処理される(工程124)。ガス混合は、オゾン、酸素、又はH2Oの少なくとも1つを含む。ガス混合は、加工されたウエハの上を流れる間に、脱気チャンバから押し出されもする。定められた処理時間の後、ガス流は停止され(工程128)、脱気チャンバは大気に通気され(工程132)、UV光は停止される(工程136)。脱気チャンバのなかの圧力が脱気チャンバの外側の大気と等しくなったら、処理されたウエハは、脱気チャンバから取り出される(工程140)。処理されたウエハは、次いで、残りのあらゆる残留物の散逸を可能にする期間にわたって隔離ステーションのなかに置くことができる(工程144)。処理されたウエハは、次いで、カセットへ移送することができる(工程148)。
脱気ロードロックステーションの一実施形態は、以下で詳細に説明される幾つかのコンポーネントを含む。
図2は、加工ツール200の上面図であり、発明の一実施形態のコンポーネントを含む。カセット202は、加工される前の未加工のウエハを収容し、加工ツール200における全ての加工と、脱気チャンバにおける処理とが完了したときには、処理されたウエハを保持する。カセット202は、多数のウエハを保持することができ、しばしば25枚にも達する。エアロックステーション205は、大気搬送モジュール(ATM)214の大気と、真空搬送モジュール(VTM)212の真空との間でウエハを移送して行ったり来たりするように動作するデバイスのセットを表す。VTM212は、処理ツールの一部であり、複数のプロセスチャンバ208につながれている。プロセスチャンバ208には、様々なタイプのものがあってよい。例えば、エッチングマスクをあてがう、エッチングを施す、又はエッチングマスクを剥ぎ取るそれぞれのために、異なるプロセスチャンバ208があってよい。或いは、スループットの向上を助けるために、同じタイプのプロセスチャンバ208が2つ又は3つ以上あってよい。
図3は、分離ステーション210及びカセット202とあわせてATM214の側面図を示している。エアロックステーション205は、脱気チャンバ336及び入口ロードロック334を含むものとして、より詳細に示されている。入口ロードロック334は、大気へのドア318と、真空へのドア320と、ウエハホルダ306と、通気口(不図示)と、排気手段(不図示)とを有する。脱気チャンバ336及び入口ロードロック334は、VTM212のなかの真空を維持するような方式で、VTM212に取り付けられなければならない。したがって、VTM212に大気が入り込むことを阻止するために、VTM212が脱気チャンバ336及びロードロック334に出会う地点には真空シール324がある。図3は、入口ロードロック334及び脱気チャンバ336を別々の構造として示しているが、これらは、別々のピースを合わせたものである又は1つのピースとして機械加工されたものであることが可能である。分離ステーション210は、残りのあらゆる残留物の散逸を可能にするために、複数の処理されたウエハを保持する。分離ステーション210は、機器汚染によってなされるあらゆる損傷を軽減するために、非腐食性材料で作成されることが好ましい。分離ステーション210は、分離ステーション210から空気を押し出すことによってウエハから残りのあらゆる残留物を引き離すことを助ける排出口322を有する。
図4は、代表的な脱気チャンバを、関連コンポーネントとともにより詳細に示している。脱気チャンバ336は、非腐食性材料で作成されてよく、例えば、陽極酸化されてよい。脱気チャンバ336は、大気へのドア302と、真空へのドア304と、ウエハホルダ308と、UV光源310と、排出システム410と、圧力センサ414と、通気口316と、ガス注入器408とを含む。
図3及び図4は、UV光源310を、脱気チャンバ336の外側に位置するものとして示している。この実施形態では、脱気チャンバ336は、窓314を含み、該窓を通して、UV光源310からのUV光312は、下方でウエハホルダ308のなかに保持されている加工されたウエハを照らす。図6には、代替の一実施形態が示されており、ここでは、UV光源310は、脱気チャンバ336の内側に位置している。その場所が脱気チャンバ336の内側又は外側のいずれにあるにせよ、UV光源310は、処理されているウエハから約30cm以下に位置していることが好ましい。より好ましくは、UV光源310は、処理されているウエハのできるだけ近くに位置している。
ガス注入器408は、ガスを脱気チャンバ336に注入する。ガスは、ガス源402によって提供される。代表的なガス源402は、提供される様々なガスを保持するための幾つかの個別ガスタンク404と、酸素タンク416と、水蒸気源405と、オゾン生成器418とを含むことができる。ガス源402は、また、個別ガスタンク404及び水蒸気源405のそれぞれのための制御弁406を含む。酸素制御弁420及びオゾン制御弁422もある。図4は、個別ガスタンク404、水蒸気源405、及び酸素タンク416を示しているが、異なる数の個別ガスを保持するための必要に応じて異なる数のガスタンク/ガス源404があってよい。例えば、注入されるガスは、オゾンと酸素との混合を含んでいてよく、したがって、この場合は、少なくとも、酸素を保持するための酸素タンク416と、酸素の一部をオゾンに変換するためのオゾン生成器418とがあってよい。しかしながら、例えば、もしガスが窒素も含むならば、窒素を保持するためのガスタンク404があってよい。
ガス源402の代替の実施形態が、図6に示されている。この実施形態では、オゾン生成器418はない。オゾン生成器418がないときは、オゾンは、UV光源310からの特殊なUV光312を利用することによって、脱気チャンバ内において生成することができる。通常は、酸素からオゾンを生成するために、200nm未満の波長を使用することができる。図4は、ガス源402の一実施形態を、脱気チャンバ336の一実施形態とあわせて示しており、図6は、ガス源402の別の実施形態を脱気チャンバ336の別の実施形態とあわせて示しているが、これらのパーツは、互いに入れ替え可能である。したがって、図4のガス源402は、図6の脱気チャンバ336とあわせて使用されてよく、図6のガス源402は、図4の脱気チャンバとあわせて使用されてよい。発明の一部の実施形態では、水蒸気源を提供することができる。
適切なガス注入器408の一例は、5本指注入器であり、注入されるガスが均等な広がりの流れを有するように互いから離れて広がって狙いを定めた5本の個別注入器ノズルを有する。ガス注入器408及び排出システム410は、ガスがガス注入器408に入り、ウエハの上を流れ、次いで排出システム410によって脱気チャンバ336から引き出されることを可能にするような方式で、位置決めされる必要がある。例えば、図4に示された実施形態は、脱気チャンバ336の一方の側の最上部にガス注入器408を、そして反対側の最下部に排出システム410を位置決めして示している。適切な排出システム410は、例えば、導管と、専用エアポンプとを含むことができる。別の実施形態では、排出システム410は、非専用エアポンプにつながる導管のみを含むことができる。
圧力センサ414、排出システム410、UV光源310、制御弁406、酸素制御弁420、及びオゾン制御弁422に、コントローラ412がつながれて示されている。しかしながら、コントローラは、例えば、通気口316、大気へのドア302、及び真空へのドア304などのその他のコンポーネントにつながれてそれらを制御することもできる。
図5A及び図5Bは、本発明の実施形態において使用されるコントローラ412を実装するのに適したコンピュータシステム500を例示している。図5Aは、コンピュータシステムとして考えられる1つの物理的形態を示している。もちろん、コンピュータシステムは、集積回路、プリント回路基板、及び小型の携帯用端末から巨大なスーパーコンピュータに至る数多くの物理的形態をとることができる。コンピュータシステム500は、モニタ502と、ディスプレイ504と、筐体506と、ディスクドライブ508と、キーボード510と、マウス512とを含む。ディスク514は、コンピュータシステム500との間でデータをやりとりするために使用されるコンピュータ可読媒体である。
図5Bは、コンピュータシステム500のブロック図の一例である。システムバス520には、種々様々なサブシステムが取り付けられる。(1つ又は2つ以上の)プロセッサ522(中央演算処理装置、すなわちCPUとも称される)は、メモリ524を含むストレージデバイスに接続される。メモリ524は、ランダムアクセスメモリ(RAM)及び読み出し専用メモリ(ROM)を含む。当該分野において知られるように、ROMは、CPUに対してデータ及び命令を単方向的に伝送する働きをし、RAMは、通常は、データ及び命令を双方向的に伝送するために使用される。これらのタイプのメモリは、ともに、後述される任意の適切なコンピュータ可読媒体を含むことができる。CPU522には、固定ディスク526も双方向的に接続され、これは、追加のデータストレージ容量を提供し、やはり、後述される任意のコンピュータ可読媒体を含むことができる。固定ディスク526は、プログラムやデータなどを格納するために使用されてよく、通常は、一次ストレージよりも低速な二次ストレージ媒体(ハードディスクなど)である。なお、固定ディスク526内に保持される情報は、もし適切であれば、メモリ524のなかに仮想メモリとして標準的な形で組み入れ可能であることがわかる。取り外し可能ディスク514は、以下で説明される任意のコンピュータ可読媒体の形態をとることができる。
CPU522は、ディスプレイ504、キーボード510、マウス512、及びスピーカ530などの様々な入出力デバイスにもつなぐことができる。一般に、入出力デバイスは、ビデオディスプレイ、トラックボール、マウス、キーボード、マイクロフォン、タッチセンサ式ディスプレイ、トランスデューサカード読み取り装置、磁気テープ若しくは紙テープ読み取り装置、タブレット、スタイラス、音声若しくは手書き文字認識装置、バイオメトリック読み取り装置、又はその他のコンピュータのうちの任意であってよい。CPU522は、ネットワークインターフェース540を使用して、別のコンピュータ又は通信ネットワークに随意につなぐことができる。このようなネットワークインターフェースがあれば、CPUは、上述された方法の工程を実施する過程において、ネットワークから情報を受信する、又はネットワークに情報を出力することができるだろう。更に、本発明の方法の実施形態は、CPU522上のみで実行されてもよいし、或いは処理の一部を共有するリモートCPUと連携してインターネットなどのネットワークを通じて実行されてもよい。
また、本発明の実施形態は、更に、コンピュータによって実行される各種の動作を実施するためのコンピュータコードを記録されたコンピュータ可読媒体を伴ったコンピュータストレージ製品に関する。媒体及びコンピュータコードは、本発明の目的のために特別に設計及び構築されたものであってもよいし、又はコンピュータソフトウェアの分野の当業者にとって周知で且つ利用可能なものであってもよい。コンピュータ可読媒体の非限定的な例として、ハードディスク、フロッピィディスク、及び磁気テープなどの磁気媒体、CD−ROM及びホログラフィックデバイスなどの光媒体、フロプティカルディスクなどの光磁気媒体、並びに特定用途向け集積回路(ASIC)、プログラム可能論理デバイス(PLD)、ROMデバイス、及びRAMデバイスなどプログラムコードの格納及び実行のために特別に構成されたハードウェアデバイスが挙げられる。コンピュータコードの例には、コンパイラによって作成されるなどのマシンコード、及びインタープリタを使用してコンピュータによって実行される高水準コードを含むファイルがある。コンピュータ可読媒体は、搬送波に盛り込まれたコンピュータデータ信号によって伝送され、プロセッサによって実行可能な一連の命令を表すような、コンピュータコードであってもよい。
発明の更に詳細な一実施形態では、未加工のウエハは、通常、カセット202のなかから開始する。未加の工ウエハは、カセット202から取り出され(工程102)、大気へのドア308を通って入口ロードロック334へ移送される(工程104)。これは、図3において、第1のワークフロー方向指示330によって示されている。手順が開始するときは、真空へのドア320が閉じられ、大気へのドア318が開かれる。ウエハは、次いで、ウエハホルダ306のなかに保持され、大気へのドア318は、閉じられて密閉される。排気手段は、密閉された入口ロードロック334のなかの大気を排気し、そうして真空を形成する(工程108)。すると、真空へのドア320が開かれ、ウエハは、入口ロードロック334から送出されてVTM212を経て加工ツール200のなかのプロセスチャンバ208に入る(工程112)。プロセスチャンバ208において、ウエハは、加工される(工程116)。例えば、加工は、ウエハにエッチングマスクをあてがうこと、ウエハにエッチングを施すこと、又はウエハからエッチングマスクを剥ぎ取ることを含むことができる。複数のプロセスチャンバ208が使用されてよく、1枚のウエハは、1つ又は2つ以上のプロセスチャンバの使用を必要とするだろう1つ又は2つ以上のプロセスを経ることができる。
加工後、加工されたウエハは、プロセスチャンバ208から取り出され、VTM212を経て脱気チャンバ336へ移送される(工程120)。加工されたウエハをVTM212から受け取るためには、脱気チャンバ336のなかに真空がなければならない。したがって、脱気チャンバ336がウエハを受け取る前に、脱気チャンバの両ドア302、304が密閉されなければならず、次いで、脱気チャンバ336のなかの大気が、排出システム410によって排気される。圧力センサ414が脱気チャンバ336のなかに真空を検出したら、真空へのドア304が開かれ、脱気チャンバ336は、加工されたウエハを受け取る用意が整う。この時点で、脱気チャンバ336の大気へのドア302は、まだ密閉されており、真空へのドア304は、開かれており、ウエハは、開いている真空へのドア304を通って脱気チャンバ336のなかへ移される(工程120)。脱気チャンバ336のなかに入ると、ウエハは、ウエハホルダ308によって保持され、真空へのドア304は、閉じられて密閉される。ウエハは、こうして、脱気チャンバ336において処理される用意が整う。
UV光312は、オンにされ、ガス注入器408は、加工されたウエハを処理するために、脱気チャンバ336にガスを注入する(工程124)。一実施形態では、注入されるガスは、オゾン又は酸素の少なくとも1つを含有している。ガスは、また、窒素及び/又は水蒸気などのその他の成分も含有することができる。代表的なガス混合は、15wt%のオゾンと、85wt%の酸素とで構成される。ガスは、好ましくは、おおよそ3wt%からおおよそ30wt%のオゾンを含有している。より好ましくは、ガスは、おおよそ5wt%からおおよそ20wt%のオゾンを含有している。ガス混合の組成は、制御弁406、酸素制御弁420、及びオゾン制御弁422を制御し、必要とされるガスを様々な流量で可能にすることによって設定することができる。ガスは、ウエハの上に流され、次いで、排出システム410から排出される。
ウエハは、脱気チャンバ336において、ハロゲン残留物がウエハから実質的に除去されることを可能にする期間にわたってUV光312及びガスによって処理される。好ましくは、処理は、5秒間から20分間にわたって持続する。より好ましくは、処理は、10秒間から120秒間にわたって持続する。処理が完了したら、ガス流は、停止される(工程128)。排出システム410は、脱気チャンバ336が通気される前に残りのガスが脱気チャンバ336から除去されることを可能にするために、少しの間、動作状態のままにされてよい。脱気チャンバ336は、通気口316によって大気圧に通気され(工程132)、UV光312は、停止される(工程136)。脱気チャンバ336につながれた圧力センサ414は、大気へのドア302が開かれる前に脱気チャンバ336の内側の圧力が脱気チャンバ336の外側の圧力と一致することを保証するために使用することができる。
大気へのドア302が開かれ、ウエハは、大気へのドア302を通って脱気チャンバ336から取り出される(工程140)。ウエハは、次いで、第2のワークフロー方向指示328によって示されるように、隔離ステーション210へ移すことができる(工程144)。隔離ステーション210は、残りのあらゆる残留物の散逸を可能にするために、処理されたウエハを一定期間にわたって保持することができる。好ましくは、処理されたウエハは、1分間から30分間にわたって隔離ステーションのなかに保持される。もし隔離ステーション210が排出口322を有するならば、それは、隔離ステーション210から空気を押し出すことによって残りのあらゆる残留物をウエハから引き離すことを助けるために、オンにすることができる。隔離ステーション210において終了したら、ウエハは、第3のワークフロー方向指示326によって示されるように、カセット202に戻される(工程148)。或いは、ウエハは、第4のワークフロー方向指示332によって示されるように、隔離ステーション210を必要とすることなく脱気チャンバ336からカセット202へ直接移すことができる。
別の実施形態では、脱気チャンバ336は、大気へのドア302を有していない。その代わり、ウエハは、VTMのなかへ戻され、次いでロードロック334へ戻される。
具体的には、代表的な脱気ロードロックステーションは、脱気チャンバと、ウエハホルダと、圧力センサと、UV光源と、ガス注入器と、排出システムと、通気口と、真空シールと、隔離ステーションとを含む。
脱気ロードロックステーションの追加は、下流ストリッパに内在する欠陥も回避しつつ、汚染残留物によって引き起こされる問題を解決することを助ける。加工ツールのなかの貴重な場所を占有することのない脱気ロードロックステーションを追加することによって、加工ツールに別のプロセスチャンバを設けることができ、ゆえに、汚染残留物の除去も助けつつ、加工ツールの全体スループットを向上させることができる。加えて、脱気ロードロックステーション及びそれを使用する方法は、下流ストリッパにおいて必要とされる高温を必要としない。脱気ロードロックステーションの追加によって、加工ツール200に入る未加工のウエハ及び加工ツール200から出る加工されたウエハは、異なる経路で移動することができる。入ってくる未加工のウエハは、入口ロードロック334を通って移動し、出ていく加工されたウエハは、脱気チャンバ336を通って移動する。したがって、例えば、入ってくるウエハ及び出ていくウエハの両方を移送すると考えられる1つの双方向エアロックにおけるように、汚染残留物を有する加工されたウエハが未加工のウエハのごく近くにくることはない。加えて、加工されたウエハは、入口ロードロック334を通って移動しないので、入口ロードロック334自体を汚染することはできない。これは、加工されたウエハと未加工のウエハとの間における交差汚染を阻止することを助ける。
図7は、発明の別の実施形態のハイレベルフローチャートである。未加工ウエハは、通常、加工ツールの外側において、複数のウエハを保持可能なカセットのなかの標準大気中から開始する。加工されるためには、ウエハは、加工ツールの真空のなかへ移されなければならない。この実施形態では、ウエハは、カセットから取り出され(工程702)、入口ロードロックのなかへ移動される(工程704)。入口ロードロックは、閉じられ、入口ロードロックのなかを、真空にする(工程708)。入口ロードロックのなかに真空が確立されたら、加工ツールの真空へのドアが開かれ、ウエハは、入口ロードロックから加工ツールへ移送され(工程712)、そこで、ウエハは、プロセスチャンバにおいて加工される(工程716)。加工は、例えば、エッチングマスクをあてがうこと、エッチングを施すこと、又はエッチングマスクをはぎ取ることを含むことができる。加工は、実際は、加工ツール内における1つ又は複数のプロセスチャンバの使用を必要とするだろう複数のプロセスを含むことができる。最後のプロセスが実施されたプロセスチャンバのなかで、後続の脱気プロセスが実施される(工程718)。加工されたウエハは、すると、脱気チャンバのなかへ移される(工程720)。脱気チャンバは、次いで、密閉され、真空を含むようになる。ウエハは、ハロゲン化物残留物を取り除くために、UV光及びガス混合によって処理される(工程724)。ガス混合は、オゾン、H2O、又は酸素の少なくとも1つを含む。ガス混合は、加工されたウエハの上を流れる間に、脱気チャンバから押し出されもする。定められた処理時間の後、ガス流は停止され(工程728)、脱気チャンバは大気に通気され(工程732)、UV光は停止される(工程736)。脱気チャンバのなかの圧力が脱気チャンバの外側の大気と等しくなったら、処理されたウエハは、脱気チャンバから取り出される(工程740)。処理されたウエハは、次いで、残りのあらゆる残留物の散逸を可能にする期間にわたって隔離ステーションのなかに置くことができる(工程744)。処理されたウエハは、次いで、カセットへ移送することができる(工程748)。
発明の更に詳細な一実施形態では、未加工のウエハは、通常、カセット202のなかから開始する。図8Aは、フォトレジストマスク806の下の未加工ウエハ804の断面図である。未加工ウエハ804は、カセット202から取り出され(工程702)、大気へのドア308を通って入口ロードロック334へ移される(工程704)。これは、図3において、第1のワークフロー方向指示330によって示されている。手順が開始するときは、真空へのドア320が閉じられ、大気へのドア318が開かれる。ウエハは、次いで、ウエハホルダ306のなかに保持され、大気へのドア318は、閉じられて密閉される。密閉された入口ロードロック334のなかの大気は排気され、そうして真空が形成される(工程708)。すると、真空へのドア320が開かれ、ウエハは、入口ロードロック334から送出されてVTM212を経て加工ツール200のなかのプロセスチャンバ208に入る(工程712)。
プロセスチャンバ208において、ウエハは、加工される(工程716)。複数のプロセスチャンバ208が使用されてよく、1枚のウエハは、1つ又は2つ以上のプロセスチャンバの使用を必要とするだろう1つ又は2つ以上のプロセスを経ることができる。図9は、発明の一実施形態の一例において使用されるプロセスチャンバ工程(工程716)におけるウエハプロセスのより詳細なフローチャートである。この例では、シリコンウエハは、エッチングされ、エッチング特徴の上にシリコン酸化物側壁パッシベーションを形成される(工程904)。図8Bは、ウエハのなかへ特徴808をエッチングされ、シリコン酸化物側壁パッシベーション812を形成された後における、ウエハ804の断面図である。
シリコン酸化物側壁パッシベーション812は、エッチングを向上させるために形成される。例えば、側壁パッシベーションは、アンダカット又はその他の望ましくない結果を低減させることができる。先行技術では、シリコン酸化物側壁パッシベーションを除去するために、ウェットプロセスを使用することができる。このようなプロセスは、ウエハがロードロックチャンバから取り出されることを必要とし、これは、余分な時間及び余分な工程を必要とし、コストの増大及び加工の低速化を招くだろう。プラズマエッチングによるシリコン酸化物側壁の除去に勝るウェットプロセスの利点は、1つには、このようなプラズマエッチングが、デバイスパフォーマンスを低下させるかもしれないフッ素残留物を残らせると考えられることである。したがって、この例では、シリコン酸化物側壁を除去するために、ドライエッチングが使用され、フッ素残留物及びその他のハロゲン残留物をエッチングプロセスから除去するために、脱気工程の組み合わせが使用される。
この例では、シリコン酸化物側壁パッシベーションを除去するプラズマエッチングを提供するために、フッ素含有エッチングなどのフッ素含有ウエハプロセスが使用される(工程908)。このようなフッ素エッチングは、C26、CF4、CHF3、CH22、SF6、又はNF3などのフッ素含有ガスを使用してよく、これは、He、Ar、Ne、Xe、又はKrなどの希釈剤と、O2などの添加剤とともに使用することができる。レシピの一例では、5〜100ミリトールの圧力が提供される。50〜500sccmのCF4が、エッチングチャンバに流し込まれる。TCPは、13.56MHzにおいて20〜1000ワットで提供される。バイアス電圧が提供されないので、シリコン酸化物側壁は、その他の材料をオーバエッチングすることなく除去される。CF4から形成されたプラズマが、5〜30秒間にわたって維持される。図8Cは、シリコン酸化物側壁パッシベーションが除去された後における、シリコンウエハ804の断面図である。
ウエハの加工後、エッチングチャンバにおいてその場(in-situ)脱気プロセスが提供される(工程718)。脱気ガスが、プロセスチャンバに流し込まれる(工程912)。好ましくは、脱気は、脱気プロセス中における総ガス流の少なくとも5%のO2流を提供する。より好ましくは、O2流は、脱気プロセス中における総ガス流の少なくとも50%である。最も好ましくは、O2流は、脱気プロセス中における総ガス流の少なくとも70%である。好ましくは、O2流の0〜50%の流量でN2流が提供される。より好ましくは、N2流は、O2流の10〜20%である。脱気ガスは、プラズマに形成される(工程916)。脱気プロセスのレシピの一例は、5〜500ミリトールの圧力を提供する。50〜2000sccmのO2流及び0〜400sccmのN2流が、エッチングチャンバに提供される。不活性ガスHe、Ar、Ne、及びXeなどの、その他の添加ガスを追加することもできる。その場(in-situ)脱気ガスをプラズマに形成するために、13.56MHzにおいて50〜5000ワットのTCP電力が提供される。
この実施形態では、シリコンウエハは、脱気プロセス中にデチャックされる(工程918)。この例では、脱気プロセス中に、ウエハは、チャック電圧を排除すること(工程920)、及び次いでリフタピンを使用してシリコンウエハをチャックから持ち上げること(工程924)によってデチャックされる。その場(in-situ)脱気中にウエハを持ち上げると、ウエハから静電チャックへの熱伝達が低減されて、ウエハ温度を上昇させると考えられる。脱気プロセス中におけるウエハ温度の上昇は、ウエハ上に残るハロゲンを更に減らすことができる。
プロセスチャンバにおけるその場(in-situ)脱気の後、加工されたウエハは、プロセスチャンバ208から取り出され、VTM212を通って脱気チャンバ336へ移される(工程720)。加工されたウエハをVTM212から受け取るためには、脱気チャンバ336のなかに真空がなければならない。したがって、脱気チャンバ336がウエハを受け取る前に、脱気チャンバの両ドア302、304が密閉されなければならず、次いで、脱気チャンバ336のなかの大気が、排出システム410によって排気される。圧力センサ414が脱気チャンバ336のなかに真空を検出したら、真空へのドア304が開かれ、脱気チャンバ336は、加工されたウエハを受け取る用意が整う。この時点で、脱気チャンバ336の大気へのドア302は、まだ密閉されており、真空へのドア304は、開かれており、ウエハは、開いている真空へのドア304を通って脱気チャンバ336のなかへ移される(工程720)。脱気チャンバ336のなかに入ると、ウエハは、ウエハホルダ308によって保持され、真空へのドア304は、閉じられて密閉される。ウエハは、こうして、脱気チャンバ336において処理される用意が整う。
UV光312は、オンにされ、ガス注入器408は、加工されたウエハを処理するために、脱気チャンバ336にガスを注入する(工程724)。一実施形態では、注入されるガスは、オゾン、酸素、又はH2Oの少なくとも1つを含有している。一例では、注入されるガスの流量は、0.3〜5slm(標準リットル毎分)であり、20〜100%の水蒸気と、残りのO2とで構成される。圧力は、100ミリトールから5トールまでの間に維持される。ガスは、また、窒素などのその他の成分も含有することができる。別の代表的なガス混合は、15wt%のオゾンと、85wt%の酸素とで構成される。ガスは、好ましくは、おおよそ3wt%からおおよそ30wt%のオゾンを含有している。より好ましくは、ガスは、おおよそ5wt%からおおよそ20wt%のオゾンを含有している。ガス混合の組成は、制御弁406、酸素制御弁420、及びオゾン制御弁422を制御し、必要とされるガスを様々な流量で可能にすることによって設定することができる。ガスは、ウエハの上に流され、次いで、排出システム410から排気される。
ウエハは、脱気チャンバ336において、ハロゲン残留物がウエハから実質的に除去されることを可能にする期間にわたってUV光312及びガスによって処理される。好ましくは、処理は、5秒間から20分間にわたって持続する。より好ましくは、処理は、10秒間から120秒間にわたって持続する。処理が完了したら、ガス流は、停止される(工程728)。排出システム410は、脱気チャンバ336が通気される前に残りのガスが脱気チャンバ336から除去されることを可能にするために、少しの間、動作状態のままにされてよい。脱気チャンバ336は、通気口316によって大気圧に通気され(工程732)、UV光312は、停止される(工程736)。脱気チャンバ336につながれた圧力センサ414は、大気へのドア302が開かれる前に脱気チャンバ336の内側の圧力が脱気チャンバ336の外側の圧力と一致することを保証するために使用することができる。
大気へのドア302が開かれ、ウエハは、大気へのドア302を通って脱気チャンバ336から取り出される(工程740)。ウエハは、次いで、第2のワークフロー方向指示328によって示されるように、隔離ステーション210へ移すことができる(工程744)。隔離ステーション210は、残りのあらゆる残留物の散逸を可能にするために、処理されたウエハを一定期間にわたって保持することができる。好ましくは、処理されたウエハは、1分間から30分間にわたって隔離ステーションのなかに保持される。もし隔離ステーション210が排出口322を有するならば、それは、隔離ステーション210から空気を押し出すことによって残りのあらゆる残留物をウエハから引き離すことを助けるために、オンにすることができる。隔離ステーション210において終了したら、ウエハは、第3のワークフロー方向指示326によって示されるように、カセット202に戻される(工程748)。或いは、ウエハは、第4のワークフロー方向指示332によって示されるように、隔離ステーション210を必要とすることなく脱気チャンバ336からカセット202へ直接移すことができる。
ウエハがプロセスチャンバから取り出された後に、UVと酸素又はオゾンとによる脱気のみを使用した実験は、HBrを含むレシピによってエッチングされたウエハから、60〜80%のBrが除去されたことを発見した。Brと空気中の湿気との間の反応によって形成される凝縮に起因する欠陥に対する余地を更に向上させるために、及びエッチングされたウエハからエッチングツールの大気部分へのHBrガス放出による腐食を阻止するために、より高度なBr除去が望まれる場合は、実験は、O2に富むプラズマによるその場(in-situ)ウエハ脱ハロゲン化と、それに続くUV+O3ステーションにおけるその場外(ex-situ)脱ハロゲン化とが、HBrに富む化学物質によってエッチングされたウエハ上において>90%のBr除去を可能にすることを発見した。メインチャンバにおいて残留ハロゲンが順次低減されるゆえに、より高いハロゲン低減が達成されると考えられる。
また、実験は、O2に富むプラズマによるその場(in-situ)ウエハ脱ハロゲン化が、脱気ステーションにおいてUV+H2Oなどのその他の化学物質の使用を可能にできることを示している。
その場(in-situ)脱気プロセスは、炭素含有堆積物の除去も可能にすることが発見された。したがって、その場(in-situ)脱気と、その場外(ex-situ)脱気との組み合わせは、脱ハロゲン化の要件と、炭素残留物の要件とを満足させることができる。
発明のその他の実施形態では、シリコンウエハとマスクとの間に1枚又は2枚以上の層を置くことができる。これらの1枚又は2枚以上の層のなかには、エッチングプロセス中にエッチングされるものもある。一実施形態では、これらの1枚又は2枚以上の層は、シリコンウエハに代わってエッチングされる。好ましくは、これらの層は、ポリシリコン、TiN、W、SiO2、TiAlN、WSix、TaN、Ti、TiO2、Al23、又はZrO2のうちの1つであってよい。
発明の利点は、200℃を上回る温度にウエハを加熱することなく十分な脱ハロゲン化が実施可能であることにある。200℃を上回る温度へのウエハの加熱は、デバイスパフォーマンスを損傷させる恐れがある。したがって、発明の好ましい実施形態は、脱ハロゲン化プロセスの間、ウエハ温度を200℃未満に維持する。
本発明は、幾つかの好ましい実施形態の観点から説明されているが、本発明の範囲に含まれる代替形態、置換形態、変更形態、及び各種の代わりとなる均等物がある。また、本発明の方法及び装置を実現するものとして多くの代替的方法があることも留意されるべきである。したがって、以下の添付の特許請求の範囲は、本発明の真の趣旨及び範囲に含まれるものとしてこのようなあらゆる代替形態、置換形態、変更形態、及び各種の代わりとなる均等物を含むものと解釈される。

Claims (58)

  1. ウエハの加工中に蓄積されたハロゲン種を前記ウエハから除去するための脱気ステーションであって、
    真空を形成及び維持することができ、前記ウエハを収容することができる脱気チャンバと、
    前記脱気チャンバのなかで前記ウエハを保持するためのウエハホルダと、
    前記脱気チャンバにつながれ、前記脱気チャンバのなかの圧力を感知するための圧力センサと、
    前記ウエハが前記脱気チャンバのなかにある間に前記ウエハをUV光で処理するためのUV光源と、
    前記脱気チャンバにつながれ、前記脱気チャンバのなかに収容された前記ウエハの上を酸素、H2O、又はオゾンの少なくとも1つを含むガスが流れるように前記ガスを前記脱気チャンバに注入するための注入器と、
    前記脱気チャンバにつながれ、真空を形成するために前記脱気チャンバを排気することができ、前記ガスが前記脱気チャンバに注入される間に前記脱気チャンバのなかの前記真空を実質的に維持する方式で前記ガスを除去することができる排気システムと、
    前記真空チャンバから前記ウエハを受け取るための、前記脱気チャンバのなかの第1のウエハ開口と、
    前記脱気チャンバが前記第1のウエハ開口において前記真空チャンバにつなげられるように前記第1のウエハ開口を取り巻く複数の真空シールと、
    を備える脱気ステーション。
  2. 請求項1に記載の脱気ステーションであって、
    前記UV光源は、前記脱気チャンバの外側に配され、前記脱気チャンバの少なくとも一方の側は、前記UV光源からの前記UV光が窓を通って前記脱気チャンバに入って前記ウエハの上に至る
    脱気ステーション。
  3. 請求項1に記載の脱気ステーションであって、
    前記UV光源は、前記脱気チャンバの内側に配される脱気ステーション。
  4. 請求項1に記載の脱気ステーションであって、更に、
    前記脱気チャンバにおいて脱気された複数のウエハを保持する隔離ステーションを備える脱気ステーション。
  5. 請求項4に記載の脱気ステーションであって、
    前記隔離ステーションは、前記隔離ステーションから空気を押し出す排気手段を含む脱気ステーション。
  6. 請求項1に記載の脱気ステーションであって、
    前記UV光源は、前記ウエハホルダから30cm未満である脱気ステーション。
  7. 請求項1に記載の脱気ステーションであって、
    前記ガスは、オゾンを含む脱気ステーション。
  8. 請求項1に記載の脱気ステーションであって、
    前記ガスは、酸素を含み、前記UV光源によって提供される前記UV光は、酸素を含む前記ガスに前記UV光を用いることによって前記脱気チャンバにおいてオゾンが生成されるような光である脱気ステーション。
  9. 請求項1に記載の脱気ステーションであって、更に、
    前記ウエハを周囲大気環境へ移送するための、前記脱気チャンバのなかの第2のウエハ開口を備える脱気ステーション。
  10. 請求項9に記載の脱気ステーションであって、更に、
    大気へのウエハの移送を提供するために前記脱気チャンバを大気圧に通気することができる大気通気口を備える脱気ステーション。
  11. 入口ロードロックチャンバのなかにウエハを提供することと、
    前記入口ロードロックチャンバのなかに真空を形成することと、
    前記ウエハを前記入口ロードロックチャンバから加工ツールへ搬送することと、
    加工されたウエハを提供するために、前記加工ツールのなかのプロセスチャンバにおいて前記ウエハを加工することであって、前記加工は、前記ウエハ上にハロゲン残留物を形成する、ことと、
    真空を維持されている脱気チャンバのなかへ前記加工されたウエハを移すことと、
    UV光と、オゾン、酸素、又はH2Oの少なくとも1つを含むガス流とによって、前記脱気チャンバにおいて前記加工されたウエハを処理することと、
    前記ガス流を停止することと、
    前記UV光を停止することと、
    前記加工されたウエハを前記脱気チャンバから取り出すことと、
    を備える方法。
  12. 請求項11に記載の方法であって、更に、
    前記ウエハを加工した後で且つ前記加工されたウエハを前記脱気チャンバのなかへ移す前に、前記プロセスチャンバにおいて前記ウエハに対して脱気工程を提供することを備える方法。
  13. 請求項12に記載の方法であって、
    前記プロセスチャンバにおいて前記ウエハに対して脱気工程を提供することは、
    少なくとも5%が酸素のハロゲンフリーガスを提供することと、
    前記少なくとも5%が酸素のガスからプラズマを形成することと、
    を含む方法。
  14. 請求項13に記載の方法であって、更に、
    前記プロセスチャンバにおいて前記ウエハをデチャックすることを備え、前記脱気工程を提供することは、前記ウエハをデチャックすることと同時に起きる
    方法。
  15. 請求項14に記載の方法であって、
    前記ウエハをデチャックすることは、前記少なくとも5%が酸素のガスからの前記プラズマが存在するもとで前記プロセスチャンバにおいて前記ウエハを持ち上げることを含む方法。
  16. 請求項15に記載の方法であって、
    前記ハロゲンフリーガスは、更に、流量が前記酸素の流量の10〜20%である窒素を含む方法。
  17. 請求項16に記載の方法であって、
    前記脱気工程は、炭素残留物を除去する方法。
  18. 請求項17に記載の方法であって、
    前記ハロゲンフリーガスは、少なくとも50%が酸素である方法。
  19. 請求項13に記載の方法であって、
    前記ウエハは、シリコンウエハであり、前記プロセスチャンバにおいて前記ウエハを加工することは、フッ素含有ウエハプロセスを実施することを含む方法。
  20. 請求項19に記載の方法であって、更に、
    シリコン酸化物側壁パッシベーションを有するシリコン特徴を前記ウエハのなかに形成することを備え、前記フッ素含有ウエハプロセスを実施することは、前記シリコン酸化物側壁パッシベーションを除去する方法。
  21. 請求項13に記載の方法であって、
    前記ハロゲンフリーガスは、少なくとも70%が酸素である方法。
  22. 請求項21に記載の方法であって、
    前記ハロゲンフリーガスは、更に、流量が前記酸素の流量の10〜20%である窒素を含む方法。
  23. 請求項11に記載の方法であって、
    オゾン、酸素、又はH2Oの少なくとも1つを含む前記ガス流は、更に、窒素を含む方法。
  24. 請求項23に記載の方法であって、更に、
    前記加工されたウエハを、前記脱気チャンバから取り出した後に、複数の加工されたウエハを保持する隔離ステーションへ移すことを備える方法。
  25. 請求項24に記載の方法であって、更に、
    前記加工されたウエハを前記隔離ステーションからカセットへ移すことを備える方法。
  26. 請求項11に記載の方法であって、更に、
    前記加工されたウエハを前記脱気チャンバから取り出す前に前記脱気チャンバを通気することを備え、前記脱気チャンバからの前記加工されたウエハの取り出しは、前記脱気チャンバのなかの第2の開口を通して行われる方法。
  27. 請求項11に記載の方法であって、
    前記加工されるウエハは、前記脱気チャンバにおいて5秒間から30分間にわたって処理される方法。
  28. 請求項11に記載の方法であって、
    前記シリコンウエハは、前記ウエハの上に1枚又は2枚以上の層を含み、前記ウエハのエッチングを実施することは、前記ウエハの上の1枚又は2枚以上の層をエッチングすることを含む方法。
  29. 請求項28に記載の方法であって、
    前記1枚又は2枚以上の層は、ポリシリコン、SiO2、SiN、W、TiN、TiAlN、WSix、TaN、Ti、TiO2、Al23、又はZrO2の少なくとも1枚の層を含む方法。
  30. 半導体デバイスを作成するために使用されるウエハを加工するためのシステムであって、
    入口ロードドックと、
    脱気ステーションと、
    加工ツールと、
    を備え、
    前記脱気ステーションは、
    真空を形成及び維持することができ、前記ウエハを収容することができる脱気チャンバと、
    前記脱気チャンバのなかで前記ウエハを保持するためのウエハホルダと、
    前記ウエハが前記脱気チャンバのなかにある間に前記ウエハをUV光で処理するためのUV光源と、
    前記脱気チャンバにつながれ、前記脱気チャンバのなかに収容された前記ウエハの上を酸素、H2O、又はオゾンの少なくとも1つを含むガスが流れるように前記ガスを前記脱気チャンバに注入するための注入器と、
    前記脱気チャンバにつながれ、真空を形成するために前記脱気チャンバを排気することができ、前記ガスが前記脱気チャンバに注入される間に前記脱気チャンバのなかの前記真空を実質的に維持する方式で前記ガスを除去することができる排気システムと、
    前記脱気チャンバのなかの第1のウエハ開口と、
    前記第1のウエハ開口を取り巻く複数の真空シールと、
    を含み、
    前記加工ツールは、
    前記入口ロードロックにつながれ、前記第1のウエハ開口において前記脱気チャンバにつながれた真空搬送モジュールと、
    前記ウエハを加工するために、前記真空搬送モジュールにつながれた複数のプロセスチャンバと、
    を含み、
    前記複数の真空シールは、前記脱気チャンバが前記真空搬送モジュールにつなげられるように前記第1のウエハ開口を取り巻き、前記複数の真空シールは、前記脱気チャンバと前記真空搬送モジュールとの間において真空密閉を維持する
    システム。
  31. 請求項30に記載のシステムであって、
    前記脱気ステーションは、更に、前記脱気チャンバにおいて脱気された複数のウエハを保持する隔離ステーションを含むシステム。
  32. 請求項30に記載のシステムであって、
    前記複数のプロセスチャンバは、エッチングマスクをあてがうこと、エッチングを施すこと、又はエッチングマスクを剥ぎ取ることの少なくとも1つを実施するように構成された少なくとも1つのプロセスチャンバを含む、システム。
  33. 請求項30に記載のシステムであって、
    前記脱気ステーションは、更に、
    前記ウエハを周囲大気環境へ移送するための、前記脱気チャンバのなかの第2のウエハ開口と、
    大気へのウエハの移送を提供するために前記脱気チャンバを大気圧に通気することができる大気通気口と、
    を含むシステム。
  34. 請求項30に記載のシステムであって、
    前記複数のプロセスチャンバは、エッチング及びその場(in-situ)脱気を実施するように構成された少なくとも1つのプロセスチャンバを含むシステム。
  35. 請求項1〜2のいずれか一項に記載の脱気ステーションであって、更に、
    前記脱気チャンバにおいて脱気された複数のウエハを保持する隔離ステーションを備える脱気ステーション。
  36. 請求項35に記載の脱気ステーションであって、
    前記隔離ステーションは、前記隔離ステーションから空気を押し出す排気手段を含む脱気ステーション。
  37. 請求項1〜2及び請求項35〜36のいずれか一項に記載の脱気ステーションであって、
    前記UV光源は、前記ウエハホルダから30cm未満である脱気ステーション。
  38. 請求項1〜2及び請求項35〜37のいずれか一項に記載の脱気ステーションであって、
    前記ガスは、オゾンを含む脱気ステーション。
  39. 請求項1〜2及び請求項35〜38のいずれか一項に記載の脱気ステーションであって、
    前記ガスは、酸素を含み、前記UV光源によって提供される前記UV光は、酸素を含む前記ガスに前記UV光を用いることによって前記脱気チャンバにおいてオゾンが生成される
    脱気ステーション。
  40. 請求項1〜2及び請求項35〜39のいずれか一項に記載の脱気ステーションであって、更に、
    前記ウエハを周囲大気環境へ移送するための、前記脱気チャンバのなかの第2のウエハ開口を備える脱気ステーション。
  41. 請求項1〜2及び請求項35〜40のいずれか一項に記載の脱気ステーションであって、更に、
    大気へのウエハの移送を提供するために前記脱気チャンバを大気圧に通気することができる大気通気口を備える脱気ステーション。
  42. 請求項12〜13のいずれか一項に記載の方法であって、更に、
    前記プロセスチャンバにおいて前記ウエハをデチャックすることを備え、前記脱気工程を提供することは、前記ウエハをデチャックすることと同時に起きる方法。
  43. 請求項42に記載の方法であって、
    前記ウエハをデチャックすることは、前記少なくとも5%が酸素のガスからの前記プラズマが存在するもとで前記プロセスチャンバにおいて前記ウエハを持ち上げることを含む方法。
  44. 請求項13及び請求項42〜43のいずれか一項に記載の方法であって、
    前記ハロゲンフリーガスは、更に、流量が前記酸素の流量の10〜20%である窒素を含む方法。
  45. 請求項12〜13及び請求項42〜44のいずれか一項に記載の方法であって、
    前記脱気工程は、炭素残留物を除去する方法。
  46. 請求項13及び請求項42〜45のいずれか一項に記載の方法であって、
    前記ハロゲンフリーガスは、少なくとも50%が酸素である方法。
  47. 請求項11〜13及び請求項42〜46のいずれか一項に記載の方法であって、
    前記ウエハは、シリコンウエハであり、前記プロセスチャンバにおいて前記ウエハを加工することは、フッ素含有ウエハプロセスを実施することを含む方法。
  48. 請求項47に記載の方法であって、更に、
    シリコン酸化物側壁パッシベーションを有するシリコン特徴を前記ウエハのなかに形成することを備え、前記フッ素含有ウエハプロセスを実施することは、前記シリコン酸化物側壁パッシベーションを除去する方法。
  49. 請求項13及び請求項42〜48のいずれか一項に記載の方法であって、
    前記ハロゲンフリーガスは、少なくとも70%が酸素である方法。
  50. 請求項11〜13及び請求項42〜49のいずれか一項に記載の方法であって、更に、
    前記加工されたウエハを、前記脱気チャンバから取り出した後に、複数の加工されたウエハを保持する隔離ステーションへ移すことを備える方法。
  51. 請求項50に記載の方法であって、更に、
    前記加工されたウエハを前記隔離ステーションからカセットへ移すことを備える方法。
  52. 請求項11〜13及び請求項42〜51のいずれか一項に記載の方法であって、更に、
    前記加工されたウエハを前記脱気チャンバから取り出す前に前記脱気チャンバを通気することを備え、前記脱気チャンバからの前記加工されたウエハの取り出しは、前記脱気チャンバのなかの第2の開口を通して行われる方法。
  53. 請求項11〜13及び請求項42〜52のいずれか一項に記載の方法であって、
    前記加工されるウエハは、前記脱気チャンバにおいて5秒間から30分間にわたって処理される方法。
  54. 請求項11〜13及び請求項42〜53のいずれか一項に記載の方法であって、
    前記シリコンウエハは、前記ウエハの上に1枚又は2枚以上の層を含み、前記ウエハのエッチングを実施することは、前記ウエハの上の1枚又は2枚以上の層をエッチングすることを含む方法。
  55. 請求項54に記載の方法であって、
    前記1枚又は2枚以上の層は、ポリシリコン、SiO2、SiN、W、TiN、TiAlN、WSix、TaN、Ti、TiO2、Al23、又はZrO2の少なくとも1枚の層を含む方法。
  56. 請求項30〜31のいずれか一項に記載のシステムであって、
    前記複数のプロセスチャンバは、エッチングマスクをあてがうこと、エッチングを施すこと、又はエッチングマスクを剥ぎ取ることの少なくとも1つを実施するように構成された少なくとも1つのプロセスチャンバを含むシステム。
  57. 請求項30〜31及び請求項56のいずれか一項に記載のシステムであって、
    前記脱気ステーションは、更に、
    前記ウエハを周囲大気環境へ移送するための、前記脱気チャンバのなかの第2のウエハ開口と、
    大気へのウエハの移送を提供するために前記脱気チャンバを大気圧に通気することができる大気通気口と、
    を含むシステム。
  58. 請求項30〜31及び請求項56〜57のいずれか一項に記載のシステムであって、
    前記複数のプロセスチャンバは、エッチング及びその場(in-situ)脱気を実施するように構成された少なくとも1つのプロセスチャンバを含むシステム。
JP2012536909A 2009-10-27 2010-10-22 ハロゲン除去のための方法及び装置 Active JP5693596B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US12/606,528 US8232538B2 (en) 2009-10-27 2009-10-27 Method and apparatus of halogen removal using optimal ozone and UV exposure
US12/606,528 2009-10-27
US12/908,258 US8525139B2 (en) 2009-10-27 2010-10-20 Method and apparatus of halogen removal
US12/908,258 2010-10-20
PCT/US2010/053858 WO2011056484A2 (en) 2009-10-27 2010-10-22 Method and apparatus of halogen removal

Publications (2)

Publication Number Publication Date
JP2013509003A true JP2013509003A (ja) 2013-03-07
JP5693596B2 JP5693596B2 (ja) 2015-04-01

Family

ID=43898806

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012536909A Active JP5693596B2 (ja) 2009-10-27 2010-10-22 ハロゲン除去のための方法及び装置

Country Status (6)

Country Link
US (1) US8525139B2 (ja)
JP (1) JP5693596B2 (ja)
KR (1) KR101854923B1 (ja)
CN (1) CN102549721B (ja)
TW (1) TWI528442B (ja)
WO (1) WO2011056484A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013030625A (ja) * 2011-07-28 2013-02-07 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び処理装置
JP2021141260A (ja) * 2020-03-06 2021-09-16 東京エレクトロン株式会社 ウエハを処理する方法
JP7418301B2 (ja) 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure
US8492736B2 (en) 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
US9287154B2 (en) 2012-06-01 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing system for semiconductors
CN102820245B (zh) * 2012-08-16 2015-02-11 上海华力微电子有限公司 具有存片槽的薄膜工艺系统及其存取片方法
KR102165264B1 (ko) 2013-10-10 2020-10-13 삼성전자 주식회사 아연 입자를 함유하는 비전도성 폴리머 막, 비전도성 폴리머 페이스트, 이들을 포함하는 반도체 패키지, 및 반도체 패키지의 제조 방법
KR102165267B1 (ko) 2013-11-18 2020-10-13 삼성전자 주식회사 Tsv 구조를 포함하는 집적회로 소자 및 그 제조 방법
JP6234271B2 (ja) * 2014-02-25 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US9412619B2 (en) * 2014-08-12 2016-08-09 Applied Materials, Inc. Method of outgassing a mask material deposited over a workpiece in a process tool
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
EP3513426A4 (en) * 2016-09-14 2020-06-10 Applied Materials, Inc. DEGASSING CHAMBER FOR ARSENIC ASSOCIATED PROCESSES
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
CN117293018A (zh) 2017-07-24 2023-12-26 应用材料公司 改善在氧化硅上的超薄非晶硅膜的连续性的预处理方法
WO2020068338A1 (en) * 2018-09-24 2020-04-02 Applied Materials, Inc. Atomic oxygen and ozone device for cleaning and surface treatment
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
US11348784B2 (en) 2019-08-12 2022-05-31 Beijing E-Town Semiconductor Technology Co., Ltd Enhanced ignition in inductively coupled plasmas for workpiece processing
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US20240102153A1 (en) * 2022-09-27 2024-03-28 Applied Materials, Inc. Protective gas flow during wafer dechucking in pvd chamber

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61160939A (ja) * 1985-01-09 1986-07-21 Nec Corp ドライエツチング後Si表面損傷の乾式による除去方法
JP2008109136A (ja) * 2006-10-26 2008-05-08 Applied Materials Inc 熱プロセスによってエッチングされた基板からハロゲン残渣を除去するための統合された方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6139524A (ja) 1984-07-31 1986-02-25 Toshiba Ceramics Co Ltd 半導体ウエ−ハの洗浄装置
JPH01189122A (ja) 1988-01-25 1989-07-28 Hitachi Ltd アツシング方法
JPH01272120A (ja) 1988-04-25 1989-10-31 Hitachi Ltd ドライアッシング装置
US5217559A (en) * 1990-12-10 1993-06-08 Texas Instruments Incorporated Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JPH0766159A (ja) 1993-08-23 1995-03-10 Hitachi Ltd 表面処理方法及びその装置
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
KR100466307B1 (ko) 1997-10-25 2005-05-19 삼성전자주식회사 반도체소자제조장치및이를이용한디가스공정,식각공정및열처리공정
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
US6734120B1 (en) * 1999-02-19 2004-05-11 Axcelis Technologies, Inc. Method of photoresist ash residue removal
US6457478B1 (en) * 1999-11-12 2002-10-01 Michael J. Danese Method for treating an object using ultra-violet light
DE19957034B4 (de) * 1999-11-26 2006-04-13 Heraeus Noblelight Gmbh Verfahren zur Behandlung von Oberflächen von Substraten und Vorrichtung
US6406929B1 (en) * 2000-06-21 2002-06-18 University Of Vermont And State Agricultural College Structure and method for abrupt PN junction diode formed using chemical vapor deposition processing
DE10101014A1 (de) * 2001-01-05 2002-07-11 Zeiss Carl Beschichtung optischer Elemente, insbesondere für Verwendung mit Ultraviolettlicht
JP2002261148A (ja) * 2001-03-05 2002-09-13 Tokyo Electron Ltd 処理システム及び被処理体の予熱方法
US20040111339A1 (en) * 2001-04-03 2004-06-10 Asyst Technologies, Inc. Distributed control system architecture and method for a material transport system
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US6852194B2 (en) * 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6955928B1 (en) * 2001-06-18 2005-10-18 Advanced Micro Devices, Inc. Closed loop residual gas analyzer process control technique
JP2003059999A (ja) * 2001-08-14 2003-02-28 Tokyo Electron Ltd 処理システム
US6497734B1 (en) * 2002-01-02 2002-12-24 Novellus Systems, Inc. Apparatus and method for enhanced degassing of semiconductor wafers for increased throughput
US20030192577A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
US7118852B2 (en) * 2002-04-11 2006-10-10 Throwleigh Technologies, L.L.C. Methods and apparatus for decontaminating fluids
US7015568B2 (en) 2003-08-21 2006-03-21 Texas Instruments Incorporated System for ultraviolet atmospheric seed layer remediation
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US20040045578A1 (en) * 2002-05-03 2004-03-11 Jackson David P. Method and apparatus for selective treatment of a precision substrate surface
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
US20040154743A1 (en) * 2002-11-29 2004-08-12 Savas Stephen E. Apparatus and method for low temperature stripping of photoresist and residues
WO2005038877A2 (en) * 2003-10-14 2005-04-28 Rudolph Technologies, Inc. MOLECULAR AIRBORNE CONTAMINANTS (MACs) REMOVAL AND WAFER SURFACE SUSTAINING SYSTEM AND METHOD
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7309395B2 (en) * 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
US6962871B2 (en) * 2004-03-31 2005-11-08 Dielectric Systems, Inc. Composite polymer dielectric film
US7094661B2 (en) * 2004-03-31 2006-08-22 Dielectric Systems, Inc. Single and dual damascene techniques utilizing composite polymer dielectric film
US20050279453A1 (en) * 2004-06-17 2005-12-22 Uvtech Systems, Inc. System and methods for surface cleaning
US7485572B2 (en) * 2006-09-25 2009-02-03 International Business Machines Corporation Method for improved formation of cobalt silicide contacts in semiconductor devices
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US20080230096A1 (en) * 2007-03-22 2008-09-25 Tokyo Electron Limited Substrate cleaning device and substrate processing apparatus
US20080254619A1 (en) * 2007-04-14 2008-10-16 Tsang-Jung Lin Method of fabricating a semiconductor device
US8232538B2 (en) * 2009-10-27 2012-07-31 Lam Research Corporation Method and apparatus of halogen removal using optimal ozone and UV exposure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61160939A (ja) * 1985-01-09 1986-07-21 Nec Corp ドライエツチング後Si表面損傷の乾式による除去方法
JP2008109136A (ja) * 2006-10-26 2008-05-08 Applied Materials Inc 熱プロセスによってエッチングされた基板からハロゲン残渣を除去するための統合された方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013030625A (ja) * 2011-07-28 2013-02-07 Fujitsu Semiconductor Ltd 半導体装置の製造方法及び処理装置
JP7418301B2 (ja) 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法
JP2021141260A (ja) * 2020-03-06 2021-09-16 東京エレクトロン株式会社 ウエハを処理する方法
JP7402715B2 (ja) 2020-03-06 2023-12-21 東京エレクトロン株式会社 ウエハを処理する方法

Also Published As

Publication number Publication date
CN102549721A (zh) 2012-07-04
WO2011056484A2 (en) 2011-05-12
CN102549721B (zh) 2016-08-03
US20110097902A1 (en) 2011-04-28
KR20120092602A (ko) 2012-08-21
JP5693596B2 (ja) 2015-04-01
KR101854923B1 (ko) 2018-05-04
WO2011056484A3 (en) 2011-08-04
TW201115643A (en) 2011-05-01
TWI528442B (zh) 2016-04-01
US8525139B2 (en) 2013-09-03

Similar Documents

Publication Publication Date Title
JP5693596B2 (ja) ハロゲン除去のための方法及び装置
TWI624024B (zh) 用於預清洗導電互連結構之方法
EP0585936B1 (en) Metal selective removal process
US7374696B2 (en) Method and apparatus for removing a halogen-containing residue
TWI467651B (zh) 活性硬遮罩電漿蝕刻時之現場光阻剝除
US8940642B2 (en) Method of multiple patterning of a low-K dielectric film
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
US8871650B2 (en) Post etch treatment (PET) of a low-K dielectric film
US20100108264A1 (en) Bi-layer, tri-layer mask cd control
TWI712703B (zh) 半導體裝置的製造方法、基板處理裝置及記錄媒體
US8845816B2 (en) Method extending the service interval of a gas distribution plate
TW201801184A (zh) 蝕刻介電層中之特徵部的方法
JP2011142248A (ja) 基板のクリーニング方法及び基板のクリーニング装置
US7947605B2 (en) Post ion implant photoresist strip using a pattern fill and method
KR20100088128A (ko) 유전체 에칭에서의 프로파일 제어
US8232538B2 (en) Method and apparatus of halogen removal using optimal ozone and UV exposure
JP2008536305A (ja) フォトレジストマスクを用いたエッチング
JP5164981B2 (ja) 相変化合金のエッチング方法及び装置
TWI489549B (zh) 可減少損壞之低介電常數介電層蝕刻方法
KR20240093835A (ko) 베벨 세정을 사용하는 스트립핑 (strip)
CN118140293A (zh) 使用斜面清洁的剥离
JP2024514454A (ja) 金属含有フォトレジストからの金属汚染の制御
KR100727706B1 (ko) 애쉬 챔버내 분위기 안정화 방법
KR20080072373A (ko) 반도체 소자의 제조방법
KR980012056A (ko) 반도체 메모리소자의 커패시터 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131021

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140815

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150203

R150 Certificate of patent or registration of utility model

Ref document number: 5693596

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250