KR20100088128A - 유전체 에칭에서의 프로파일 제어 - Google Patents

유전체 에칭에서의 프로파일 제어 Download PDF

Info

Publication number
KR20100088128A
KR20100088128A KR1020107009127A KR20107009127A KR20100088128A KR 20100088128 A KR20100088128 A KR 20100088128A KR 1020107009127 A KR1020107009127 A KR 1020107009127A KR 20107009127 A KR20107009127 A KR 20107009127A KR 20100088128 A KR20100088128 A KR 20100088128A
Authority
KR
South Korea
Prior art keywords
gas
flow rate
gas flow
etchant
cos
Prior art date
Application number
KR1020107009127A
Other languages
English (en)
Other versions
KR101528947B1 (ko
Inventor
경구 지
조나단 김
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100088128A publication Critical patent/KR20100088128A/ko
Application granted granted Critical
Publication of KR101528947B1 publication Critical patent/KR101528947B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

유전체 층을 에칭하는 방법에 제공된다. 유천체층은 기판 위 및 라인-스페이스 패턴을 갖는 패터닝된 마스크 아래에 배치된다. 이 방법은, (a) CF4, COS, 산소 함유 가스를 포함하는 에천트 가스를 제공하는 단계, (b) 에천트 가스로부터 플라즈마를 형성하는 단계, 및 (c) 에천트 가스로부터의 플라즈마를 이용하여 마스크를 통해 유전체 층을 라인-스페이스 패턴으로 에칭하는 단계를 포함한다. CF4 의 가스 유량은 모든 반응성 가스 성분들의 총 가스 유량의 50 % 보다 큰 비율을 가질 수도 있다. COS 의 가스 유량은 1 % 와 50 % 사이일 수도 있다. 이 방법은, 에천트 가스에 COS 를 추가함으로써 유전체 층의 에칭 시 보잉을 감소시킨다.

Description

유전체 에칭에서의 프로파일 제어{PROFILE CONTROL IN DIELECTRIC ETCH}
관련 출원에 대한 상호 참조
본 출원은, 발명의 명칭이 "PROFILE CONTROL IN DIELECTRIC ETCH" 이고, 발명자로서 Chi Kyeong-Koo 및 Jonathan Kim 이 명명되는 2007 년 9 월 27 일자로 출원된 공동 계류중인 미국 가출원 제 60/975,752 호로부터 35 U.S.C §119(e) 에 따른 우선권을 주장하며, 이는 모든 목적을 위해 그 전체가 참조로서 통합된다.
배경
본 발명은 반도체 디바이스의 생산 동안 마스크를 통해 에칭 층을 에칭하는 것에 관한 것이다. 보다 구체적으로, 본 발명은 반도체 디바이스의 생산 동안 라인-스페이스 패터닝된 마스크를 통해 유전체 층을 에칭하는 것에 관한 것이다.
반도체 웨이퍼 프로세싱 동안, 잘 알려진 패터닝 및 에칭 프로세스를 이용하여 반도체 디바이스의 피처 (feature) 가 웨이퍼 내에 정의된다. 이들 프로세스 (포토리소그래피) 에서, 포토레지스트 (PR) 재료가 웨이퍼 상에 증착되고, 그 후 레티클에 의해 필터링된 광에 노출될 수도 있다. 레티클은 광이 레티클을 통하여 전파되는 것을 차단하는 예시의 피처 지오메트리로 패터닝되는 투명 플레이트일 수도 있다.
레티클을 통과한 후에, 광은 포토레지스트 재료의 표면과 접촉한다. 이 광은, 현상액이 포토레지스트 재료의 일부를 제거할 수 있도록 포토레지스트 재료의 화학적 조성을 변화시킨다. 포지티브 포토레지스트 재료의 경우 노출된 영역이 제거되고, 네거티브 포토레지스트 재료의 경우 노출되지 않은 영역이 제거된다. 그 후, 포토레지스트 재료에 의해 더 이상 보호되지 않는 영역들로부터 아래에 있는 재료를 제거하도록 웨이퍼가 에칭되고, 이에 의해 웨이퍼 내에 원하는 피처를 생성한다.
수직으로 곧은 피처 프로파일 (profile) 은 디바이스 수율을 유지하기 위해 필요하다. 그러나, 수직으로 곧은 프로파일로부터 편차를 야기하는 몇몇 메커니즘이 존재한다: 보잉 (bowing) 은 피처의 상위중간 (upper-middle) 부분에서의 확장을 지칭하고; 넥킹 (necking) 은 피처의 상부 근처의 좁아짐을 지칭하고; 테이퍼링 (tapering) 은 피처의 하부를 향한 좁아짐을 지칭하며; 트위스팅 (twisting) 은 아래에 있는 능동 디바이스에 대해 오정렬을 초래하는, 피처의 하부에서의 위치 및 방위의 랜덤한 편차 또는 하부 형상의 왜곡을 지칭한다.
집적 회로 치수가 줄어듦에 따라, 고 애스팩트비 (high aspect ratio) 피처 에칭에서의 임계 치수 (CD) 및 프로파일 제어는 유전체 에칭시 매우 어려운 문제가 된다. 수직 프로파일에서의 프로파일 보잉을 제거 또는 감소시키는 것 (보우 프로텍션) 은 이러한 어려운 문제들 중 하나이다. 고 애스팩트비 (HAR) 의 라인-스페이스 피처는 고 비율의 개구 깊이 대 개구 폭을 갖는다. 포토레지스트 마스크 및/또는 하드 마스크와 같은 마스크가 이러한 라인-스페이스 패턴을 제공하기 위해 이용된다. 상세한 설명 및 청구항에서, 고 애스팩트비의 피처는 10:1 보다 큰 깊이 대 스페이스 비율을 갖는 피처로서 정의된다.
본 발명의 목적에 따라 전술한 과제를 달성하기 위해서, 유전체 층을 에칭하는 방법이 제공된다. 유전체 층은 기판 위 및 라인-스페이스 패턴을 갖는 패터닝된 마스크 아래에 배치된다. CF4, COS, 하이드로플루오로카본, 및 산소 함유 가스를 포함하는 에천트 가스가 제공된다. 에천트 가스로부터 플라즈마가 형성된다. 라인-스페이스 패턴을 갖도록 에천트 가스로부터의 플라즈마를 이용하여 마스크를 통해 유전체 층이 에칭된다. CF4 의 가스 유량은 모든 반응성 가스 성분들의 총 가스 유량의 50 % 보다 큰 비율을 가질 수도 있다. COS 의 가스 유량은 1 % 와 50 % 사이일 수도 있다. COS 의 가스 유량은 바람직하게 CF4 의 가스 유량의 2 % 와 20 % 사이일 수도 있고, 더욱 바람직하게는 3 % 와 15 % 사이일 수도 있다.
본 발명의 다른 명시에서, 유전체 층의 에칭시 보잉을 감소시키는 방법이 제공된다. 유전체 층은 기판 위 및 라인-스페이스 패턴을 갖는 패터닝된 마스크 아래에 배치된다. CF4, COS, 하이드로플루오로카본 및 산소 함유 가스를 포함하는 에천트 가스가 제공된다. CF4 의 가스 유량은 모든 반응성 가스 성분들의 총 가스 유량의 50 % 보다 큰 비율을 갖고, COS 의 가스 유량은 CF4 의 가스 유량의 3 % 와 15 % 사이의 비율을 갖는다. 에천트 가스로부터 플라즈마가 형성된다. 라인-스페이스 패턴을 갖도록 에천트 가스로부터의 플라즈마를 이용하여 마스크를 통해 유전체 층이 에칭된다.
본 발명의 또다른 명시에서, 유전체 층을 에칭하기 위한 장치가 제공된다. 유전체 층은 기판 위 및 라인-스페이스를 갖는 패터닝된 마스크 아래에 배치된다. 장치는 플라즈마 프로세싱 챔버, 에천트 가스 소스, 및 제어기를 포함한다. 플라즈마 프로세싱 챔버는 플라즈마 프로세싱 챔버 인클로저 (enclosure) 를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 인클로저 내에 기판을 지지하는 기판 지지체, 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하는 압력 조절기, 플라즈마를 지속하기 위해 플라즈마 프로세싱 챔버 인클로저로 전력을 제공하기 위한 적어도 하나의 전극, 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구, 및 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 유출구를 포함한다. 에천트 가스 소스는 가스 유입구과 유체 연결되고, CF4 소스, COS 소스, 및 산소 함유 가스 소스를 포함한다. 제어기는 가스 소스 및 적어도 하나의 전극에 제어가능하게 접속된다. 제어기는, 적어도 하나의 프로세서 및 마스크를 통해 라인-스페이스 패턴으로 유전체 층을 에칭하기 위한 컴퓨터 판독가능 코드를 포함하는 컴퓨터 판독가능 매체를 포함한다. 유전체 층을 에칭하기 위한 컴퓨터 판독가능 코드는 에천트 가스 소스로부터 CF4, COS, 하이드로플루오로카본 소스 및 산소 함유 가스를 포함하는 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드, 에천트 가스로부터 에칭 플라즈마를 생성하기 위한 컴퓨터 판독가능 코드, 및 에천트 가스 소스로부터 에천트 가스를 정지시키기 위한 컴퓨터 판독가능 코드를 포함한다. 유전체 층을 에칭하기 위한 컴퓨터 판독가능 코드는 마스크를 제거하기 위한 컴퓨터 판독가능 코드를 더 포함한다.
본 발명의 이들 및 다른 특징들은 이하의 도면과 함께 본 발명의 상세한 설명에서 더욱 상세히 설명될 것이다.
본 발명은 제한의 방식이 아닌 예시의 방식으로 설명되었고, 첨부된 도면에서 동일한 참조부호는 동일한 엘리먼트를 지칭한다.
도 1 은 본 발명의 일 실시형태에 따라 유전체 층을 에칭하는 프로세스의 고 레벨 흐름도이다.
도 2 는 본 발명의 일 실시형태에서 기판 위 및 패터닝된 마스크 아래에 배치된 유전체 층의 개략적 단면도이다.
도 3 은 종래의 에천트 가스를 이용하여 패터닝되는 유전체 층을 갖는 기판의 단면도이다.
도 4 는 본 발명의 일 실시형태에서 에칭에 이용될 수도 있는 플라즈마 프로세싱 챔버의 개략도이다.
도 5a 및 도 5b 는 본 발명의 실시형태에 이용된 제어기를 구현하기에 적합한 컴퓨터 시스템을 나타낸다.
도 6 은 본 발명의 일 예에 따라 COS 를 갖는 에천트 가스를 이용하여 에칭된 라인-스페이스 피처의 프로파일이다.
도 7 은 COS 를 갖는 에천트 가스를 이용하여 에칭된 라인-스페이스 피처의 다른 프로파일이다.
도 8 은 본 발명의 다른 예에 따른 COS 첨가제의 양에 따른 프로파일의 비교 테이블을 나타낸다.
본 발명은 첨부된 도면에 나타난 바와 같이 본 발명의 몇몇 바람직한 실시형태를 참조하여 상세히 설명될 것이다. 다음 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 특정 상세들이 설명된다. 그러나, 본 발명이 이들 특정 상세들의 일부 또는 모두 없이 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 다른 경우에서, 본 발명을 불필요하게 모호하지 않게 하기 위해 공지된 프로세스 단계들 및/또는 구조들을 상세히 설명하지 않았다.
이해를 용이하게 하기 위해서, 도 1 은 본 발명의 일 실시형태에 따른 유전체 층을 에칭하는 프로세스의 고 레벨 흐름도이다. 패터닝된 마스크 아래에 배치된 유전체 층을 갖는 기판이 제공된다 (단계 102). 본 발명의 이해를 용이하게 하기 위해서, 도 2 는 기판 (204) 위에 그리고 패터닝된 마스크 (206) 아래에 배치된 유전체 층 (202) 의 개략적 단면도이다. 패터닝된 마스크 (206) 는 라인-스페이스 패턴, 예를 들어 마스크 재료가 형성되는 복수의 라인들 및 패터닝에 의해 마스크 재료가 제거되어 있는 라인들 사이의 홈들을 포함한다. 본 발명의 이 실시형태에서, 기판 (204) 은 실리콘 웨이퍼이고 유전체 층 (202) 은 SiO2, 실리콘 질화물 (SiN), 또는 TEOS (tetra-ethyl-ortho-silicate) 와 같은 실리콘 산화물 기반의 유전체 재료들이다. 패터닝된 마스크 (206) 는 비정질 탄소 마스크, 포토레지스트 마스크, 스핀-온 (spin-on) 코팅 폴리머 등과 같은 탄소 기반 마스크일 수도 있다. 비정질 탄소는 폴리머와 유사하지만 CVD 에 의해 200 ℃ 보다 큰 고온에서 증착되기 때문에 더 적은 수소 및 더 많은 탄소를 갖고, 따라서 폴리머 보다 더욱 내에칭성 (etch resistant) 이다. 특정 예에서, 비정질 탄소의 마스크 (206) 는 약 220 nm 의 두께를 가질 수도 있고, TEOS 의 유전체 층 (202) 은 약 210 nm 의 두께를 가질 수도 있다. 패터닝된 마스크 (206) 의 라인 패턴의 폭 (208) (바닥부에서의 가로 두께) 은 약 54 nm 이고, 마스크 (206) 의 바닥부에서의 스페이스 (212)(개구) 의 폭 (210) 은 20 nm 이다. 따라서, 패터닝된 마스크 (206) 의 마스크 피처는 약 10:1 이상의 고 애스팩트비를 갖는다. 본 발명의 일 예에 따르면, 유전체 층 (202) 의 에칭은 마스크 (206) 를 패터닝하는데 이용된 것과 동일한 플라즈마 프로세싱 챔버에서 수행될 수도 있다.
도 3 은 비교를 위해, Ar 과 함께 CF4 및 O2 의 종래의 에천트 가스를 운반 가스로서 이용하여 패터닝되는 유전체 층 (202) 을 갖는 기판 (204) 의 단면도를 개략적으로 나타낸다. 패터닝된 마스크 (206) 의 일부분은 패터닝된 유전체 층 (에칭된 피처)(202) 의 상부 상에 여전히 남아있다. 도 3 에 도시된 바와 같이, 이러한 종래의 유전체 에칭은 에칭된 유전체 층 (202) 의 프로파일 내에 보잉 (bowing; 220) 을 야기할 수도 있다. 종래의 에칭의 예에서, 에칭된 유전체 층의 상부 CD 의 폭 (222) 은 40.3 nm 이고, 유전체 층의 중간 CD (좁아진 부분) 의 폭 (244) 은 36.6 nm 이며, 결과적으로 3.7 nm 의 보잉이 생긴다. 출원인은 에천트 가스에 COS 를 추가함으로써 유전체 층의 보잉된 프로파일이 크게 개선될 수 있다는 것을 발견하였다. 따라서, 도 1 에 도시된 바와 같이, 본 발명의 일 실시형태에 따라 CF4, COS, 및 산소 함유 가스를 포함하는 에천트 가스가 제공된다 (단계 104). 에천트 가스는 또한 하이드로플루오로카본 및/또는 하이드로카본을 포함할 수도 있다.
도 4 는 본 발명의 에칭에 이용될 수도 있는 플라즈마 프로세싱 챔버 (300) 의 단면도이다. 플라즈마 프로세싱 챔버 (300) 는 한정 링 (302), 상부 전극 (304), 하부 전극 (308), 가스 소스 (310), 및 가스 유출구에 연결된 배기 펌프 (320) 를 포함한다. 플라즈마 프로세싱 챔버 (300) 내에서, 기판 (204) 은 하부 전극 (308) 위에 위치한다. 하부 전극 (308) 은 기판 (204) 을 고정하기 위한 적합한 기판 척킹 메커니즘 (예를 들어, 정전척, 기계적 클램핑 등) 을 통합한다. 리액터 상부 (328) 는 하부 전극 (308) 에 바로 대향되어 배치된 상부 전극 (304) 을 통합한다. 상부 전극 (304), 하부 전극 (308), 및 한정 링 (302) 은 한정된 플라즈마 체적을 정의한다. 가스는 가스 소스 (310) 에 의해 한정된 플라즈마 체적으로 공급되고 배기 펌프 (320) 에 의해 한정 링 (302) 및 배기 포트를 통하여 한정된 플라즈마 체적으로부터 배기된다. 가스를 배기하는 것을 돕는것 외에, 배기 펌프 (320) 는 압력을 조절하는 것을 돕는다. 본 실시형태에서, 가스 소스 (310) 는 COS 소스 (312), O2 소스 (316), 및 플루오로카본 성분 (예컨대, CF4) 소스 (318) 를 포함한다. 가스 소스 (310) 는 또한 하이드로플루오로카본 및/또는 하이드로카본 성분 소스 (미도시) 를 포함할 수도 있다. 가스 소스 (310) 는 운반 가스 소스와 같은 다른 가스 소스들, 및 프로세싱 챔버 (300) 에서 수행된 다른 프로세스를 위한 가스 소스들을 더 포함할 수도 있다.
도 4 에 도시된 바와 같이, RF 소스 (348) 는 하부 전극 (308) 에 전기적으로 연결된다. 챔버 벽 (352) 은 한정 링 (302), 상부 전극 (304), 및 하부 전극 (308) 을 둘러싼다. RF 소스 (348) 는 2 MHz 전원, 60 MHz 전원, 및 선택적으로는 27 MHz 전원을 포함할 수도 있다. 전극에 대한 RF 전력 접속의 상이한 조합이 가능하다. 본 발명의 바람직한 실시형태에서 이용될 수도 있는, 캘리포니아 프레몬트의 LAM Research Corporation™ 에 의해 제조된 Exelan® 시리즈와 같은 Lam Research Corporation 의 유전체 에칭 시스템의 경우에서, 27 MHz, 2 MHz, 및 60 MHz 전원이 하부 전극에 접속된 RF 전원 (348) 을 구성하고, 상부 전극은 접지된다. 제어기 (335) 는 RF 소스 (348), 배기 펌프 (320), 및 가스 소스 (310) 에 제어 가능하게 접속된다.
도 5a 및 도 5b 는 컴퓨터 시스템 (800) 을 나타내고, 컴퓨터 시스템은 본 발명의 실시형태에서 이용된 제어기 (335) 를 구현하는데 적합하다. 도 5a 는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄회로 기판, 및 소형 핸드헬드 디바이스에서부터 대형 슈퍼 컴퓨터까지 이르는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (800) 은 모니터 (802), 디스플레이 (804), 하우징 (806), 디스크 드라이브 (808), 키보드 (810), 및 마우스 (812) 를 포함한다. 디스크 (814) 는 컴퓨터 시스템 (800) 으로 그리고 컴퓨터 시스템으로부터 데이터를 전송하도록 이용된 컴퓨터 판독가능 매체이다.
도 5b 는 컴퓨터 시스템 (800) 의 블록도의 일 예이다. 다양한 서브시스템이 시스템 버스 (820) 에 부착된다. 프로세서(들) (822)(중앙 처리 장치, 또는 CPU 로도 지칭됨) 은 메모리 (824) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (824) 는 랜덤 액세스 메모리 (RAM) 및 판독-전용 메모리 (ROM) 를 포함한다. 당업계에 알려진 바와 같이, ROM 은 데이터 및 명령들을 일-방향으로 CPU 에 전송하도록 작용하고, RAM 은 통상적으로 데이터 및 명령들을 양-방향의 방식으로 전송하는데 이용된다. 이들 유형의 메모리들 모두는 후술될 임의의 적합한 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (826) 는 또한, CPU (822) 에 양-방향으로 커플링되고; 이것은 추가의 데이터 저장 용량을 제공하고 후술될 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (826) 는 프로그램, 데이터 등을 저장하는데 이용될 수도 있고, 통상적으로 주 저장장치보다 더 느린 보조 저장 매체 (예컨대, 하드 디스크) 이다. 적절한 경우에, 고정 디스크 (826) 내에 보존되는 정보는 메모리 (824) 내의 가상 기억과 같은 표준 방식으로 통합될 수도 있다. 착탈식 디스크 (814) 는 후술될 컴퓨터 판독가능 매체의 형태를 취할 수도 있다.
CPU (822) 는 또한, 각종 입력/출력 디바이스, 예컨대 디스플레이 (804), 키보드 (810), 마우스 (812), 및 스피커 (830) 에 커플링된다. 일반적으로, 입력/출력 디바이스는 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 접촉 감지 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿, 스타일러스, 음성 또는 핸드라이팅 인식기, 바이오메트릭 판독기, 또는 다른 컴퓨터 중 어느 하나일 수도 있다. CPU (822) 는 선택적으로 네트워크 인터페이스 (840) 를 이용하여 다른 컴퓨터 또는 전자통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스를 이용하여, CPU 는 네트워크로부터 정보를 수신할 수도 있고, 또는 전술한 방법 단계들을 수행하는 과정에서 네트워크로 정보를 출력할 수도 있다. 또한, 본 발명의 방법 실시형태는 오직 CPU (822) 상에서만 실행할 수도 있고, 또는 프로세싱의 일부를 공유하는 원격 CPU 와 결합하여 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
또한, 본 발명의 실시형태는 또한 각종 컴퓨터 구현 동작들을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 갖는 컴퓨터 저장 제품에 관한 것이다. 이 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있으며, 또는 컴퓨터 소프트웨어 업계의 당업자에게 이용가능하고 잘 알려진 종류의 것일 수도 있다. 컴퓨터 판독가능 매체의 예들로는, 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플롭티컬 디스크 (floptical disk) 와 같은 자기광학 매체; 및 주문형 집적회로 (ASIC), 프로그래머블 논리 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장 및 실행하도록 특별히 구성되는 하드웨어 디바이스가 있으나, 이에 한정되지 않는다. 컴퓨터 코드의 예들로는 컴파일러에 의해 생성되는 것과 같은 머신 코드 및 인터프리터를 이용하여 컴퓨터에 의해 실행되는 보다 하이 레벨의 코드를 포함하는 파일들이 있다. 컴퓨터 판독가능 매체는 또한 반송파로 구현되는 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
본 발명의 실시형태에서, 에천트 가스는 COS, 플루오로카본 성분, 바람직하게 CF4, 산소 함유 가스, 및 Ar 과 같은 불활성 가스 (운반 가스) 를 포함한다. 에천트 가스는 또한 하이드로플루오로카본 및/또는 하이드로카본 가스를 포함할 수도 있고 다른 실시형태에서 플루오로카본 성분으로서 CF4 에 추가하여 CH3, CHF3, 및/또는 CH2F2 가 이용될 수도 있다. 운반 가스는 또한 Ar, Xe, 또는 He 일 수도 있다. 에천트 가스는 상부 전극 (304) 내의 홀을 통해 플라즈마 영역으로 도입되고, 전력이 공급된 전극 (308) 에 인가된 RF 전력에 의해 반응성 플라즈마로 해리된다. 바람직하게, CF4 의 가스 유량은 모든 반응성 가스 성분들의 총 가스 유량의 50 % 보다 큰 비율을 갖고, 여기서 반응성 가스 성분들은 유전체 층의 에칭에 관여하는 가스들, 통상적으로 불활성 운반 가스 이외의 모든 가스들이다. 또한, COS 의 가스 유량은 바람직하게 CF4 의 가스 유량의 1 % 와 50 % 사이이고, 더욱 바람직하게 COS 의 가스 유량은 CF4 의 가스 유량의 2 % 와 20 % 사이이다. 바람직한 일 실시형태에서, COS 의 가스 유량은 CF4 의 가스 유량의 3 % 와 15 % 사이일 수도 있다. 본 발명의 바람직한 실시형태에 따르면, 에천트 가스 레시피 (recipe) 는 10 sccm 의 COS, 135 sccm 의 CF4, 6 sccm 의 O2, 및 1200 sccm 의 Ar 을 가질 수도 있다.
다시 도 1 을 참조하면, 에천트 가스로부터 플라즈마가 형성된다 (단계 106). 본 예에서, 플라즈마 챔버 내의 압력은 70 mTorr 로 설정된다. RF 소스는 60 MHz 에서의 250 Watt 및 2 MHz 에서의 250 Watt 를 제공한다. 도 2b 에 도시된 바와 같이, 에천트 가스로부터의 플라즈마는 라인-스페이스 패턴을 유전체 층 (202) 안으로 에칭하는데 이용된다 (단계 108). 기판 (204) 이 플라즈마 프로세싱 챔버 내에 있는 동안, 남아있는 마스크 (206) 를 제거하기 위해 애싱 프로세스가 이용될 수도 있다 (단계 110).
본 예에서, 유전체 층 (202) 은 고 애스팩트비를 갖는 피처로 에칭된다. 상세한 설명 및 청구항에서, 고 애스팩트비 피처는 적어도 10:1 의 높이 대 폭의 애스팩트비를 갖는 피처이다.
COS 화학물질을 갖는 Ar/CF4/O2 의 에천트 가스를 이용하여 플라즈마 화학물질을 이용하는 에칭이 수행되었고, TEOS 기반 유전체 층을 에칭하기 위해 COS 없이 Ar/CF4/O2 화학물질의 종래의 에천트 가스를 이용하는 에칭과 비교되었다. 도 6 은 COS 를 갖는 전술한 에천트 가스를 이용하여 에칭된 라인-스페이스 피처 (500) 의 프로파일을 나타낸다. 특정 예에서, 에천트 가스는 132 초의 에칭 시간상태에서 5 sccm COS, 135 sccm CF4, 6 sccm O2, 및 1200 sccm Ar 을 포함한다. 이 예에서, 에칭된 유전체 층의 상부 CD 의 폭 (502) 은 43.2 nm 이고, 에칭된 유전체 층의 중간 CD (좁아진 부분) 의 폭 (504) 은 41.1 nm 이며, 결과적으로 2.1 nm 의 보잉량이 생긴다. 도 7 은 증가된 양의 COS 를 갖는 전술한 에천트 가스를 이용하여 에칭된 라인-스페이스 피처 (600) 의 프로파일을 나타낸다. 이 예에서, 에천트 가스는 148 초의 에칭 시간 상태에서 10 sccm COS, 135 sccm CF4, 6 sccm O2, 및 1200 sccm Ar 을 포함한다. 이 예에서, 에칭된 유전체 층의 상부 CD 의 폭 (602) 은 43.2 nm 이고, 에칭된 유전체 층의 중간 CD (좁아진 부분) 의 폭 (604) 은 43.2 nm 이며, 결과적으로 실질적으로 0 의 보잉량이 생긴다. 도 8 은 COS 첨가제의 양에 따른 프로파일의 비교 테이블을 나타낸다.
이론에 기초되기를 원하지 않고, 피처가 형성될 때 피처의 측벽을 보호하도록 폴리머가 형성되고, 여기서 폴리머 측벽은 보잉을 감소시키는 것을 돕는다. 플루오로카본 (바람직하게, CF4) 또는 하이드로플루오로카본 성분이 측벽 폴리머를 제공하는데 이용될 수도 있다. 고 애스팩트비의 에칭 동안, 보호 폴리머 측벽은 이온 충격에 의해 각종 부분들에서 에칭되어 버리고, 이는 보잉을 야기한다. COS 로부터 플라즈마에 직접 추가되는 탄소에 본딩된 황은 측벽 폴리머로 통합되고 측벽 폴리머를 에칭에 대하여 더욱 저항성있게 한다.
주 에천트로서 CF4 를 이용하는 것이 통상적으로 홀 또는 콘택을 통해 에칭하는데 이용되는 C4F6 를 이용하는 것보다 유전체 층 내에 라인-스페이스 패턴을 에칭하는데 더 바람직하다. 1:4 의 C/F 비를 갖는 CF4 와 비교하여, C4F8 (1 : 2) 또는 C4F6 (2 : 3) 와 같은 더 높은 C/F 비율을 갖는 가스를 이용하는 것은 라인 피처의 수직 프로파일을 보다 더 경사지게 한다. 즉, 피처 (개구) 의 하부의 폭은 피처의 상부보다 더 작다. 따라서, 라인-스페이스 패턴 에칭에서 테이퍼 (taper) 를 제어하기 위해, 주 에천트로서 CF4 를 이용하는 것이 바람직하다. 예를 들어, 바람직한 에천트 가스는 에천트의 총 흐름 중 50 % 를 초과하는 CF4 를 포함한다. 물론, 프로파일 및/또는 CD 는 또한 CxHy 또는 CxHyFz 와 같은 추가의 가스에 의해 제어될 수 있다.
본 발명의 다른 실시형태에서, 에칭 층은, 유기계 또는 실리콘 산화물계 중 어느 하나일 수도 있는, 도핑되지 않거나 도핑된 실리콘 이산화물 (예를 들어, TEOS, PE-TEOS, BPSG, FSG 등), 실리콘 질화물 (SixNy), 또는 로우-k (low-k) 유전체일 수도 있다. 본 발명을 이용하여 에칭될 수도 있는 유기계 로우-k 유전체의 예는 SiLK 이다. 본 발명을 이용하여 에칭될 수도 있는 실리콘 산화물 로우-k 유전체의 일 예는 OSG (organo silicate glass) 이다.
본 발명은 몇몇 바람직한 실시형태에 대하여 설명되었으나, 본 발명의 범위 내에 있는 변경, 치환 변형, 및 각종 대체의 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 대안의 방식들이 존재한다. 따라서, 다음의 청구항은 본 발명의 사상 및 범위 내에 있는 이러한 변경, 치환, 및 각종 대체의 등가물 모두를 포함하는 것으로서 해석된다.

Claims (36)

  1. 기판 위 및 라인-스페이스 패턴을 갖는 패터닝된 마스크 아래에 배치되는 유전체 층을 에칭하는 방법으로서,
    CF4, COS, 및 산소 함유 가스를 포함하는 에천트 가스를 제공하는 단계;
    상기 에천트 가스로부터 플라즈마를 형성하는 단계; 및
    상기 에천트 가스로부터의 상기 플라즈마를 이용하여 상기 패터닝된 마스크를 통해 상기 유전체 층을 상기 라인-스페이스 패턴으로 에칭하는 단계를 포함하는, 유전체 층 에칭 방법.
  2. 제 1 항에 있어서,
    CF4 의 가스 유량은 모든 반응성 가스 성분들의 총 가스 유량의 50 % 보다 큰 비율을 갖는, 유전체 층 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    COS 의 가스 유량은 상기 CF4 의 가스 유량의 1 % 와 50 % 사이인, 유전체 층 에칭 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 COS 의 가스 유량은 상기 CF4 의 가스 유량의 2 % 와 20 % 사이인, 유전체 층 에칭 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 COS 의 가스 유량은 상기 CF4 의 가스 유량의 3 % 와 15 % 사이인, 유전체 층 에칭 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 에천트 가스는 운반 가스 (carrier gas) 로서 Ar, He, 또는 Xe, 또는 이들의 혼합물 중 하나를 더 포함하는, 유전체 층 에칭 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 에천트 가스는 하이드로플루오로카본 가스를 더 포함하는, 유전체 층 에칭 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 유전체 층은 SiN, SiO2, 또는 TEOS (tetra-ethyl-ortho-silicate) 중 하나인, 유전체 층 에칭 방법.
  9. 기판 위 및 라인-스페이스 패턴을 갖는 패터닝된 마스크 아래에 배치되는 유전체 층의 에칭시 보잉 (bowing) 을 감소시키는 방법으로서,
    CF4, COS, 및 산소 함유 가스를 포함하는 에천트 가스를 제공하는 단계로서, CF4 의 가스 유량은 모든 반응성 가스 성분들의 총 가스 유량의 50 % 보다 큰 비율을 갖고, COS 의 가스 유량은 상기 CF4 의 가스 유량의 3 % 와 15 % 사이의 비율을 갖는, 상기 에천트 가스를 제공하는 단계;
    상기 에천트 가스로부터 플라즈마를 형성하는 단계; 및
    상기 에천트가스로부터의 상기 플라즈마를 이용하여 상기 패터닝된 마스크를 통해 상기 유전체 층을 상기 라인-스페이스 패턴으로 에칭하는 단계를 포함하는, 유전체 층의 에칭시 보잉을 감소시키는 방법.
  10. 제 9 항에 있어서,
    상기 에천트 가스는 운반 가스로서 Ar, He, 또는 Xe, 또는 이들의 혼합물 중 하나를 더 포함하는, 유전체 층의 에칭시 보잉을 감소시키는 방법.
  11. 제 9 항 또는 제 10 항에 있어서,
    상기 에천트 가스는 하이드로플루오로카본 가스를 더 포함하는, 유전체 층의 에칭시 보잉을 감소시키는 방법.
  12. 제 9 항 내지 제 11 항 중 어느 한 항에 있어서,
    상기 유전체 층은 SiN, SiO2, 또는 TEOS (tetra-ethyl-ortho-silicate) 중 하나인, 유전체 층의 에칭시 보잉을 감소시키는 방법.
  13. 기판 위 및 라인-스페이스를 갖는 패터닝된 마스크 아래에 배치되는 유전체 층을 에칭하기 위한 장치로서,
    플라즈마 프로세싱 챔버로서,
    플라즈마 프로세싱 챔버 인클로저 (enclosure) 를 형성하는 챔버 벽;
    상기 플라즈마 프로세싱 챔버 인클로저 내에 기판을 지지하는 기판 지지체;
    상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하는 압력 조절기;
    플라즈마를 지속시키기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하는 적어도 하나의 전극;
    상기 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하는 가스 유입구; 및
    상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배기하는 가스 유출구를 포함하는, 상기 플라즈마 프로세싱 챔버;
    상기 가스 유입구와 유체 연결되는 에천트 가스 소스로서,
    CF4 소스;
    COS 소스; 및
    산소 함유 가스 소스를 포함하는, 상기 에천트 가스 소스;
    상기 에천트 가스 소스 및 상기 적어도 하나의 전극에 제어 가능하게 접속된 제어기로서,
    적어도 하나의 프로세서; 및
    상기 패터닝된 마스크를 통해 상기 유전체 층을 상기 라인-스페이스 패턴으로 에칭하기 위한 컴퓨터 판독가능 코드; 및 상기 패터닝된 마스크를 제거하기 위한 컴퓨터 판독가능 코드를 포함하는 컴퓨터 판독가능 매체를 포함하는, 상기 제어기를 포함하고,
    상기 패터닝된 마스크를 통해 상기 유전체 층을 상기 라인-스페이스 패턴으로 에칭하기 위한 컴퓨터 판독가능 코드는,
    상기 에천트 가스 소스로부터 CF4, COS, 및 산소 함유 가스를 포함하는 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드;
    상기 에천트 가스로부터 에칭 플라즈마를 생성하기 위한 컴퓨터 판독가능 코드; 및
    상기 에천트 가스 소스로부터의 상기 에천트 가스를 정지시키기 위한 컴퓨터 판독가능 코드를 포함하는, 유전체 층을 에칭하기 위한 장치.
  14. 제 13 항에 있어서,
    상기 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드는,
    CF4 의 가스 유량을 모든 반응성 가스 성분들의 총 가스 유량의 50 % 보다 큰 비율을 갖도록 제어하기 위한 컴퓨터 판독가능 코드를 포함하는, 유전체 층을 에칭하기 위한 장치.
  15. 제 13 항 또는 제 14 항에 있어서,
    상기 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드는,
    COS 의 가스 유량을 상기 CF4 의 가스 유량의 1 % 와 50 % 사이이도록 제어하기 위한 컴퓨터 판독가능 코드를 포함하는, 유전체 층을 에칭하기 위한 장치.
  16. 제 13 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드는,
    상기 COS 의 가스 유량을 상기 CF4 의 가스 유량의 2 % 와 20 % 사이이도록 제어하기 위한 컴퓨터 판독가능 코드를 포함하는, 유전체 층을 에칭하기 위한 장치.
  17. 제 13 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드는,
    상기 COS 의 가스 유량을 상기 CF4 의 가스 유량의 3 % 와 15 % 사이이도록 제어하기 위한 컴퓨터 판독가능 코드를 포함하는, 유전체 층을 에칭하기 위한 장치.
  18. 기판 위 및 라인-스페이스 패턴을 갖는 패터닝된 마스크 아래에 배치되는 유전체 층을 에칭하기 위한 장치로서,
    CF4, COS, 및 산소 함유 가스를 포함하는 에천트 가스를 제공하기 위한 수단;
    상기 에천트 가스로부터 플라즈마를 형성하기 위한 수단; 및
    상기 에천트 가스로부터의 상기 플라즈마를 이용하여 상기 패터닝된 마스크를 통해 상기 유전체 층을 상기 라인-스페이스 패턴으로 에칭하기 위한 수단을 포함하는, 유전체 층을 에칭하기 위한 장치.
  19. 제 18 항에 있어서,
    상기 에천트 가스를 제공하기 위한 수단은,
    CF4 의 가스 유량을 모든 반응성 가스 성분들의 총 가스 유량의 50 % 보다 큰 비율을 갖도록 제어하기 위한 수단을 포함하는, 유전체 층을 에칭하기 위한 장치.
  20. 제 18 항 또는 제 19 항에 있어서,
    상기 에천트 가스를 제공하기 위한 수단은,
    COS 의 가스 유량을 상기 CF4 의 가스 유량의 1 % 와 50 % 사이이도록 제어하기 위한 수단을 더 포함하는, 유전체 층을 에칭하기 위한 장치.
  21. 제 18 항 내지 제 20 항 중 어느 한 항에 있어서,
    상기 에천트 가스를 제공하기 위한 수단은,
    상기 COS 의 가스 유량을 상기 CF4 의 가스 유량의 2 % 와 20 % 사이이도록 제어하기 위한 수단을 더 포함하는, 유전체 층을 에칭하기 위한 장치.
  22. 제 18 항 내지 제 21 항 중 어느 한 항에 있어서,
    상기 에천트 가스를 제공하기 위한 수단은,
    상기 COS 의 가스 유량을 상기 CF4 의 가스 유량의 3 % 와 15 % 사이이도록 제어하기 위한 수단을 더 포함하는, 유전체 층을 에칭하기 위한 장치.
  23. 제 2 항에 있어서,
    COS 의 가스 유량은 상기 CF4 의 가스 유량의 1 % 와 50 % 사이인, 유전체 층 에칭 방법.
  24. 제 23 항에 있어서,
    상기 COS 의 가스 유량은 상기 CF4 의 가스 유량의 2 % 와 20 % 사이인, 유전체 층 에칭 방법.
  25. 제 24 항에 있어서,
    상기 COS 의 가스 유량은 상기 CF4 의 가스 유량의 3 % 와 15 % 사이인, 유전체 층 에칭 방법.
  26. 제 2 항에 있어서,
    상기 에천트 가스는 운반 가스로서 Ar, He, 또는 Xe, 또는 이들의 혼합물 중 하나를 더 포함하는, 유전체 층 에칭 방법.
  27. 제 2 항에 있어서,
    상기 에천트 가스는 하이드로플루오로카본 가스를 더 포함하는, 유전체 층 에칭 방법.
  28. 제 2 항에 있어서,
    상기 유천체층은 SiN, SiO2, 또는 TEOS (tetra-ethyl-ortho-silicate) 중 하나인, 유전체 층 에칭 방법.
  29. 제 9 항에 있어서,
    상기 에천트 가스는 하이드로플루오로카본 가스를 더 포함하는, 유전체 층의 에칭시 보잉을 감소시키는 방법.
  30. 제 9 항에 있어서,
    상기 유전체 층은 SiN, SiO2, 또는 TEOS (tetra-ethyl-ortho-silicate) 중 하나인, 유전체 층의 에칭시 보잉을 감소시키는 방법.
  31. 제 14 항에 있어서,
    상기 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드는,
    COS 의 가스 유량을 상기 CF4 의 가스 유량의 1 % 와 50 % 사이이도록 제어하기 위한 컴퓨터 판독가능 코드를 포함하는, 유전체 층을 에칭하기 위한 장치.
  32. 제 31 항에 있어서,
    상기 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드는,
    상기 COS 의 가스 유량을 상기 CF4 의 가스 유량의 2 % 와 20 % 사이이도록 제어하기 위한 컴퓨터 판독가능 코드를 포함하는, 유전체 층을 에칭하기 위한 장치.
  33. 제 32 항에 있어서,
    상기 에천트 가스를 제공하기 위한 컴퓨터 판독가능 코드는,
    상기 COS 의 가스 유량을 상기 CF4 의 가스 유량의 3 % 와 15 % 사이이도록 제어하기 위한 컴퓨터 판독가능 코드를 포함하는, 유전체 층을 에칭하기 위한 장치.
  34. 제 19 항에 있어서,
    상기 에천트 가스를 제공하기 위한 수단은,
    COS 의 가스 유량을 상기 CF4 의 가스 유량의 1 % 와 50 % 사이이도록 제어하기 위한 수단을 더 포함하는, 유전체 층을 에칭하기 위한 장치.
  35. 제 34 항에 있어서,
    상기 에천트 가스를 제공하기 위한 수단은,
    상기 COS 의 가스 유량을 상기 CF4 의 가스 유량의 2 % 와 20 % 사이이도록 제어하기 위한 수단을 더 포함하는, 유전체 층을 에칭하기 위한 장치.
  36. 제 35 항에 있어서,
    상기 에천트 가스를 제공하기 위한 수단은,
    상기 COS 의 가스 유량을 상기 CF4 의 가스 유량의 3 % 와 15 % 사이이도록 제어하기 위한 수단을 더 포함하는, 유전체 층을 에칭하기 위한 장치.
KR1020107009127A 2007-09-27 2008-09-16 유전체 에칭에서의 프로파일 제어 KR101528947B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US97575207P 2007-09-27 2007-09-27
US60/975,752 2007-09-27
PCT/US2008/076542 WO2009042453A2 (en) 2007-09-27 2008-09-16 Profile control in dielectric etch

Publications (2)

Publication Number Publication Date
KR20100088128A true KR20100088128A (ko) 2010-08-06
KR101528947B1 KR101528947B1 (ko) 2015-06-15

Family

ID=40512082

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107009127A KR101528947B1 (ko) 2007-09-27 2008-09-16 유전체 에칭에서의 프로파일 제어

Country Status (5)

Country Link
US (1) US8501627B2 (ko)
KR (1) KR101528947B1 (ko)
CN (1) CN101809721B (ko)
TW (1) TWI456656B (ko)
WO (1) WO2009042453A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9460935B2 (en) 2014-10-24 2016-10-04 Samsung Electronics Co., Ltd. Method for fabricating semiconductor devices
KR20170106927A (ko) * 2016-03-14 2017-09-22 램 리써치 코포레이션 유전체 층들 내 피처들을 에칭하기 위한 방법
KR20180114501A (ko) * 2017-04-10 2018-10-18 램 리써치 코포레이션 패턴 붕괴를 방지하기 위한 에칭 후 처리

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009200080A (ja) * 2008-02-19 2009-09-03 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US8394722B2 (en) * 2008-11-03 2013-03-12 Lam Research Corporation Bi-layer, tri-layer mask CD control
KR20160119329A (ko) 2015-04-02 2016-10-13 삼성전자주식회사 반도체 소자의 미세패턴 형성방법
US10546756B2 (en) 2016-11-29 2020-01-28 Lam Research Corporation Method for generating vertical profiles in organic layer etches
US10600648B2 (en) * 2017-04-20 2020-03-24 Lam Research Corporation Silicon-based deposition for semiconductor processing
US11264249B2 (en) 2018-12-18 2022-03-01 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
KR20220010648A (ko) 2020-07-16 2022-01-26 삼성전자주식회사 플라즈마 식각 장치, 플라즈마 식각 방법 및 그를 포함하는 반도체 소자의 제조 방법

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4208241A (en) * 1978-07-31 1980-06-17 Bell Telephone Laboratories, Incorporated Device fabrication by plasma etching
JP3220992B2 (ja) 1991-01-22 2001-10-22 ソニー株式会社 ドライエッチング方法
FR2673763A1 (fr) 1991-03-06 1992-09-11 Centre Nat Rech Scient Procede de gravure anisotrope des polymeres par plasma.
JPH04354331A (ja) 1991-05-31 1992-12-08 Sony Corp ドライエッチング方法
JP3116569B2 (ja) * 1992-06-29 2000-12-11 ソニー株式会社 ドライエッチング方法
WO1997024750A1 (en) 1995-12-29 1997-07-10 Trikon Technologies, Inc. Method for etching silicon dioxide using unsaturated fluorocarbons
US5804088A (en) * 1996-07-12 1998-09-08 Texas Instruments Incorporated Intermediate layer lithography
WO1998032162A1 (fr) 1997-01-21 1998-07-23 Matsushita Electric Industrial Co., Ltd. Procede de formation de configuration
US6057239A (en) * 1997-12-17 2000-05-02 Advanced Micro Devices, Inc. Dual damascene process using sacrificial spin-on materials
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
JP2000277494A (ja) 1999-03-26 2000-10-06 Sony Corp 有機系反射防止膜のエッチング方法および半導体装置の製造方法
JP2000306884A (ja) * 1999-04-22 2000-11-02 Mitsubishi Electric Corp プラズマ処理装置およびプラズマ処理方法
TW463307B (en) * 2000-06-29 2001-11-11 Mosel Vitelic Inc Manufacturing method of dual damascene structure
KR20020075005A (ko) * 2001-03-23 2002-10-04 삼성전자 주식회사 반도체 장치의 콘택 홀 형성 방법
US6630407B2 (en) * 2001-03-30 2003-10-07 Lam Research Corporation Plasma etching of organic antireflective coating
US6746961B2 (en) * 2001-06-19 2004-06-08 Lam Research Corporation Plasma etching of dielectric layer with etch profile control
JP3883470B2 (ja) * 2002-05-14 2007-02-21 沖電気工業株式会社 半導体装置の製造方法
JP3913145B2 (ja) 2002-08-28 2007-05-09 松下電器産業株式会社 パターン形成方法
JP4034164B2 (ja) * 2002-10-28 2008-01-16 富士通株式会社 微細パターンの作製方法及び半導体装置の製造方法
JP2004363150A (ja) 2003-06-02 2004-12-24 Matsushita Electric Ind Co Ltd パターン形成方法
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
US7566664B2 (en) * 2006-08-02 2009-07-28 Qualcomm Mems Technologies, Inc. Selective etching of MEMS using gaseous halides and reactive co-etchants
US8158524B2 (en) * 2007-09-27 2012-04-17 Lam Research Corporation Line width roughness control with arc layer open
US8133819B2 (en) * 2008-02-21 2012-03-13 Applied Materials, Inc. Plasma etching carbonaceous layers with sulfur-based etchants

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9460935B2 (en) 2014-10-24 2016-10-04 Samsung Electronics Co., Ltd. Method for fabricating semiconductor devices
KR20170106927A (ko) * 2016-03-14 2017-09-22 램 리써치 코포레이션 유전체 층들 내 피처들을 에칭하기 위한 방법
KR20180114501A (ko) * 2017-04-10 2018-10-18 램 리써치 코포레이션 패턴 붕괴를 방지하기 위한 에칭 후 처리

Also Published As

Publication number Publication date
KR101528947B1 (ko) 2015-06-15
CN101809721A (zh) 2010-08-18
TWI456656B (zh) 2014-10-11
TW200933734A (en) 2009-08-01
US8501627B2 (en) 2013-08-06
CN101809721B (zh) 2013-03-06
WO2009042453A3 (en) 2009-06-11
WO2009042453A2 (en) 2009-04-02
US20110053379A1 (en) 2011-03-03

Similar Documents

Publication Publication Date Title
US7645707B2 (en) Etch profile control
KR101528947B1 (ko) 유전체 에칭에서의 프로파일 제어
KR101711669B1 (ko) 측벽 형성 공정
US7491647B2 (en) Etch with striation control
US7429533B2 (en) Pitch reduction
US8268118B2 (en) Critical dimension reduction and roughness control
US7560388B2 (en) Self-aligned pitch reduction
US7682516B2 (en) Vertical profile fixing
KR20070092282A (ko) 에칭 마스크 피쳐 임계 치수의 감축
KR20100106347A (ko) 산화물 스페이서를 이용한 피치 감소
US8470715B2 (en) CD bias loading control with ARC layer open
KR20100124289A (ko) 희가스 플라즈마를 이용한 선폭 거칠기 개선
US20070181530A1 (en) Reducing line edge roughness
US7396769B2 (en) Method for stripping photoresist from etched wafer
KR102139380B1 (ko) 제어된 위글링에 의한 에칭을 위한 방법
JP2006517743A (ja) プラズマ処理システム内でエッチングしながらフォトレジスト歪みを低減する方法
KR20110103883A (ko) 산화물에 대해 고도로 조정 가능한 선택도를 갖는 질화물 플라즈마 에칭
US7341953B2 (en) Mask profile control for controlling feature profile

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180528

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 5