JPH04354331A - ドライエッチング方法 - Google Patents

ドライエッチング方法

Info

Publication number
JPH04354331A
JPH04354331A JP3155454A JP15545491A JPH04354331A JP H04354331 A JPH04354331 A JP H04354331A JP 3155454 A JP3155454 A JP 3155454A JP 15545491 A JP15545491 A JP 15545491A JP H04354331 A JPH04354331 A JP H04354331A
Authority
JP
Japan
Prior art keywords
layer
etching
mask
sio2
etched
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP3155454A
Other languages
English (en)
Inventor
Shingo Kadomura
新吾 門村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP3155454A priority Critical patent/JPH04354331A/ja
Priority to EP92108858A priority patent/EP0516053B1/en
Priority to DE69228333T priority patent/DE69228333T2/de
Priority to US07/891,448 priority patent/US5312518A/en
Priority to KR1019920009372A priority patent/KR100229241B1/ko
Publication of JPH04354331A publication Critical patent/JPH04354331A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造分野等
において適用されるドライエッチング方法に関し、特に
窒化シリコン系材料層を下地として酸化シリコン系材料
層との間で選択比を大きく確保しながらエッチングを行
う方法に関する。
【0002】
【従来の技術】近年のVLSI,ULSI等にみられる
ように半導体装置の高集積化および高性能化が進展する
に伴い、絶縁膜のドライエッチングにおいても、高異方
性,高速性,高選択性,低ダメージ性,低汚染性といっ
た諸要求をいずれをも犠牲にすることなく達成する技術
が強く望まれている。
【0003】従来、酸化シリコン(SiOx ;特にx
=2)からなる絶縁膜をエッチングするには、CHF3
 、CF4 /H2 混合系、CF4 /O2 混合系
、C2 F6 /CHF3 混合系等がエッチング・ガ
スとして典型的に使用されてきた。これらは、いずれも
C/F比(分子内の炭素原子数とフッ素原子数の比)が
0.25以上のフルオロカーボン系ガスを主体としてい
る。これらのガス系が使用されるのは、(a)フルオロ
カーボン系ガスに含まれるCがSiO2 層の表面でC
−O結合を生成し、Si−O結合を切断したり弱めたり
する働きがある、(b)SiO2 層の主エッチング種
であるCFn + (特にn=3) を生成できる、さ
らに(c)プラズマ中で相対的に炭素に富む状態が作り
出されるので、SiO2 中の酸素がCOまたはCO2
 の形で除去される一方、ガス系に含まれるC,H,F
等の寄与によりシリコン下地の表面では炭素系のポリマ
ーが堆積してエッチング速度が低下し、対下地選択比を
高くとることができる、等の理由にもとづいている。な
お、上記のH2 ,O2 等の添加ガスは選択比の制御
を目的として用いられているものであり、それぞれF*
 発生量を低減もしくは増大させることができる。つま
り、エッチング反応系の見掛け上のC/F比を制御する
効果を有する。
【0004】窒化シリコン(Six Ny ;特にx=
3,y=4)からなる絶縁膜のエッチングも基本的には
SiO2 層のエッチングと同様のガス系によりエッチ
ングされる。ただし、SiO2 層がイオン・アシスト
反応を主体とする機構によりエッチングされるのに対し
、Six Ny 層はF* を主エッチング種とするラ
ジカル反応機構にもとづいてエッチングされ、エッチン
グ速度もSiO2 層よりも速い。これは、原子間結合
エネルギーの大小関係がSi−F(132kcal/m
ole)>Si−O結合(111kcal/mole)
>Si−N結合(105kcal/mole)であるこ
とからも、ある程度予測される。なお、原子間結合エネ
ルギーの値には算出方法により若干の差が出るが、ここ
ではR.C.Weast編“Handbook  of
  Chemistry  andPhysics”,
69th  ed.(1988年)(CRC  Pre
ss社刊,米国フロリダ州)に記載のデータを引用した
【0005】ところで、近年ではデバイス構造の複雑化
に伴い、SiOx 層とSix Ny 層との間で選択
性の高いエッチングを行う必要が生じている。たとえば
、SiOx 層上におけるSixNy 層のエッチング
は、たとえばLOCOS法において素子分離領域を規定
するためのパターニング等で行われる。バーズ・ビーク
長を最小限に止めるためにパッド酸化膜(SiO2 層
)が薄膜化されている現状では、極めて高い下地選択性
の要求されるプロセスである。一方、SiNx 層上に
おけるSiOx 層のエッチングは、たとえばコンタク
ト・ホール加工において必要となる。近年では、オーバ
ーエッチング時の基板ダメージを低減させるために、S
iOx 層間絶縁膜の下地に薄いSixNy 層が介在
される場合があるが、その趣旨を活かすためにも高い下
地選択性が要求される。
【0006】ところで、積層される異なる材料層の間で
選択性の高いエッチングを行うためには、両材料層の原
子間結合エネルギーの値が離れていることが原理的には
望ましい。しかし、SiOx 層とSix Ny 層の
場合、Si−O結合とSi−N結合は原子間結合エネル
ギーの値が近接しており、エッチング・ガス系も共通で
あることから、高選択エッチングは本質的に困難である
。従来よりこの選択エッチングを可能とするための技術
の開発が各所で進められている。ここで、SiOx 層
上のSix Ny 層をエッチングする技術については
、幾つか報告がある。たとえば、本発明者は先に特開昭
61−142744号公報において、C/F比(1分子
内のC原子数とF原子数の比)が小さいCH2 F2 
等のガスにCO2 を30〜70%のモル比で混合した
エッチング・ガスを用いる技術を開示している。C/F
比の小さいガスはF* の再結合によってのみSiOx
 層のエッチング種であるCFx + (特にx=3)
を生成し得るが、この系へ大量のCO* を供給してF
* を捕捉してCOFの形で除去すると、CFx + 
の生成量が減少してSiO2 層のエッチング速度が低
下する。一方、Six Ny はCFx + 以外のイ
オンやラジカルでエッチングされるので、CO2 の大
量添加によってもエッチング速度はほとんど変化しない
。このようにして、両層の間の選択性が得られるわけで
ある。
【0007】また、Proceedings  of 
 Symposium  onDryProcess,
第88巻7号,86〜94ページ(1987年)には、
ケミカル・ドライエッチング装置にNF3 とCl2 
とを供給し、マイクロ波放電により気相中に生成するF
Clを利用してSiOx 上のSix Ny 層をエッ
チングする技術が報告されている。Si−O結合はイオ
ン結合性を55%含むのに対し、Si−N結合は30%
であり、共有結合性の割合が高くなっている。つまり、
Six Ny 層中の化学結合の性質は、単結晶シリコ
ン中の化学結合(共有結合)のそれに近く、FClから
解離生成したF* ,Cl* 等のラジカルによりエッ
チングされる。一方、SiOx 層はこれらのラジカル
によってもほとんどエッチングされないので、高選択エ
ッチングが可能となるわけである。
【0008】
【発明が解決しようとする課題】このように、SiOx
 層の上でSix Ny 層を選択エッチングする技術
については、幾つかの報告がなされている。これは、両
層のエッチング速度を考えるとある意味では当然である
。それは、ラジカル反応を主体とする機構によりSix
 Ny をエッチングする過程では、途中でSiOx 
層が露出すれば必然的にエッチング速度は低下するから
である。しかし、従来の技術にも問題はあり、たとえば
上述のFClを利用するプロセスでは、ラジカル反応を
利用しているために異方性加工が本質的に困難である。
【0009】逆に、Six Ny 層の上でSiOx 
層を選択エッチングする技術についてはこれまでに報告
がない。この場合、イオン・アシスト反応を主体とする
機構によりSiOx 層をエッチングしていても、その
反応系中には必ずラジカルが生成しており、Six N
y が露出した時点でこのラジカルによりエッチング速
度が上昇してしまうので、選択性の確保は一層困難であ
る。しかし、将来必ず必要とされるプロセスであり、そ
の実現が切望されている。そこで本発明は、SiOx 
層とSix Ny 層との間の高選択エッチングを可能
とする方法を提供することを目的とする。
【0010】
【課題を解決するための手段】本発明にかかるドライエ
ッチング方法は、上述の目的を達成するために提案され
るものである。すなわち、本願の第1の発明にかかるド
ライエッチング方法は、被エッチング基板の温度を室温
以下に制御し、S2 F2 ,SF2 ,SF4 ,S
2F10から選ばれる少なくとも1種類の化合物を含む
エッチング・ガスを用い、Six Ny 系材料層の上
に形成されたSiOx 系材料層のエッチングを行うこ
とを特徴とするものである。
【0011】本願の第2の発明にかかるドライエッチン
グ方法は、被エッチング基板の温度を室温以下に制御し
、S2 F2 ,SF2 ,SF4 ,S2 F10か
ら選ばれる少なくとも1種類の化合物を含むエッチング
・ガスを用い、所定の形状にパターニングされたSix
 Ny 系材料層をマスクとしてSiOx 系材料層の
エッチングを行うことを特徴とするものである。
【0012】
【作用】本願の第1の発明は、Six Ny 層の上で
SiOx 系材料層の選択エッチングを行う技術である
。ここで使用される4種類のフッ化イオウ、すなわちS
2 F2 ,SF2 ,SF4 ,S2 F10は、本
発明者が先に特願平2−198045号明細書において
、酸化シリコン系材料層のエッチング用ガスとして提案
したものであり、極めて効果的な脱フロン対策を提供す
るものである。上記フッ化イオウは、放電により解離し
てプラズマ中にSFx + を生成することができ、こ
れがSiOx 層の主エッチング種として寄与する。S
iOx 層中のSiはSiFx の形で除去される。ま
た、これらフッ化イオウの重要な特徴は、同じくフッ化
イオウでも従来から最も良く知られているSF6 と比
べてS/F比(1分子中のS原子数とF原子数の比)が
高く、プラズマ中に遊離のSを生成できる点である。こ
のSは、常温以下に温度制御された被エッチング基板(
ウェハ)の表面に吸着する。ここで、被エッチング層で
あるSiO2 層の表面に吸着したSは、該表面に入射
するイオンのエネルギーにアシストされてSOx (x
=2,3)を生成し、脱離する。一方、レジスト・マス
クおよびシリコン下地の表面ではSの堆積とスパッタ除
去とが競合するので、対レジスト選択比および対下地選
択比を向上させる効果を有する。さらに、原理的にイオ
ンの入射が起こらないパターン側壁部では、このSが側
壁保護膜の役割を果たす。堆積したSは、エッチング終
了後に基板を加熱すれば容易に昇華除去できるため、パ
ーティクル汚染を惹起させる虞れもない。
【0013】SiOx 層のエッチングがほぼ終了する
と、下地のSix Ny 層が露出し、プラズマ中のF
* が該Six Ny 層の表面からSi原子を引き抜
くことによりNのダングリング・ボンドが生成する。S
ix Ny 層表面のダングリング・ボンドの存在につ
いては、菅野卓夫著「半導体プラズマプロセス技術」(
産業図書株式会社刊)P133〜134、および昭和5
5年(1980年)電気学会論文集第5分冊,S6−2
等に既に論じられているとおりである。本発明では、こ
のダングリング・ボンドにプラズマ中に生成したSが結
合して種々の窒化イオウ系化合物が生成し、この窒化イ
オウ系化合物によりSix Ny 層の表面が保護され
て高選択性が達成される点を最大の特徴としている。
【0014】ここで、上記窒化イオウ系化合物としては
一般式(NS)n で表されるチアジル化合物が最も代
表的なものである。すなわち、最も単純に考えれば、ま
ずダングリング・ボンドにSが結合するとチアジル(N
≡S)が形成される。このチアジルは、酸素類似体であ
る一酸化窒素(NO)の構造から類推して不対電子を持
っており、容易に重合して(SN)2 ,(SN)4 
,さらには(SN)n を生成する。(SN)2 は2
0℃付近で容易に重合して(SN)4 および(SN)
nを生成し、30℃付近で分解する。(SN)4 は融
点178℃,分解温度206℃の環状物質である。(S
N)n は化学的に安定で130℃までは分解しない。 本発明ではウェハの温度が常温以下に制御されているの
で、(SN)n はウェハ上で安定に存在できる。
【0015】この他、プラズマ中にはフッ化イオウに由
来するF* も存在しているため、上記(SN)n に
フッ素が結合したフッ化チアジルも生成し得る。また、
F* の生成量を制御するために水素系ガスが添加され
る場合には、チアジル水素が生成する可能性もある。さ
らに、条件によってはS4 N2 (融点23℃),S
11N2 (融点150〜155℃),S15N2 (
融点137℃),S16N2 (融点122℃)等のよ
うに分子内のS原子数とN原子数が不均衡な環状窒化イ
オウ化合物、あるいはこれら環状窒化イオウ化合物のN
原子上にH原子が結合したS7 NH(融点113.5
℃),1,3−S6 (NH)2 (融点130℃),
1,4−S6 (NH)2 (融点133℃),1,5
−S6 (NH)2 (融点155℃),1,3,5−
S5 (NH)3 (融点124℃),1,3,6−S
5 (NH)3 (融点131℃),S4 (NH)4
 (融点145℃)等のイミド型の化合物等も生成可能
である。
【0016】イオウと窒素とを構成元素として含むこれ
らの窒化イオウ系化合物はすべて、レジスト・マスクを
O2 プラズマ・アッシングにより除去する際に、N2
 ,NOx ,SOx 等の形で除去できるため、ウェ
ハ上に残存したりパーティクル汚染を惹起させたりする
ものではない。
【0017】本願の第2の発明は、Six Ny 層を
マスクとしてSiOx 層のエッチングを行うにあたり
、マスクに対する選択性を高めるものである。第2の発
明においても、高選択性が達成される原理は上述の第1
の発明と同様である。ここでは、エッチングの開始時に
既にSixNy マスクの上面がプラズマ中に露出され
ているので、エッチング開始後すぐにF* によるSi
原子の引き抜きとSの結合が起こり、該Six Ny 
マスクの表面が(SN)n 等の窒化イオウ系化合物で
被覆される。一方、SiOx の表面では、上述の機構
にもとづいてパターン側壁部がSにより保護されながら
高速にエッチングが進行する。通常、SiOx 層のエ
ッチングはイオン性を高めた条件で行われるので、マス
クの後退による寸法変換差が発生し易いが、本発明によ
ればこのような懸念はない。
【0018】なお、第2の発明ではSiOx 層のエッ
チングに先立ち、Six Ny マスクを作成するため
にレジスト・マスクを用いてSiOx 層を下地とする
エッチングを行わなければならないが、このエッチング
はまさに本願の第1の発明を適用して高選択比をもって
行うことができる。本発明では、Six Ny マスク
が形成された後はレジスト・マスクを除去し、該Six
 Ny 層のみをマスクとしてSiOx 層をエッチン
グするので、エッチング反応系内にレジスト材料に起因
する炭素系の分解生成物が発生する虞れがなく、パーテ
ィクル汚染を低減できるというメリットも得られる。
【0019】
【実施例】以下、本発明の具体的な実施例について説明
する。
【0020】実施例1 本実施例は、本願の第1の発明をコンタクト・ホール加
工に適用し、S2 F2 /H2 混合ガスを用いてS
iO2 層間絶縁膜をエッチングした例である。このプ
ロセスを、図1を参照しながら説明する。なお、図1は
模式的な表現の都合上、実際よりもアスペクト比を圧縮
して描かれている。
【0021】まず、図1(a)に示されるように、予め
不純物拡散領域2の形成されたシリコン基板1上にたと
えば減圧CVD法により層厚100ÅのSi3 N4 
下地膜3を形成し、続いて常圧CVD法により層厚50
00ÅのSiO2 層間絶縁膜4を形成した。さらに、
上記SiO2 層間絶縁膜4の上には、化学増幅系ネガ
型3成分系フォトレジストであるSAL−601(シプ
レー社製;商品名)を塗布し、エキシマ・レーザ・リソ
グラフィおよびアルカリ現像により開口部5aを有する
レジスト・マスク5を形成した。
【0022】このウェハをマグネトロン型RIE(反応
性イオン・エッチング)装置のウェハ載置電極上にセッ
トし、該ウェハ載置電極に内蔵される冷却配管にたとえ
ばチラー等の冷却系統からエタノール冷媒を供給循環さ
せることにより、ウェハを約−50℃に冷却した。この
状態で、一例としてS2 F2 流量50SCCM,H
2 流量20SCCM,ガス圧1.3Pa(10mTo
rr),RFパワー1000W(2MHz)の条件でS
iO2 層間絶縁膜4をエッチングした。このエッチン
グが進行する機構を、図1(b)に模式的に示す。図中
、堆積とスパッタ除去とが競合する化学種の組成式は点
線で囲み、安定に堆積している化学種の組成式は実線で
囲んだ。SiO2 層間絶縁膜4のエッチングは、プラ
ズマ中に生成するS* ,F* 等によるラジカル反応
がSFx + ,S+ 等のイオンにアシストされる機
構で進行する。また、S2 F2 の放電解離によりプ
ラズマ中に生成した遊離のSは、低温冷却されたウェハ
の表面に吸着される。ここで、SiO2 層間絶縁膜4
の表面に吸着されたSは、該表面からスパッタ作用によ
り供給されるO原子と結合してSOx の形で除去され
るので、SiO2 層間絶縁膜4のエッチング速度を何
ら低下させるものではない。しかし、レジスト・マスク
5の表面ではSの堆積とスパッタ除去とが競合し、エッ
チング速度を低下させた。これにより、対レジスト選択
比が向上した。一方、イオンの垂直入射が原理的に起こ
らないパターン側壁部にはSが堆積して側壁保護効果を
発揮し、垂直壁を有するコンタクト・ホール4aが形成
された。なお、H2 はエッチング反応系の見掛け上の
S/F比を上昇させて、F* による選択比の低下を防
止するために添加されているものである。H2 から解
離生成するH* はF* の一部を捕捉してHFの形で
系外へ除去することができる。このようなS/F比の制
御は、F* が相対的に過剰となるオーバーエッチング
時に異方性や対下地選択性が低下するのを防止する上で
、極めて有効である。
【0023】さらに、SiO2 層間絶縁膜4のエッチ
ングがほぼ終了してコンタクト・ホール4aの底部にS
i3 N4 下地膜3が露出すると、該Si3 N4 
下地膜4から供給されるN原子とプラズマ中のSが結合
して窒化イオウ系化合物が形成された。図1(b)では
、窒化イオウ系化合物としてポリマー状のチアジル化合
物(SN)n が形成された状態を模式的に表した。上
記(SN)n の堆積によりエッチング速度は大幅に低
下し、Si3 N4 下地膜4に対して約15の選択比
が達成された。
【0024】次に、ウェハをプラズマ・アッシング装置
に移設し、O2 プラズマによりレジスト・マスク5を
除去した。このとき、図1(c)に示されるように、パ
ターン側壁部に堆積したSは、燃焼反応によりSOx 
の形で除去され、また、Si3 N4 下地膜4の表面
に堆積した(SN)n は燃焼もしくは分解反応により
N2 ,NOx ,SOx 等の形で除去された。
【0025】最後に、ウェハを熱リン酸水溶液に浸漬し
、図1(d)に示されるように、コンタクト・ホール4
aの底部に露出したSi3 N4 下地膜3を分解除去
した。以上のプロセスにより、不純物拡散領域2にダメ
ージを発生させたり、またパーティクル汚染を惹起させ
ることなく、良好な異方性形状を有するコンタクト・ホ
ール4aが形成された。
【0026】実施例2 本実施例は、本願の第1の発明を実施例1と同様、コン
タクト・ホール加工に適用し、S2 F2 /H2 S
混合ガスを用いてSiO2 層間絶縁膜をエッチングし
た例である。
【0027】本実施例でエッチング・サンプルとして使
用したウェハは、実施例1で使用したものと同じである
。このウェハをまず、RFバイアス印加型の有磁場マイ
クロ波プラズマ・エッチング装置のウェハ載置電極上に
セットし、一例としてS2 F2 流量50SCCM,
H2 流量15SCCM,ガス圧1.3Pa(10mT
orr),マイクロ波パワー850W,RFバイアス・
パワー200W(400kHz),ウェハ温度−50℃
の条件でSiO2 層間絶縁膜4をエッチングした。こ
のエッチングが進行する機構は、実施例1で上述したと
おりである。ただし、本実施例では添加ガスであるH2
 SからもSが供給されるので、Sの堆積効率は一層向
上した。 本実施例でも良好な異方性形状を有するコンタクト・ホ
ール4aが優れた選択性をもって形成された。
【0028】実施例3 本実施例は、本願の第1の発明をSi3 N4 層を含
むゲート絶縁膜上に形成されたゲート電極の両側壁部に
おいてSiO2 層からなるサイドウォールを形成する
ためのエッチバックに適用した例である。このプロセス
は、LDD構造を有するMOS−FETの製造工程に含
まれるものである。以下、図2を参照しながら説明する
。まず、図2(a)に示されるように、シリコン基板1
1上にいわゆるONO(酸化膜/窒化膜/酸化膜)構造
を有するゲート絶縁膜16を形成し、さらにn+ 型多
結晶シリコン層からなるゲート電極17をパターニング
により形成し、このゲート電極17をマスクとしてイオ
ン注入を行うことにより低濃度不純物拡散領域12を形
成し、さらにウェハの全面にCVD法によりSiO2 
層18を堆積させた。ここで、上記ゲート絶縁膜17は
、シリコン基板11側から順に、層厚40Åの第1のS
iO2 ゲート絶縁膜13、層厚60ÅのSi3 N4
 ゲート絶縁膜14、層厚20Åの第2のSiO2 ゲ
ート絶縁膜15が積層されたものである。
【0029】上記のウェハをRFバイアス印加型の有磁
場マイクロ波プラズマ・エッチング装置にセットし、一
例としてS2 F2 流量50SCCM,ガス圧1.3
Pa(10mTorr),RFパワー200W(400
kHz),ウェハ温度−80℃の条件で上記SiO2 
層18のエッチバック、および第2のSiO2 ゲート
絶縁膜15のエッチングを行った。このエッチングが進
行する機構は、実施例1で上述したとおりである。本実
施例ではF* を消費するためのH2 やH2 S等の
添加ガスを特に使用していないが、ウェハの冷却温度を
一層下げることによりF* によるラジカル反応が抑制
され、かつSの堆積が促進されている。エッチングはゲ
ート電極17およびSi3 N4 ゲート絶縁膜14の
表面が露出した時点で終了し、ゲート電極17の両側壁
部にサイドウォール18aが形成された。このとき、図
2(b)に示されるように、ゲート電極17の表面では
SiO2 層18と異なりO原子が供給されなくなるの
でSが堆積し、エッチング速度が大幅に低下して高選択
比が得られた。また、Si3 N4 ゲート絶縁膜14
の表面では(SN)n 等の窒化イオウ系化合物が堆積
することによりエッチング速度が大幅に低下し、約20
の選択比が得られた。ウェハ表面に堆積したSや窒化イ
オウ系化合物は、エッチング終了後にウェハを約130
℃以上に加熱することにより、図2(c)に示されるよ
うに容易に除去された。このとき、まずウェハが90℃
付近まで加熱された時点でSが昇華除去され、さらにウ
ェハが昇温すると窒化イオウ系化合物が分解除去される
。このようにして、下地の低濃度不純物拡散領域12に
ダメージを与えることなくサイドウォール18aを形成
することができた。
【0030】実施例4 本実施例は、本願の第2の発明をコンタクト・ホール加
工に適用し、S2 F2 /H2 混合ガスとSi3 
N4 マスクを用いてSiO2 層間絶縁膜をエッチン
グした例である。このプロセスを、図3を参照しながら
説明する。
【0031】まず、図3(a)に示されるように、予め
不純物拡散領域22の形成されたシリコン基板21上に
たとえば常圧CVD法により層厚1μmのSiO2 層
間絶縁膜23が形成され、さらに該SiO2 層間絶縁
膜23上に所定の形状にパターニングされたSi3 N
4 マスク24が形成されてなるウェハを用意した。こ
こで、上記Si3 N4 マスク24は、たとえば減圧
CVD法により形成された層厚1000ÅのSi3 N
4 層を、エキシマ・レーザ・リソグラフィと現像処理
により形成された化学増幅系フォトレジストのパターン
をマスクとしてエッチングすることにより形成されてお
り、開口部24aを有している。フォトレジスト・マス
クはアッシングにより除去してある。
【0032】このウェハをRFバイアス印加型の有磁場
マイクロ波プラズマ・エッチング装置にセットし、一例
としてS2 F2 流量50SCCM,H2 流量20
SCCM,ガス圧1.3Pa(10mTorr),マイ
クロ波パワー850W,RFバイアス・パワー200W
(400kHz)の条件で上記SiO2 層間絶縁膜4
をエッチングした。本実施例では、エッチングの開始前
に既にSi3 N4 マスク24がウェハの表面に露出
しているため、図3(b)に示されるように、エッチン
グを開始するとその表面は直ちに(SN)n 等の窒化
イオウ系化合物で被覆された。この窒化イオウ系化合物
によりSi3 N4 マスク24の表面におけるエッチ
ング速度が大幅に低下し、マスクの後退による寸法変換
差の発生やコンタクト・ホール23aの断面形状の劣化
が防止された。このときの対Si3 N4 マスク選択
比は約20であった。 一方、SiO2 層間絶縁膜23のエッチングは、側壁
部がSの堆積により保護されながら異方的に進行した。 下地の不純物拡散領域22が露出すると、Sはその表面
に堆積し、エッチング速度が大幅に低下した。この結果
、オーバーエッチングを行った際にも高い下地選択性が
得られた。エッチング終了後には、ウェハを約130℃
以上に加熱することにより、図3(c)に示されるよう
に窒化イオウ系化合物およびSを除去した。
【0033】一般にSiO2 層のエッチングにはイオ
ン入射エネルギーの大きい条件が採用されるので、レジ
スト・マスクを使用するプロセスでは入射イオンのスパ
ッタ作用によるマスクの後退、およびこれに伴うパーテ
ィクル汚染の発生が問題となっていた。しかし、本願の
第2の発明によれば、Si3N4 層をマスクとするS
iO2 層のエッチングが可能となるので、レジスト・
マスクが不要となり、上述の問題を回避できる。この場
合、マスクとして使用したSi3 N4 層は、特に除
去しなくても絶縁膜の一部としてそのまま使用すること
ができる。
【0034】以上、本発明を4つの実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではなく、たとえばエッチング・ガスには各種の添
加ガスを混合しても良い。たとえば、エッチング反応系
のS/F比を増大させるための添加ガスとしては、上述
のH2 ,H2 Sの他にシラン系ガスを使用しても良
い。 さらに、スパッタリング効果,冷却効果,希釈効果を得
る目的でHe,Ar等の希ガスが添加されていても良い
。また、上述の実施例ではエッチング・ガスとしてS2
 F2 を使用する場合について説明したが、本発明で
提案される他のフッ化イオウ使用した場合でも、同様の
機構によりエッチングを行うことができる。
【0035】
【発明の効果】以上の説明からも明らかなように、本発
明のドライエッチング方法によれば、従来は困難であっ
たSiOx 層とSix Ny 層との間の選択エッチ
ングが可能となる。特に、過去にも提案されていないS
ix Ny 層の上におけるSiOx 層の選択エッチ
ングについては、従来不可能であったプロセスを可能と
するものであり、これにより新たなデバイス構造が開発
される可能性も高い。もちろん、脱フロン対策としても
優れていることは言うまでもない。したがって、本発明
は微細なデザイン・ルールにもとづいて設計され、高集
積度および高性能を有する半導体装置の製造に好適であ
り、その産業上の価値は極めて大きい。
【図面の簡単な説明】
【図1】  本願の第1の発明をコンタクト・ホール加
工に適用した一例をその工程順にしたがって示す模式的
断面図であり、(a)はSiO2 層間絶縁膜上にレジ
スト・マスクが形成された状態、(b)はSiO2 層
間絶縁膜がエッチングされた状態、(c)はプラズマア
ッシングによりレジスト・マスクと堆積したS,(SN
)n が除去された状態、(d)はコンタクト・ホール
内のSi3 N4 下地膜が選択的に除去された状態を
それぞれ表す。
【図2】  本願の第1の発明をいわゆるONO構造を
有するゲート絶縁膜上のゲート電極の両側壁部にサイド
ウォールを形成するためのエッチバックに適用した一例
をその工程順にしたがって示す模式的断面図であり、(
a)はウェハの全面にSiO2 層が形成された状態、
(b)はSiO2 層のエッチバックおよび第2のSi
O2 絶縁膜の選択エッチングが終了した状態、(c)
は堆積したS,(SN)n が加熱により分解もしくは
昇華除去された状態をそれぞれ表す。
【図3】  本願の第2の発明をコンタクト・ホール加
工に適用した一例をその工程順にしたがって示す模式的
断面図であり、(a)はSiO2 層間絶縁膜上にSi
3 N4 マスクが形成されたエッチング前のウェハの
状態、(b)はSiO2 層間絶縁膜のエッチングが終
了した状態、(c)は堆積したS,(SN)n が加熱
により分解もしくは昇華除去された状態をそれぞれ表す
【符号の説明】
1,11    ・・・シリコン基板 2,22    ・・・不純物拡散領域3      
    ・・・Si3 N4 下地膜4,23    
・・・SiO2 層間絶縁膜4a,23a・・・コンタ
クト・ホール5          ・・・レジスト・
マスク5a,24a・・・開口部

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】  被エッチング基板の温度を室温以下に
    制御し、S2 F2 ,SF2 ,SF4 ,S2 F
    10から選ばれる少なくとも1種類の化合物を含むエッ
    チング・ガスを用い、窒化シリコン系材料層の上に形成
    された酸化シリコン系材料層のエッチングを行うことを
    特徴とするドライエッチング方法。
  2. 【請求項2】  被エッチング基板の温度を室温以下に
    制御し、S2 F2 ,SF2 ,SF4 ,S2 F
    10から選ばれる少なくとも1種類の化合物を含むエッ
    チング・ガスを用い、所定の形状にパターニングされた
    窒化シリコン系材料層をマスクとして酸化シリコン系材
    料層のエッチングを行うことを特徴とするドライエッチ
    ング方法。
JP3155454A 1991-05-31 1991-05-31 ドライエッチング方法 Withdrawn JPH04354331A (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP3155454A JPH04354331A (ja) 1991-05-31 1991-05-31 ドライエッチング方法
EP92108858A EP0516053B1 (en) 1991-05-31 1992-05-26 Dry etching method
DE69228333T DE69228333T2 (de) 1991-05-31 1992-05-26 Trockenätzverfahren
US07/891,448 US5312518A (en) 1991-05-31 1992-05-29 Dry etching method
KR1019920009372A KR100229241B1 (ko) 1991-05-31 1992-05-30 드라이 에칭방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3155454A JPH04354331A (ja) 1991-05-31 1991-05-31 ドライエッチング方法

Publications (1)

Publication Number Publication Date
JPH04354331A true JPH04354331A (ja) 1992-12-08

Family

ID=15606399

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3155454A Withdrawn JPH04354331A (ja) 1991-05-31 1991-05-31 ドライエッチング方法

Country Status (5)

Country Link
US (1) US5312518A (ja)
EP (1) EP0516053B1 (ja)
JP (1) JPH04354331A (ja)
KR (1) KR100229241B1 (ja)
DE (1) DE69228333T2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5397431A (en) * 1992-07-24 1995-03-14 Sony Corporation Dry etching method
USRE39895E1 (en) 1994-06-13 2007-10-23 Renesas Technology Corp. Semiconductor integrated circuit arrangement fabrication method
US9209178B2 (en) 2013-11-25 2015-12-08 International Business Machines Corporation finFET isolation by selective cyclic etch

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US5651855A (en) * 1992-07-28 1997-07-29 Micron Technology, Inc. Method of making self aligned contacts to silicon substrates during the manufacture of integrated circuits
KR960008550B1 (en) * 1992-12-31 1996-06-28 Hyundai Electronics Ind Contact plug manufacturing method using tungsten
JPH06260396A (ja) * 1993-03-02 1994-09-16 Sony Corp X線リソグラフィ用マスクの製造方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5811022A (en) 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JPH08255907A (ja) * 1995-01-18 1996-10-01 Canon Inc 絶縁ゲート型トランジスタ及びその製造方法
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
KR100440418B1 (ko) * 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
US5930585A (en) * 1996-07-23 1999-07-27 International Business Machines Corporation Collar etch method to improve polysilicon strap integrity in DRAM chips
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6303045B1 (en) * 1997-03-20 2001-10-16 Lam Research Corporation Methods and apparatus for etching a nitride layer in a variable-gap plasma processing chamber
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
TW436980B (en) * 1998-07-08 2001-05-28 United Microelectronics Corp Method of local oxidation
US5989979A (en) * 1998-12-10 1999-11-23 Chartered Semiconductor Manufacturing Ltd. Method for controlling the silicon nitride profile during patterning using a novel plasma etch process
EP1014434B1 (de) * 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
JP3973819B2 (ja) * 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US6886573B2 (en) 2002-09-06 2005-05-03 Air Products And Chemicals, Inc. Plasma cleaning gas with lower global warming potential than SF6
KR100503814B1 (ko) * 2003-02-04 2005-07-27 동부아남반도체 주식회사 반도체 소자의 게이트 형성 방법
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
FR2881876B1 (fr) * 2005-02-07 2007-05-25 Centre Nat Rech Scient Procede d'oxydation planaire pour realiser un isolant enterre localise
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2008060238A (ja) * 2006-08-30 2008-03-13 Toshiba Corp 半導体装置の製造方法
CN101330019B (zh) * 2007-06-18 2010-12-22 中芯国际集成电路制造(上海)有限公司 通孔刻蚀方法及通孔区内钝化层去除方法
KR101562408B1 (ko) 2007-09-27 2015-10-21 램 리써치 코포레이션 Arc 레이어 개방을 이용한 라인 폭 거칠기 제어
US8501627B2 (en) 2007-09-27 2013-08-06 Lam Research Corporation Profile control in dielectric etch
JP6557588B2 (ja) * 2015-12-04 2019-08-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP6604911B2 (ja) * 2016-06-23 2019-11-13 東京エレクトロン株式会社 エッチング処理方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4313782A (en) * 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
US4431477A (en) * 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
JPS61136274A (ja) * 1984-12-07 1986-06-24 Toshiba Corp 半導体装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JP2669460B2 (ja) * 1986-10-29 1997-10-27 株式会社日立製作所 エツチング方法
EP0265584A3 (en) * 1986-10-30 1989-12-06 International Business Machines Corporation Method and materials for etching silicon dioxide using silicon nitride or silicon rich dioxide as an etch barrier
JPH0831441B2 (ja) * 1986-12-04 1996-03-27 株式会社日立製作所 表面処理方法
JP2656479B2 (ja) * 1987-01-14 1997-09-24 株式会社日立製作所 ドライエツチング方法
US4956043A (en) * 1987-05-25 1990-09-11 Hitachi, Ltd. Dry etching apparatus
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4832787A (en) * 1988-02-19 1989-05-23 International Business Machines Corporation Gas mixture and method for anisotropic selective etch of nitride
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
JPH0360032A (ja) * 1989-07-27 1991-03-15 Sony Corp ドライエッチング方法
EP0410635A1 (en) * 1989-07-28 1991-01-30 AT&T Corp. Window taper-etching method in the manufacture of integrated circuit semiconductor devices
JPH03231426A (ja) * 1990-02-07 1991-10-15 Fujitsu Ltd 半導体装置の製造方法
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5397431A (en) * 1992-07-24 1995-03-14 Sony Corporation Dry etching method
USRE39895E1 (en) 1994-06-13 2007-10-23 Renesas Technology Corp. Semiconductor integrated circuit arrangement fabrication method
US9209178B2 (en) 2013-11-25 2015-12-08 International Business Machines Corporation finFET isolation by selective cyclic etch

Also Published As

Publication number Publication date
DE69228333T2 (de) 1999-09-02
EP0516053B1 (en) 1999-02-03
DE69228333D1 (de) 1999-03-18
EP0516053A3 (en) 1993-05-26
EP0516053A2 (en) 1992-12-02
KR100229241B1 (ko) 1999-11-01
US5312518A (en) 1994-05-17

Similar Documents

Publication Publication Date Title
JPH04354331A (ja) ドライエッチング方法
KR100255404B1 (ko) 드라이에칭방법
KR100685735B1 (ko) 폴리실리콘 제거용 조성물, 이를 이용한 폴리실리콘 제거방법 및 반도체 장치의 제조 방법
JP3220992B2 (ja) ドライエッチング方法
US5522520A (en) Method for forming an interconnection in a semiconductor device
JPS63117423A (ja) 二酸化シリコンのエツチング方法
KR100218772B1 (ko) 드라이에칭방법
US6214725B1 (en) Etching method
JPH11186229A (ja) ドライエッチング方法及び半導体装置の製造方法
JP3259529B2 (ja) 選択エッチング方法
JPH08293487A (ja) エッチング方法
US6455232B1 (en) Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger
JP2001127039A (ja) 半導体装置の製造方法
US5747357A (en) Modified poly-buffered isolation
JP3380947B2 (ja) 低誘電率酸化シリコン系絶縁膜のプラズマエッチング方法
JP3570903B2 (ja) 半導体装置の製造方法
JPH08115900A (ja) シリコン系材料層のパターニング方法
JPH05343366A (ja) ドライエッチング方法
JP3079656B2 (ja) ドライエッチング方法
WO2000026954A1 (en) Method of reducing stop layer loss in a photoresist stripping process using hydrogen as a fluorine scavenger
JPH08107105A (ja) シリコン系材料層のパターニング方法
JP3111640B2 (ja) ドライエッチング方法
JPH0697123A (ja) ドライエッチング方法
JPH07263406A (ja) 半導体装置の製造方法
JPH053177A (ja) ドライエツチング方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 19980806