KR100229241B1 - 드라이 에칭방법 - Google Patents

드라이 에칭방법 Download PDF

Info

Publication number
KR100229241B1
KR100229241B1 KR1019920009372A KR920009372A KR100229241B1 KR 100229241 B1 KR100229241 B1 KR 100229241B1 KR 1019920009372 A KR1019920009372 A KR 1019920009372A KR 920009372 A KR920009372 A KR 920009372A KR 100229241 B1 KR100229241 B1 KR 100229241B1
Authority
KR
South Korea
Prior art keywords
sio
etching
layer
mask
insulating film
Prior art date
Application number
KR1019920009372A
Other languages
English (en)
Inventor
신고 가도무라
Original Assignee
이데이 노부유끼
소니 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이데이 노부유끼, 소니 가부시끼가이샤 filed Critical 이데이 노부유끼
Application granted granted Critical
Publication of KR100229241B1 publication Critical patent/KR100229241B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

SiO2층과 Si3N4층과의 사이의 선택에칭을 가능하게 한다. 특히 Si3N4층상에 있어서의 SiO2층의 고선택에칭을 처음으로 제안한다.
실리콘기판(1)상에 Si3N4바탕재막(3)을 통해 형성된 SiO2층간절연막(4)을 S2F2/H2혼합가스를 사용하여 에칭한다. S2F2의 방전해리(放電解離)에 의해 생성한 S 가 패턴측벽부 퇴적하여, 이방성(異方性)가공이 행해진다. Si3N4바탕재막(3)이 노출되면, 플라즈마내의 F*에 의해 Si 원자가 인발되고, N 원자의 단절된 결합수(結合手)에 S 가 결합하여 (SN)n이 생성되고 표면이 보호된다. 퇴적한 S나 (SN)n은 레지스트마스크(4)의 에싱시에 분해제거할 수 있다. 같은 원리에 의해 Si3N4 마스크에 의한 SiO2층의 에칭도 가능하며, 마스크선택비를 향상시킬 수 있다.

Description

드라이에칭방법
제1도는 본원의 제1의 발명을 콘택트홀가공에 적용한 일예를 그공정순에 따라 도시한 모식적 단면도이며, (a)는 SiO2층간절연막상에 레지스트마스크가 형성된 상태, (b)는 SiO2층간절연막이 에칭된 상태, (c)는 플라지마에싱에 의해 레지스트마스크와 퇴적한 S, (SN)n이 제거된 상태, (d)는 콘택트홀내의 Si3N4바탕재막이 선택적으로 제거된 상태를 각각 도시한 것이다.
제2도는 본원의 제1의 발명을 이른바 ONO 구조를 가진 게이트절연막상의 게이트전극의 양 측벽부에 사이드월을 형성하기 위한 에치벽에 적용한 일예를 그 공정순서에 따라 도시한 모식적 단면도이며, (a)는 웨이퍼의 전체면에 SiO2층이 형성된 상태, (b)는 SiO2층의 에치백 및 제2의 SiO2절연막의 선택에칭이 종료된 상태, (c)는 퇴적한 S, (SN)n이 가열에 의해 분해 또는 승화제거된 상태를 각각 도시한 것이다.
제3도는 본원의 제2의 발명을 콘택트홀가공에 적용한 일예를 그 공정순에 따라 도시한 모식적 단면도이며, (a)는 SiO2층간절연막상에 Si3N4마스크가 형성된 에칭전의 웨이퍼의 상태, (b)는 SiO2층간절연막의 에칭이 종료된 상태, (c)는 퇴적한 S, (SN)n이 가열에 의해 분해 또는 승화제거된 상태를 각각 도시한 것이다.
* 도면의 주요부분에 대한 부호의 설명
1,11 : 실리콘기판 2,22 : 불순물확산영역
3 : Si3N4 바탕재막 4,23 : SiO2층간절연막
4a,23a : 콘택트홀 5 : 레지스트마스크
5a,24a : 개구부 12 : 저농도불순물확산영역
13 : 제1의 SiO2게이트절연막 14 : Si3N4게이트절연막
15 : 제2의 SiO2게이트절연막 16 : 게이트절연막
17 : 게이트전극 18 : SiO2
18a : 사이드월 24 : Si3N4마스크
본원 발명은 반도체장치의 제조분야등에 있어서 적용되는 드라이 에칭방법에 관한 것이며, 특히 질화실리콘계 재료층을 바탕재로 하여 산화실리콘계 재료층과의 사이에서 선택비를 크게 확보하면서 에칭을 행하는 방법에 관한 것이다.
근년의 VLSI, ULSI 등에서 볼 수 있는 바와 같이 반도체장치의 고집적화 및 고성능화가 진전됨에 따라 절연막의 드라이에칭에 있어서도 고이방성(高異方性), 고속성, 고선택성, 저대미지성, 저오염성등의 제요구를 어느 것도 희생시키지 않고 달성하는 기술이 요망되고 있다.
종래, 산화실리콘(SiOx: 특히 x=2)으로 이루어지는 절연막을 에칭하는데는 CHF3, CF4/H2혼합계, CF4/O2혼합계, C2F6/CHF3혼합계등이 에칭가스로서 전형적으로 사용되어 왔다. 이것들은 모두 C/F비(분자내의 탄소원자수와 플로오르원자수의 비)가 0.25이상의 플루오로카본계 가스를 주체로 하고 있다. 이들 가스계가 사용되는 것은, (a) 플루오르카본계 가스에 함유되어 있는 C가 SiO2층의 표면에서 C-0 결합을 생성하고, Si-O 결합을 절단하거나 약화시키는 작용이 있고, (b) SiO2층의 주에칭종(種)인 CFn+ (특히 n=3)을 생성할 수 있고, 또한 (c) 플라즈마내에서 상대적으로 탄소가 풍부한 상태가 만들어지므로, SiO2중의 산소가 CO 또는 CO2의 형태로 제거되는 한편, 가스계에 함유된 C, H, F 등의 기여에 의해 실리콘바탕재의 표면에서는 탄소계의 폴리머가 퇴적하여 에칭속도가 저하하고, 대(對)바탕재선택비를 높게 취할 수 있다는 등의 이유에 의거하고 있다.
그리고, 상기 H2, O2등의 첨가가스는 선택비의 제어를 목적으로하여 사용되고 있는 것이며, 각각 F*발생량을 저감 또는 증대시킬수 있다. 즉, 에칭반응계의 외관상의 C/F 비를 제어하는 효과를 가지고 있다.
질화실리콘(SixNy : 특히 x = 3, y = 4)으로 이루어지는 절연막의 에칭도 기본적으로는 SiO2층이 이온어시스트반응을 주체로 하는 기구에 의해 에칭되는데 대해, SixNy층은 F*을 주에칭종으로 하는 래디컬반응기구에 의거하여 에칭되고, 에칭속도도 SiO2층보다 빠르다. 이것은 원자간 결합에너지의 대소관계가 Si-F(132kcal/mole) > Si-O 결합(111kcal/mole) > Si-N 결합 (105kcal/mole)이라는 것으로부터도 어느정도 예측된다. 그리고, 원자간 결합에너지의 값에는 산출방법에 따라 약간의 차가 나오나, 여기서는 위스트(R,C, Weast)편저("Handbook of Chemistry and Physics" 제69판, 1988년, CRC Press 사간, 미합중국 플로리다주)에 기재된 데이터를 인용하였다.
그런데, 근년에는 디바이스구조의 복잡화에 따라서, SiOx층과 ,SixNy층의 사이에서 선택성이 높은 에칭을 행할 필요성이 발생하고 있다.
예를 들면, SiOx 층상에 있어서의 SixNy 층의 에칭은 예를 들면 LOCOS 법에 있어서 소자분리영역을 규정하기 위한 패터닝등으로 행해진다. 버즈비크길이를 최소한으로 한정시키기 위해 패드산화막(SiO2층)이 박막화되고 있는 현상황에서는 매우 높은 바탕재선택성이 요구되는 프로세스이다.
한편, SiNx층상에 있어서의 SiOx층의 에칭은 예를 들면 콘택트홀 가공에 있어서 필요하게 된다. 근년에는 오버에칭시의 기판대미지를 저감시키기 위해 SiOx층간절연막의 바탕재에 얇은 SixNy층이 개재되는 경우가 있으나, 그 취지를 살리기 위해서도 높은 바탕재선택성이 요구된다.
그런데, 적층되는 상이한 재료층사이에서 선택성이 높은 에칭을 행하기 위해서는 양 재료층의 원자간 결합에너지의 값이 떨어져 있는 것이 원리적으로는 바람직하다. 그러나, SiOx층과 SixNy층의 경우, Si-O 결합과 Si-N 결합은 원자간 결합에너지의 값이 근접되어 있으며, 에칭가스계도 공통이므로 고선택에칭은 본질적으로 곤란하다. 종래부터 이 선택에칭을 가능하게 하기 위한 기술의 개발이 여러곳에서 추진되고 있다.
여기서, SiOx층상의 SixNy층을 에칭하는 기술에 대해서는 몇가지 보고가 있다.
예를 들면, 본원 발명자는 앞서 일본국 특개소 61(1986)-142744호 공보에 있어서, C/F 비(1분자내의 C원자수와 F 원자수의 비)가 작은 CH2F2등의 가스에 CO2를 30~70% 의 몰비로 혼합한 에칭가스를 사용하는 기술을 개시한 바 있다. C/F 비가 작은 가스는 F*의 재결합에 의해서만 SiOx층의 에칭종인 CFx+ (특히 x=3)을 생성할 수 있으나, 이 계(系)에 대량의 CO*을 공급하여 F*을 포착하여 COF의 형태로 제거하면, CFx *의 생성량이 감소하여 SiO2층의 에칭속도가 저하된다. 한편, SixNy는 CFx+ 이외의 이온이나 래디컬로 에칭되므로 CO2의 대량첨가에 의해서도 에칭속도는 거의 변화하지 않는다. 이와 같이하여 양 층사이의 선택성이 얻어지는 것이다.
또, 논문 (Proceedings of Symposium on Dry Process, 제 88권 7호, 86~94페이지, 1987년)에는 케미컬드라이에칭장치에 NF3 와 CL2를 공급하고, 마이크로파방전에 의해 기상(氣相)중에 생성하는 FC1을 이용하여 SiOx 상의 SixNy 층을 에칭하는 기술이 보고되어 있다. Si-O 결합은 이온결합성을 55% 포함하는데 대해, Si-N 결합은 30% 이며, 공유결합성의 비율이 높다. 즉 SixNy 층중의 화학결합의 성질은 단 결정실리콘내의 화학결합 (공유결합)의 그것에 가깝고, fc1 로부터 해리생성(解離生成)한 F*, CL*등의 래디컬에 의해 에칭된다. 한편, SiOx 층은 이들 래디컬에 의해서도 거의 에칭되지 않으므로, 고선택 에칭이 가능하게 되는 것이다.
이와 같이, SiOx층상에서 SixNy층을 선택에칭하는 기술에 대해서는 몇가지 보고가되어 있다. 이것은 양 층의 에칭속도를 고려하면 어느 의미에서는 당연하다. 그것은 래디컬반응을 주체로 하는 기구에 의해 SixNy을 에칭하는 과정에서는 도중에 SiOx층이 노출되면 필연적으로 에칭속도는 저하하기 때문이다.
그러나, 종래의 기술에도 문제는 있으며, 예를 들면 전술한 FC1을 이용하는 프로세스에서는 래디컬반응을 이용하고 있기 때문에 이방성가공이 본질적으로 곤란하다.
역으로, SixNy층상에서 SiOx층을 선택에칭하는 기술에 대해서는 지금까지는 보고가 없다. 이 경우, 이온어시스트반응을 주체로 하는 기구에 의해 SiOx층을 에칭해도 그 반응계중에는 반드시 래디컬이 생성되어 있으며, SixNy가 노출된 시점에 이 래디컬에 의해 에칭속도가 상승해 버리므로, 선택성의 확보는 한층 곤란하다. 그러나, 장래 반드시 필요로 하는 프로세서이며, 그 실현이 매우 요망되고 있다.
그래서, 본원 발명은 SiOx 층과 SixNy층과의 사이의 고선택에칭을 가능하게 하는 방법을 제공하는 것을 목적으로 한다.
본원 발명에 관한 드라이에칭방법은 전술한 목적을 달성하기 위해 제안된 것이다.
즉, 본원의 제1의 발명에 의한 드라이에칭방법은 피에칭기판의 온도를 실온이하로 제어하고, S2F2, SF2, SF4, S2F10에서 선정되는 최소한 1종류의 화합물을 함유하는 에칭가스를 사용하여, SixNy계 재료층상에 형성된 SiOx계 재료층을 에칭하는 것을 특징으로 한다.
본원의 제2의 발명에 의한 드라이에칭방법은 피에칭기판의 온도를 실온이하로 제어하고, S2F2, SF2, SF4, S2F10에서 선정되는 최소한 1종류의 화합물을 함유하는 에칭가스를 사용하여, 소정의 형상으로 패터닝된 SixNy계 재료층을 마스크로 하여 SiOx계 재료층을 에칭하는 것을 특징으로 한다.
본원의 제1의 발명은 SixNy 층상에서 SiOx 계 재료층을 선택에칭하는 기술이다.
여기서 사용되는 4종류의 플루오르화황 즉 S2F2, SF2, SF4, S2F10은 본원 발명자가 앞서 일본국 특원평 2(1990)-198045호 명세서에 있어서, 산화실리콘계 재료층의 에칭용 가스로서 제안한 것이며, 매우 효과적인 탈플론대책을 제공하는 것이다. 상기 플루오르화황은 방전에 의해 해리되어 플라즈마내에 SFx+을 생성할 수 있고 이것이 SiOx층의 주에칭종(種)으로서 기여한다. SiOx층중의 Si 는 SiFx의 형태로 제거된다.
또, 이들 플루오르화황의 중요한 특징은 같은 플루오르 화황이라도 종래부터 가장 잘 알려져 있는 SF6에 비해 S/F 비(1분자내의 S원자수와 F 원자수의 비)가 높고, 플라즈마내에 유리(遊離)의 S를 생성할 수 있는 점이다. 이 S는 상온이하로 온도제어된 피에칭기판(웨이퍼)의 표면에 흡착한다. 여기서, 피에칭층인 SiO2층의 표면에 흡착한 S는 이표면에 입사(入射)하는 이온의 에너지에 어시스트되어 SOx(x = 2, 3)를 생성하고, 탈리한다. 한편, 레지스트마스크 및 실리콘바탕재의 표면에서는 S의 퇴적과 스퍼터제거가 경합하므로, 대레지스트선택비 및 대바탕재선택비를 향상시키는 효과를 가진다. 또한, 원리적으로 이온의 입사가 발생하지 않는 패턴측벽부에서는 이 S 가 측벽보호막의 역할을 한다.
퇴적한 S 는 에칭종료후에 기판을 가열하면 용이하게 승화제거할 수 있으므로, 파티클로염을 야기시킬 염려도 없다.
SiOx층의 에칭이 대략 종료되면, 바탕재의 SixNy층이 노출되고, 플라즈마내의 F*가 이 SixNy층의 표면으로부터 Si 원자를 인발함으로써 N 의 댕글링본드가 생성된다. SixNy 층 표면의 댕글링본드의 존재에 대해서는 일본국 구다야마사오 저 「 반도체플라즈마프로세그기술」 (산업도서주식회사 간) P133-134 및 1980년 전기학회 논문집 제5분책 S6-2 등에 이미 논술되어 있는 바와 같다. 본원 발명에서는 이 댕글링본드에 플라즈마내에 생성된 S 가 결합하여 여러가지 질화황계 화합물이 생성되고, 이 질화황계 화합물에 의해 SixNy층의 표면이 보호되어서 고선택성이 달성되는 점을 최대한 특징으로 하고 있다.
여기서, 상기 지화황계 화합물로서는 일반식 (NS)n으로 표시되는 티아질화합물이 가장 대표적인 것이다. 즉, 가장 단순히 생각하면, 먼저 댕글링본드에 S가 결합하면 티아질(N = S)이 형성된다. 이 타아질은 산소유사체인 1산화질소(NO)의 구조로부터 유추하여 비쌍전자(非雙電子)를 가지고 있으며, 용이하게 중합하여 (SN)2, (SN)4나아가서는 (SN)n을 생성한다. (SN)2는 20℃ 부근에서 용이하게 중합하여 (SN)4 및 (SN)n을 생성하고, 30℃ 부근에서 분해한다. (SN)4는 융점 178℃, 분해온도 206℃의 환상(環狀)물질이다. (SN)n은 화학적으로 안정되어 130℃ 까지는 분해하지 않는다. 본원 발명에서는 웨이퍼의 온도가 상온이하로 제어되어 있으므로, (SN)n은 웨이퍼상에서 안정적으로 존재할 수 있다.
이외에 플라즈마내에는 플루오르화황에 유래하는 F*도 존재하고 있으므로, 상기 (SN)n에 플루오르가 결합한 플루오르화티아질도 생성할 수 있다. 또, F*의 생성량을 제어하기 위해 수소계 가스가 첨가되는 경우에는 티아질수소가 생성된 가능성도 있다.
또한, 조건에 따라서는 S4N2(융점 23℃), S11N2(융점 150~155℃), S15N2(융점 137℃), S16N2(융점 122℃)등과 같이 분자내의 S 원자수와 N 원자수가 불균형의 환상질화화합물, 또는 이들 환상질화황화합물의 N 원자상에 H 원자가 결합한 S7NH(융점 113.5℃), 1,3-S6(NH)2(융점 130℃), 1,4-S6(NH)2(융점 133℃), 1,5-S6(NH)2(융점 155℃), 1,3,5-S5(NH)3(융점 124℃), 1,3,6S5(NH)3(융점 131℃), S4(NH)4(융점 145℃)등의 이미드형 화합물등도 생성가능하다.
황과 질소를 구성원소로서 함유하는 이들 질화황계 화합물은 모두 레지스트마스크를 O2플라즈마애싱에 의해 제거할 때에, N2, NOx, SOx등의 형태로 제거할 수 있으므로, 웨이퍼상에 잔존하거나 파티클 오염을 야기시키거나 하는 것은 아니다.
본원의 제2의 발명은 SixNy층을 마스크로 하여 SiOx층의 에칭을 행함에 있어서, 마스크에 대한 선택성을 높이는 것이다.
제2의 발명에 있어서도 고선택성이 달성되는 원리는 전술한 제1의 발명과 같다. 여기서는 에칭개시시에 이미 SixNy마스크의 상면이 플라즈마내에 노출되어 있으므로, 에칭개시후 즉시 F*에 의한 Si 원자의 인발과 S의 결합이 발생하고, 이 SixNy마스크의 표면이 (SN)n등의 질화황계 화합물로 피복된다. 한편, SiOx의 표면에서는 전술한 기구에 의거하여 패턴측벽부가 S 에 의해 보호되면서 고속으로 에칭이 진행된다.
통상, SiOx층의 에칭은 이온성을 높인 조건에서 행해지므로, 마스크의 후퇴에 의한 치수변환차가 발생하기 쉬우나, 본원 발며에 의하면 이와 같은 염려는 없다.
그리고, 제2의 발명에서는 SiOx층의 에칭에 앞서, SixNy마스크를 작성하기 위해 레지스트마스크를 사용하여 SiOx층을 바탕재로 하는 에칭을 해야 하지만, 이 에칭은 당연히 본원의 제1의 발명을 적용하여 고선택비를 가지고 행할 수 있다. 본원 발명에서는 SixNy마스크가 형성된 후에는 레지스트마스크를 제거하고, 이 SixNy층만을 마스크로 하여 SiOx층을 에칭하므로, 에칭반응계내에 레지스트재료에 기인되는 탄소계의 분해생성물이 발생할 염려가 없고, 파티클오염을 저감시킬 수 있는 메리트도 얻을 수 있다.
다음에, 본원 발명의 구체적인 실시예에 대하여 설명한다.
[실시예 1]
본 실시예는 본원의제1의 발명을 콘택트홀가공에 적용하고, S2F2/H2 혼합가스를 사용하여 SiO2 층간절연막을 에칭한 예이다. 이 프로세스를 제1도를 참조하면서 설명한다. 그리고, 제1도는 모식적인 표현의 편의상 실제보다 아스펙트비를 압축하여 도시되어 있다.
먼저, 제1도(a)에 도시한 바와 같이, 미리 불순물확산영역(2)이 형성된 실리콘기판(1)상에 예를 들면 감압 CVD 법에 의해 층두께 100Å 의 Si3N4바탕재막(3)을 형성하고, 이어서 상압 CVD 법에 의해 층두께 5000Å 이 SiO2층간절연막(4)을 형성하였다. 다시, 상기 SiO2층간절연막(4)상에는 화학증폭계 네가티브형 3성분계 포토레지스트인 SAL-601(시프레이사제; 상품명)을 도포하고, 엑시머레이저리소그라피 및 알칼리현상에 의해 개구부(5a)를 가진 레지스트마스크(5)를 형성하였다.
이 웨이퍼를 마그네트론형 RIE(반응성 이온에칭)장치의 웨이퍼재치전극상에 세트하고, 이 웨이퍼재치전극에 내장되는 냉각배관에 예를 들면 칠러등의 냉각계통으로부터 에탄올 냉매를 공급순환시킴으로써 웨이퍼를 약 -50℃로냉각하였다. 이 상태에서, 일예로서 S2F2 유량 50SCCM, H2 유량 20SCCM, 가스압 1.3Pa(10mTorr), RF파워 1000W(2MHz)의 조건으로 SiO2 층간절연막(4)을 에칭하였다.
이 에칭이 진행하는 기구를 제1도(b)에 모식적으로 도시한다. 도면중, 퇴적과 스퍼터제거가 경합하는 화학종의 조성식은 점선으로 둘러싸고, 안정적으로 퇴적되어 있는 화학종의 조성식은 실선으로 둘러쌌다.
SiO2층간절연막(4)의 에칭은 플라즈마내에 생성하는 S*, F*등에 의한 래디컬반응이 SFx +, S+등의 이온에 어시스트되는 기구로 진행한다. 또, S2F2의 방전해리에 의해 플라즈마내에 생성한 유리의 S 는 저온 냉각된 웨이퍼의 표면에 흡착된다. 여기서 SiO2층간절연막(4)의 표면에 흡착된 S 는 이 표면으로부터 스퍼터작용에 의해 공급되는 O 원자와 결합하여 SOx의 형태로 제거되므로, SiO2층간절연막(4)의 에칭속도를 하등 저하시키는 것은 아니다. 그러나, 레지트마스크(5)의 표면에서는 S의 퇴적과 스퍼터제거가 경합하여 에칭 속도를 저하시켰다. 이로써, 대레지스트선택비가 향상되었다. 한편, 이온의 수직입사가 원리적으로 발생하지 않는 패턴측벽부에는 S가 퇴적하여 측벽보호효과를 발휘하고, 수직벽을 가진 콘택트홀(4a)이 형성되었다.
그릭, H2는 에칭반응계의 외관상의 S/F 비를 상승시켜서, F*에 의한 선택비의 저하를 방지하기 위해 첨가되어 있는 것이다. H2로부터 해리생성하는 H*는 F*의 일부를 포착하여 HF 의 형태로 계외(系外)로 제거할 수 있다. 이와 같은 S/F 비의 제어는 F*가 상대적으로 과잉으로 되는 오버에칭시에 이방성이나 대바탕재선택성이 저하되는 것을 방지하는 데 있어서 매우 유효하다.
또한, SiO2층간절연막(4)의 에칭이 대략 종료하여 콘택트홀(4a)의 저부에 Si3N4바탕재막(3)이 노출되면, 이 Si3N4바탕재막(4)으로부터 공급되는 N 원자와 플라즈마내의 S 가 결합하여 질화황계 화합물이 형성되었다. 제1도(b)에서는 질화황계 화합물로서 폴리머형의 티아질화합물(SN)n이 형성된 상태를 모식적으로 표현하였다. 상기 (SN)n의 퇴적에 의해 에칭속도는 대폭 저하하고, Si3N4바탕재막(4)에 대하여 약 15의 선택비가 달성되었다.
다음에, 웨이퍼를 플라즈마애싱장치에 이설(移設)하고, O2플라즈마에 의해 레지스트마스크(5)를 제거하였다. 이 때, 제1도(c)에 도시한 바와 같이, 패턴측벽부에 최적한 S 는 연소반응에 의해 SOx의 형태로 제거되고, 또, Si3N4바탕재막(4)의 표면에 퇴적한 (SN)n은 연소 또는 분해반응에 의해 N2, NOx, SOx등의 형태로 제거되었다.
최후에, 웨이퍼를 열인산수용액에 침지(浸漬)하고, 제1도(d)에 도시한 바와 같이 콘택트홀(4a)의 저부에 노출된 Si3N4바탕재막(3)을 분해제거하였다.
이상의 프로세스에 의해 불순물확산영역(2)에 대미지를 발생하거나, 또 파티클오염을 야기하지 않고, 양호한 이방성형상을 가진 콘택트홀(4a)이 형성되었다.
[실시예 2]
본 실시예는 본원의 제1의 발명을 실시예 1과 같이 콘택트홀가공에 적용하고, S2F2/H2S 혼합가스를 사용하여 SiO2층간절연막을 에칭한 예이다.
본 실시예에서 에칭샘플로서 사용한 웨이퍼는 실시에 1에서 사용한 것과 동일하다.
이 웨이퍼를 먼저 RF 바이어스인가형의 유자장(有磁場)마이크로파플라즈마에칭장치의 웨이퍼재치전극상에 세트하고, 일예로서 S2F2유량 50SCCM, H2유량 15SCCM, 가스압 1.3Pa(10mTorr), 마이크로파파워 850W, RF 바이어스파워 200W(400kHz), 웨이퍼온도 -50℃ 의 조건으로 SiO2층간절연막(4)을 에칭하였다.
이 에치이 진행하는 기구는 실시예 1에서 설명한 바와 같다. 단, 본실시예에서는 첨가가스인 H2S 로부터도 S 가 공급되므로, S 의 퇴적효율은 한층 더 향상되었다.
본 실시예에서도 양호한 이방성 형상을 가진 콘택트홀(4a)이 우수한 선택성을 가지고 형성되었다.
[실시예 3]
본 실시예는 본원의 제1의 발명을 Si3N4층을 포함하는 게이트절연막상에 형성된 게이트전극의 양 측벽부에 있어서 SiO2층으로 이루어지는 사이드월을 형성하기 위한 에치백에 적용한 예이다. 이 프로세스는 LDD 구조를 가진 MOS-FET 의 제조공정에 포함되는 것이다. 다음에, 제2도를 참조하면서 설명한다.
먼저, 제2도(a)에 도시한 바와 같이, 실리콘기판(11)상에 이른바 ONO(산화막/질화막/산화막)구조를 가진 게이트절연막(16)을 형성하고, 다시 n+형 다결정실리콘층으로 이루어지는 게이트전극(17)을 패터닝에 의해 형성하고, 이 게이트전극(17)을 마스크로 하여 이온주입을 행함으로써 저농도불순물확산영역(12)을 형성하고, 다시 웨이퍼의 전체면에 CVD 법에 의해 SiO2층(18) 퇴적시켰다. 여기서, 상기 게이트절연막(17)은 실리콘기판(11)측으로부터 차례로 층두께 40Å 의 제1의 SiO2게이트절연막(13), 층두께 60Å 의 Si3N4게이트절연막(14), 층두께 20Å 의 제2의 SiO2 게이트절연막(15)이 적층된 것이다.
상기 웨이퍼를 RF 바이어스인가형의 유자장마이크로파 플라즈마 에칭장치에 세트하고, 일예로서 S2F2유량 50SCCM, 가스압 1.3Pa(10m Torr), RF 파워 200W(400kHz), 웨이퍼온도 -80℃ 의 조건으로 상기 SiO2층(18)의 에치백 및 제2의 SiO2게이트절연막(15)을 에칭하였다.
이 에칭이 진행하는 기구는 실시예 1에서 설명한 바와 같다. 본 실시예에서는 F*를 소비하기 위한 H2나 H2S 등의 첨가가스를 특히 사용하고 있지 않으나, 웨이퍼의 냉각온도를 한층 더 내림으로써 F*에 의한 래디컬 반응이 억제되고, 또한 S의 퇴적이 촉진되고 있다.
에칭은 게이트전극(17) 및 Si3N4게이트절연막(14)의 표면이 노출된 시점에서 종료되고, 게이트전극(17)의 양측벽부에 사이드월(18a)이 형성되었다. 이 때, 제2도(b)에 도시한 바와 같이, 게이트전극(17)의 표면에서는 SiO 층(18)과 달리 O 원자가 공급되지 않게 되므로 S가 퇴적하고, 에칭속도가 대폭 저하하여 고선택비가 얻어졌다. 또, Si3N4게이트절연막(14)의 표면에서는 (SN)n 등의 질화황계화합물이 퇴적함으로써 에칭속도가 대폭 저하하여 고선택비가 얻어졌다.
웨이퍼표면에 퇴적한 S나 질화황계 화합물은 에칭종료후에 웨이퍼 약 130℃ 이상으로 가열함으로써, 제2도(c)에 도시한 바와 같이 용이하게 제거되었다. 이 때, 먼저 웨이퍼가 90°부근까지 가열된 시점에서 S 가 승화제거되고, 다시 웨이퍼가 승온(昇溫)되면 질화황계 화합물이 분해제거된다. 이와 같이하여 바탕재의 저농도불순물확산영역(12)에 대미지를 주지 않고 사이드월(18a)을 형성할 수 있었다.
[실시예 4]
본 실시예는 본원의 제2의 발명을 콘태트홀가공에 적용하고, S2F2/H2혼합가스와 Si3N4마스크를 사용하여 SiO2층간절연막을 에칭한 예이다. 이 프로세스를 제3도를 참조하면서 설명한다.
먼저, 제3도(a)에 도시한 바와 같이 미리불순물확산영역(22)이 형성된 실리콘기판(21)상에 예를 들면 상압 CVD 법에 의해 층두께 1μm 의 SiO2층간 절연막(23)이 형성되고, 다시 이 SiO2층간절연막(23)상에 소정의 형상으로 패터닝된 Si3N4 마스크(24)가 형성되어 이루어지는 웨이퍼를 준비하였다 여기서, 상기 Si3N4마스크(24)는 예를 들면 감압 CVD 법에의해 형성된 층두께 1000Å의 Si3N4층을 엑시머레이저리소그라피와 현상처리에 의한 형성된 화학증폭계 포토레지스트의 패턴을 마스크로 하여 에칭함으로써 형성되어 있으며, 개구부(24a)를 가지고 있다. 포토레지스트마스크는 애칭에 의해 제거되고 있다.
이 웨이퍼를 RF 바이어스인가형의 유자장마이크로파 플라즈마에칭장치에 세트하고, 일예로서 S2F2유량 50SCCM, H2유량 20SCCM, 가스압 1.3Pa(10mTorr), 마이크로파워 850W, RF 바이어스파워 200W(400kHz)의 조건으로 상기 SiO2층간절연막(4)을 에칭하였다.
본 실시예에서는 에칭을 개시하기 전에 이미 Si3N4마스크(24)가 웨이퍼의 표면에 노출되어 있기 때문에, 제3도(b)에 도시한 바와 같이 에칭을 개시하면, 그 표면은 즉시 (SN)n등의 질화황계 화합물로 피복되었다. 이 질화황계 의해 Si3N4마스크(24)의 표면에 있어서의 에칭속도가 대폭 저하되고, 마스크의 후퇴에 의한 치수변환차의 발생이나 콘택트홀(23a)의 단면(斷面)형상의 열화가 방지되었다. 이 때의 대 Si3N4 마스크선택비는 약 20이었다.
한편, SiO2층간절연막(23)의 에칭은 측벽부가 S의 퇴적에 의해 보호되면서 이방적(異方的)으로 진행하였다. 바탕재의 불순물확산영역(22)이 노출되면, S 는 그 표면에 퇴적하고, 에칭속도가 대폭 저하하였다. 이 결과, 오버에칭을 행했을 때에도 높은 바탕재선택성이 얻어졌다.
에칭종료후에는 웨이퍼를 약 130℃ 이상으로 가열함으로써 제3도(c)에 도시한 바와 같이 질화황계 화합물 및 S 를제거하였다.
일반적으로 SiO2층의 에칭에는 이온입사에너지의 큰 조건이 채용되므로, 레지스트마스크를 사용하는 프로세스에서는 입사이온의 스퍼터작용에 의한 마스크의 후퇴 및 이에 따른 파티클 오염의 발생이 문제로 되어 있었다. 그러나, 본원의 제2의 발명에 의하면 Si3N4층을 마스크로 하는 SiO2층의 에칭이 가능하게 되므로, 레지스트마스크가 필요없게 되어 전술한 문제를 회피할 수 있다. 이 경우, 마스크로서 사용한 Si3N4층은 특히 제거하지 않아도 절연막의 일부로서 그대로 사용할 수 있다.
이상 본원 발명을 4가지 실시예에 의거하여 설명하였으나, 본원발명은 이들 실시예에 하등 한정되는 것은 아니며, 예를 들면 에칭가스에는 각종 첨가가스를 혼합해도 된다. 예를 들면 에칭반응계의 S/F 비를 증대시키기 위한 첨가가스로서는 전술한 H2, H2S 외에 실란계가스를 사용해도 된다. 또한, 스퍼터링효과, 냉각효과, 희석효과를 얻을 목적으로 He, Ar 등의 희가스가 첨가되어도 된다.
또, 전술한 일실시예에서는 에칭가스로서 S2F2를 사용하는 경우에 대해 설명하였으나, 본원 발명에서 제안되는 다른 플루오르화황을 사용한 경우에도 동일한 기구에 의해 에칭할 수 있다.
이상의 설명으로부터도 명백한 바와 같이, 본원발명의 드라이 에칭방법에 의하면 종래에는 곤란했던 SiOx층과 SixNy층과의 사이의 선택에칭이 가능하게 된다. 특히, 과거에도 제안되어 있지 않은 SixNy층상에 있어서의 SiOx층의 선택에칭에 대해서는 종래 불가능 하였던 프로세스를 가능하게 하는 것이며, 이것에 의해 새로운 디바이스구조가 개발될 가능성도 높다. 물론, 탈플론대책으로서도 우수하다는 것은 말할 것도 없다.
따라서, 본원 발명은 미세한 디자인룰에 의거하여 설계되어 고집적도 및 고성능을 가진 반도체장치의 제조에 적합하며, 그 산업상의 가치는 매우 크다.

Claims (2)

  1. 피에칭기판의 온도를 실온이하로 제어하고, S2F2, SF2, SF4, S2F10에서 선정되는 최소한 1종류의 화합물을 함유하는 에칭가스를 사용하며, 질화실리콘계 재료층상에 형성된 산화실리콘계 재료층을 에칭하는 것을 특징으로 하는 드라이에칭방법.
  2. 피에칭기판의 온도를 실온이하로 제어하고, S2F2, SF2, SF4, S2F10에서 선정되는 최소한 1종류의 화합물을 함유하는 에칭가스를 사용하여, 소정의 형상으로 패터닝된 질화실리콘계 재료층을 마스크로 하여 산화실리콘계 재료층을 에칭하는 것을 특징으로 하는 드라이에칭방법.
KR1019920009372A 1991-05-31 1992-05-30 드라이 에칭방법 KR100229241B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP91-155,454 1991-05-31
JP3155454A JPH04354331A (ja) 1991-05-31 1991-05-31 ドライエッチング方法

Publications (1)

Publication Number Publication Date
KR100229241B1 true KR100229241B1 (ko) 1999-11-01

Family

ID=15606399

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019920009372A KR100229241B1 (ko) 1991-05-31 1992-05-30 드라이 에칭방법

Country Status (5)

Country Link
US (1) US5312518A (ko)
EP (1) EP0516053B1 (ko)
JP (1) JPH04354331A (ko)
KR (1) KR100229241B1 (ko)
DE (1) DE69228333T2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170066197A (ko) * 2015-12-04 2017-06-14 가부시키가이샤 히다치 하이테크놀로지즈 드라이 에칭 방법

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
JP3111661B2 (ja) * 1992-07-24 2000-11-27 ソニー株式会社 ドライエッチング方法
US5651855A (en) * 1992-07-28 1997-07-29 Micron Technology, Inc. Method of making self aligned contacts to silicon substrates during the manufacture of integrated circuits
KR960008550B1 (en) * 1992-12-31 1996-06-28 Hyundai Electronics Ind Contact plug manufacturing method using tungsten
JPH06260396A (ja) * 1993-03-02 1994-09-16 Sony Corp X線リソグラフィ用マスクの製造方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
USRE39895E1 (en) 1994-06-13 2007-10-23 Renesas Technology Corp. Semiconductor integrated circuit arrangement fabrication method
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
JPH08255907A (ja) * 1995-01-18 1996-10-01 Canon Inc 絶縁ゲート型トランジスタ及びその製造方法
US6253704B1 (en) 1995-10-13 2001-07-03 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US5983828A (en) * 1995-10-13 1999-11-16 Mattson Technology, Inc. Apparatus and method for pulsed plasma processing of a semiconductor substrate
US6794301B2 (en) 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
JPH09275142A (ja) * 1995-12-12 1997-10-21 Texas Instr Inc <Ti> 半導体の空隙を低温低圧で充填を行う処理方法
US5930585A (en) * 1996-07-23 1999-07-27 International Business Machines Corporation Collar etch method to improve polysilicon strap integrity in DRAM chips
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6303045B1 (en) * 1997-03-20 2001-10-16 Lam Research Corporation Methods and apparatus for etching a nitride layer in a variable-gap plasma processing chamber
US5965463A (en) * 1997-07-03 1999-10-12 Applied Materials, Inc. Silane etching process
TW436980B (en) * 1998-07-08 2001-05-28 United Microelectronics Corp Method of local oxidation
US5989979A (en) * 1998-12-10 1999-11-23 Chartered Semiconductor Manufacturing Ltd. Method for controlling the silicon nitride profile during patterning using a novel plasma etch process
DE59914708D1 (de) * 1998-12-24 2008-05-08 Atmel Germany Gmbh Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
JP3973819B2 (ja) * 1999-03-08 2007-09-12 株式会社東芝 半導体記憶装置およびその製造方法
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US6886573B2 (en) 2002-09-06 2005-05-03 Air Products And Chemicals, Inc. Plasma cleaning gas with lower global warming potential than SF6
KR100503814B1 (ko) * 2003-02-04 2005-07-27 동부아남반도체 주식회사 반도체 소자의 게이트 형성 방법
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
FR2881876B1 (fr) * 2005-02-07 2007-05-25 Centre Nat Rech Scient Procede d'oxydation planaire pour realiser un isolant enterre localise
US7645707B2 (en) * 2005-03-30 2010-01-12 Lam Research Corporation Etch profile control
JP2008060238A (ja) * 2006-08-30 2008-03-13 Toshiba Corp 半導体装置の製造方法
CN101330019B (zh) * 2007-06-18 2010-12-22 中芯国际集成电路制造(上海)有限公司 通孔刻蚀方法及通孔区内钝化层去除方法
CN101809723B (zh) 2007-09-27 2012-04-04 朗姆研究公司 蚀刻蚀刻层的方法和装置
CN101809721B (zh) 2007-09-27 2013-03-06 朗姆研究公司 电介质蚀刻中的形貌控制
US9209178B2 (en) 2013-11-25 2015-12-08 International Business Machines Corporation finFET isolation by selective cyclic etch
JP6604911B2 (ja) * 2016-06-23 2019-11-13 東京エレクトロン株式会社 エッチング処理方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4313782A (en) * 1979-11-14 1982-02-02 Rca Corporation Method of manufacturing submicron channel transistors
US4431477A (en) * 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
JPS61136274A (ja) * 1984-12-07 1986-06-24 Toshiba Corp 半導体装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JP2669460B2 (ja) * 1986-10-29 1997-10-27 株式会社日立製作所 エツチング方法
EP0265584A3 (en) * 1986-10-30 1989-12-06 International Business Machines Corporation Method and materials for etching silicon dioxide using silicon nitride or silicon rich dioxide as an etch barrier
JPH0831441B2 (ja) * 1986-12-04 1996-03-27 株式会社日立製作所 表面処理方法
JP2656479B2 (ja) * 1987-01-14 1997-09-24 株式会社日立製作所 ドライエツチング方法
US4956043A (en) * 1987-05-25 1990-09-11 Hitachi, Ltd. Dry etching apparatus
JPS6432627A (en) * 1987-07-29 1989-02-02 Hitachi Ltd Low-temperature dry etching method
US4832787A (en) * 1988-02-19 1989-05-23 International Business Machines Corporation Gas mixture and method for anisotropic selective etch of nitride
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
JPH0360032A (ja) * 1989-07-27 1991-03-15 Sony Corp ドライエッチング方法
EP0410635A1 (en) * 1989-07-28 1991-01-30 AT&T Corp. Window taper-etching method in the manufacture of integrated circuit semiconductor devices
JPH03231426A (ja) * 1990-02-07 1991-10-15 Fujitsu Ltd 半導体装置の製造方法
JP3006048B2 (ja) * 1990-07-27 2000-02-07 ソニー株式会社 ドライエッチング方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170066197A (ko) * 2015-12-04 2017-06-14 가부시키가이샤 히다치 하이테크놀로지즈 드라이 에칭 방법
KR101870221B1 (ko) 2015-12-04 2018-06-22 가부시키가이샤 히다치 하이테크놀로지즈 드라이 에칭 방법

Also Published As

Publication number Publication date
DE69228333D1 (de) 1999-03-18
EP0516053A3 (en) 1993-05-26
DE69228333T2 (de) 1999-09-02
EP0516053B1 (en) 1999-02-03
US5312518A (en) 1994-05-17
EP0516053A2 (en) 1992-12-02
JPH04354331A (ja) 1992-12-08

Similar Documents

Publication Publication Date Title
KR100229241B1 (ko) 드라이 에칭방법
Flamm et al. The design of plasma etchants
KR100274080B1 (ko) 드라이에칭방법
KR100255404B1 (ko) 드라이에칭방법
JP2004508709A (ja) 酸化物の選択的エッチング方法
KR100255405B1 (ko) 드라이에칭방법
KR100685735B1 (ko) 폴리실리콘 제거용 조성물, 이를 이용한 폴리실리콘 제거방법 및 반도체 장치의 제조 방법
JP2660117B2 (ja) 半導体基板ウェファー上の層のドライエッチング方法
US5522520A (en) Method for forming an interconnection in a semiconductor device
US5312781A (en) Flash EEPROM fabrication process that uses a selective wet chemical etch
US6461969B1 (en) Multiple-step plasma etching process for silicon nitride
KR100218772B1 (ko) 드라이에칭방법
US6214725B1 (en) Etching method
EP0265584A2 (en) Method and materials for etching silicon dioxide using silicon nitride or silicon rich dioxide as an etch barrier
JP2956524B2 (ja) エッチング方法
US7125809B1 (en) Method and material for removing etch residue from high aspect ratio contact surfaces
US6455232B1 (en) Method of reducing stop layer loss in a photoresist stripping process using a fluorine scavenger
JPH0831797A (ja) 選択エッチング方法
JPH06283477A (ja) 半導体装置の製造方法
JP3080055B2 (ja) ドライエッチング方法
JP3428927B2 (ja) ドライエッチング方法
JP3380947B2 (ja) 低誘電率酸化シリコン系絶縁膜のプラズマエッチング方法
WO2000026954A1 (en) Method of reducing stop layer loss in a photoresist stripping process using hydrogen as a fluorine scavenger
JPH08115900A (ja) シリコン系材料層のパターニング方法
JP3079656B2 (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20030716

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee