KR100274080B1 - 드라이에칭방법 - Google Patents

드라이에칭방법 Download PDF

Info

Publication number
KR100274080B1
KR100274080B1 KR1019940005286A KR19940005286A KR100274080B1 KR 100274080 B1 KR100274080 B1 KR 100274080B1 KR 1019940005286 A KR1019940005286 A KR 1019940005286A KR 19940005286 A KR19940005286 A KR 19940005286A KR 100274080 B1 KR100274080 B1 KR 100274080B1
Authority
KR
South Korea
Prior art keywords
etching
layer
sio
plasma
gas
Prior art date
Application number
KR1019940005286A
Other languages
English (en)
Other versions
KR940022724A (ko
Inventor
가도무라신고
Original Assignee
이데이 노부유끼
소니 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 이데이 노부유끼, 소니 가부시끼 가이샤 filed Critical 이데이 노부유끼
Publication of KR940022724A publication Critical patent/KR940022724A/ko
Application granted granted Critical
Publication of KR100274080B1 publication Critical patent/KR100274080B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Si3N4층에 대해 고선택비를 유지하면서 Si02층을 에칭한다.
이온밀도가 1011이온/㎤ 이상의 고밀도플라스마를 생성할 수 있는 드라이에칭장치내에서, 일반식 CxFy(단, y ≤ x + 2 )로 표현되는 플투오로카본(FC)가스를 사용한다. ECR 플라스마 등의 고밀도플라스마 중에서는 가스의 해리가 고도로 진행되므로, 종래의 RF 플라스마 등에서는 탄소계 폴리머의 퇴적밖에 일어나지 않았던 C6F6가스로부터도 효율 좋게 CF+가 생성되고, SiO2층간절연막(4)을 고속에칭할 수 있다. 한편, 상기 FC 가스의 C/F 비가 크므로 과잉의 F*가 생성되지 않으며, Si3N4바탕막(3)에 대하여는 고선택성을 얻을 수 있다. 역으로, Si3N4층을 마스크로 하여 SiO2층을 에칭한 경우에는 높은 마스크 선택성을 얻을 수 있다.

Description

드라이에칭방법
제1도는 본 발명을 콘택트홀가공에 적용한 프로세스예를 그 공정순에 따라서 나타난 모식적 단면도이며, (a)는 SiO2층간 절연막상에 레지스트마스크가 형성된 상태, (b)는 SiO2층간 절연막의 에칭이 SixNy바탕막상에서 정지한 상태, (c)는 레지스트마스크가 에칭에 의해 제거된 상태, (d)는 콘택트홀내의 Si3N4바탕막이 선택적으로 제거된 상태를 각각 나타낸다.
제2도는 본 발명을 SRAM의 셀프얼라인 콘택트가공에 적용한 프로세스예를 그 공정순에 따라서 나타낸 모식적 단면도이며, (a)는 표면에 SixNy에칭정지층을 가진 2개의 게이트전극을 피복하여 SiO2층간 절연막이 형성되고, 다시 레지스트마스크가 형성된 상태, (b)는 사이드월이 형성되면서 콘택트홀이 형성된 상태를 각각 나타낸다.
제3도는 SRAM의 셀프얼라인 콘택트의 비교예에 있어서, SixNy에칭정지층에 대한 선택성이 저하하고, 게이트전극과 사이드월의 단면형상이 열화된 상태를 나타낸 모식적 단면도이다.
〈도면의 주요부분에 대한 부호의 설명〉
(1),(11) : 실리콘기판 (2), (12) : 불순물 확산영역
(3) : SiZN4바탕막 (4), (19) : SiO2층간 절연막
(5), (20) : 레지스트마스크 (6), (21) : 개구부
(7), (22) : 콘택트홀 (13) :게이트산화막
(16) : 게이트전극 (17) : Si3N4에칭정지층
(18), (19) : 사이드월
본 발명은 반도체장치의 제조분야 등에 있어서 적용되는 드라이에칭방법에 관한 것이며, 특히 질화실리콘계 재료층과 산화실리콘계 재료층과의 사이에서 선택비를 크게 확보하면서 에칭을 행하는 방법에 관한 것이다.
실리콘디바이스에 있어서의 층간 절연막의 구성재료로서는, 일반적으로 실리콘화합물층, 그 중에서도 산화실리콘(SiOx: 전형적으로는 x = 2)막이 널리 사용되고 있다. SiOx층간 절연막의 드라이에칭은 시기적으로 대략 64KDRAM의 제조 당시부터 양산(養産)라인에서도 적용되도록 이루어진 성숙된 기술이다.
상기 드라이에칭은 종래부터 CHF3, CF4/H2혼합계, CF2/O2혼합계, C2F6/CHF3혼합계 등 플루오로카본계 화합물을 조성의 주체로 하는 에칭가스가 사용되어 왔다. 이것은, (a) 플루오로카본계 화합물에 함유되는 C 원자가 SiOX층의 표면에서 원자가 결합에너지가 큰 C-O 결합을 생성하고, Si-O 결합을 절단하거나 약화시키거나 하는 작용이 있고, (b) SiO2층의 주에칭종(種)인 CFx +(전형적으로는 X = 3)을 생성할 수 있고, 또한 (c) 에칭반응계의 C/F 비(C 원자수와 F 원자수의 비)를 제어함으로써 탄소계 폴리머의 퇴적량을 최적화하고, 레지스트마스크나 바탕재료층에 대하여 고선택성을 달성할 수 있는 등의 이유에 의거하고 있다.
그리고, 여기서 말하는 바탕재료층이라는 것은 주로 실리콘기판, 폴리실리콘층, 폴리사이드막 등의 실리콘계 재료층을 가리킨다.
한편, 질화실리콘(SixNy: 특히 X = 3, Y = 4)도 실리콘디바이스에 적용되는 절연막재료이다. SixNy층의 드라이에칭에도, 기본적으로는 SiOx층의 에칭과 동일한 가스조성이 적용된다. 단, SiOx층이 이온어시스트반응을 주체로 하는 기구에 의해 에칭되는데 대하여, SixNy층은 F*를 주에칭종으로 하는 래디컬반응기구에 의거하여 에칭되며, 에칭속도도 SiO2층 보다 빠르다. 이것은 원자간 결합에너지의 대소관계가 Si-F(553kcal/mole) 〉 Si-O 결합 (465kJ/mol) 〉 Si-N 결합(440kcal/mole)이라는 것으로부터도 어느 정도 예측할 수 있다.
그리고, 원자간 결합에너지의 값에는 산출방법에 따라서 약간의 차가 나지만, 여기서는 문헌(R.C.Weast 편 "Handbook of Chemistry and Physics", 69th ed, 1988년, CRC Press 사간, 미합중국 플로리다 주)에 기재된 데이터를 인용하였다.
그런데, 실리콘디바이스의 제조공정중에는, SiOx층과 SixNy층과의 사이의 고선택에칭을 요하는 공정이 몇가지 있다.
예를 들면, SiOx층상에 있어서의 SixNy층의 에칭은, 예들 들면 LOCOS 법에 있어서 소자분리영역을 규정하기 위한 패터닝 등으로 행해진다. 상기 에칭은 버즈비크길이를 최소한으로 억제하기 위해 패드산화막(SiO2층)이 박막화되어 있는 현상황에서는, 바탕의 SiOx층에 대하여 특히 높은 선택성을 요하는 프로세스이다.
또, 근년에는 디바이스의 미세화, 복잡화에 따라서 SixNy층이 에칭대미지를 방지하기 위한 에칭정지층으로서 여러 장소에 형성되는 케이스가 증가하고 있으며, SixNy층상에서 SiOx층을 고선택에칭할 필요도 생기고 있다.
예를 들면, 오버에칭시의 기판대미지를 저감시키기 위해 기판의 표면에 얇은 SixNy층이 개재되어 있거나, 이른바 ONO(SiOx층/ SixNy층 / SiOx층) 구조를 가진 게이트절연막이 형성되어 있거나, 또는 게이트전극의 표면에 SixNy층이 표면에 확실히 정지되지 않으면 안된다.
그런데, 적층되는 상이한 재료층의 사이에서 선택성이 높은 에칭을 행하기 위해서는, 일반적으로 양 재료층의 원자간 결합에너지의 값에 어느 정도의 차가 있는 것이 바람직하다. 그러나,SiOx층과 SixNy층의 경우, Si-O 결합과 Si-N 결합은 원자간 결합에너지의 값이 비교적 가까우므로, 이들 양자간의 고선택에칭은 본질적으로 곤란하다.
종래부터 이 선택에칭을 가능하게 하기 위한 기술의 개발이 각 처에서 진행되고 있다.
여기서, SiOx층상에서 SixNy층을 에칭하는 기술에 대해서는 몇가지 보고가있다.
예들 들면, 본 발명자는 앞서 일본국 특개소 61(1986)-142744호 공보에 있어서, C/F비(분자내의 C 원자수와 F 원자수의 비)가 작은 CH2F2등의 가스에 CO2를 30~70% 의 몰비로 혼합한 에칭가스를 사용하는 기술을 개시(開示)하고 있다. C/F 비가 작은 가스는 F*의 재결합에 의해서만 SiOx층의 에칭종인 CFx +(특히 x = 3)를 생성할 수 있으나, 이 계에 대량의 CO*를 공급하여 F*를 포착하여 COF의 형으로 제거하면, CFx +의 생성량이 감소하여 SiO2층의 에칭속도가 저하된다. 한편,SixNy는 F*를 주에칭종으로 하여 에칭할 수 있으므로, CO2의 대량 첨가에 의해 CFx +가 감소해도 에칭속도는 거의 변화하지 않는다. 이와 같이 하여 양층의 사이의 선택성이 얻어지는 것이다.
또, 문헌 (Proceeding of Symposium on Dry Process, 제88권 7호, 86-94 페이지, 1987년)에는 케이컬드라이에칭장치에 NF3와 Cl2를 공급하고, 마이크로파방전에 의해 기상(氣相)중에 생성하는 FCl 을 이용하여 SiOx상의 SixNy층을 에칭하는 기술이 보고되어 있다. Si-O 결합은 이온결합성을 55% 포함하는데 대하여, Si-N 결합은 30% 이며, 공유결합성이 비율이 높아지고 있다. 즉, SixNy층중의 화학결합의 성질은 단결정 실리콘중의 화학결합(공유결합)의 그것에 가깝고, FC1로부터 해리(蟹釐)생성된 F*,C1*등의 래디컬에 의해 에칭된다. 한편, SiOx층은 이들 래디컬에 의해서도 거의 에칭되지 않으므로, 고선택에칭이 가능하게 되는 것이다.
전술한 바와 같이, SiOx층상에서 SixNy층을 선택에칭하는 기술에 대해서는 몇가지 보고가 되어 있다. 이것은 양 층의 에칭속도를 고려하면 어느 의미에서는 당연하다. 그것은 래디컬반응을 주체로 하는 기구에 의해 SixNy를 에칭하는 과정에서는, 도중에 SiOx층이 노출되면 필연적으로 에칭속도는 저하하기 때문이다.
그러나, 종래의 기술에도 문제는 있다. 예를 들면 전술한 FCl을 이용하는 프로세스에서는, 래디컬반응을 이용하고 있으므로 이방성(異方性)가공이 본질적으로 곤란하다.
한편, SixNy층의 위에서 SiOx층을 선택에칭하는 기술에 대해서는, 이 역(逆)의 케이스에 비해 선택성을 확보하는 것이 곤란하므로, 보고예도 적다. 이것은 이온어시스트반응을 주체로 하는 기구에 의해 SiOx층을 에칭하고 있어도, 그 반응계중에는 반드시 래디컬이 생성되고 있으며, SixNy이 노출된 시점에서 이 래디컬에 의해 바탕의 에칭속도가 상승되어 버리기 때문이다.
최근에 이르러서, 새로운 플라스마원의 채용에 의해 래디컬의 생성량을 저하시킨 고밀도 플라스마를 사용하여 이것을 실현하는 기술이 제안되어 있다. 예를 들면, 문헌(Proceeding of the 43rd Symposium on Semiconductors and Integrated Circuits Technology, 54페이지, 1992)에는 C2H6가스의 유도결합플라스마(ICP = Induction Coupled Plasma)를 사용하고, LPCVD 법에 의해 성막된 Si3N4층의 위에서 TEOS-CVD 법에 의해 형성된 SiOx층을 C2F6(헥사플루오로에탄)을 사용하여 에칭하고, 게이트전극에 일부 겹치는 접속공을 개구하는 프로세스예가 소개되어 있다. 고밀도 플라스마중에는 가스의 해리가 고도로 진행되므로, C2F6는 대략 이온식량(式量)이 작은 CF+로 분해되고, 이것이 에칭에 기여하고 있는 것이라고 생각된다. 또, 이 때 퇴적되는 C/F 비가 낮은 플루오로카본계 플리머중의 C 원자는 SixNy중의 N 원자보다 SiOx중의 O 원자와 결합하기 쉬우므로, SiOx층의 표면에서는 제거되지만, SixNy상에서는 퇴적한다. 이것이 선택성의 달성메카니즘이라고 생각되고 있다.
이 기술은 상당히 유명하지만, 안정된 선택성을 얻기 어렵다는 결점이 있다.
예를 들면, 전술한 프로세스에 있어서의 선택비는 평탄부에 있어서 무한대, 코너부에서는 20 이상이라고 보고되어 있다. 이러한 선택성의 면내 불균일은 C2F6의 해리가 고도로 진행된 결과 생성되는 F*의 기여에 의한 것이라고 생각된다.
그래서, 본 발명은 SixNy층에 대하여 안정되게 고선택비를 확보하는 것이 가능한 SiOx층의 드라이에칭방법을 제공하는 것을 목적으로 한다.
본 발명의 드라이에칭방법은 진술한 목적을 감안하여 제안된 것이며, 이온밀도가 1011이온/㎤ 이상의 플라스마를 생성가능한 에칭장치내에서, 일반식 CxFy(단 , x, y 는 자연수이며, y ≤x + 2 의 관계를 만족함)로 표현되는 플루오로카본계 화합물을 주제로 하는 에칭가스의 플라스마를 생성시키고, SixNy계 재료층의 위해 형성된 SiOx계 재료층을 선택적으로 에칭하는 것이다.
여기서, 고밀도플라스마라는 것은 종래형의 플라스마에 비하여 전자와 가스원자의 충돌회수를 증가시키기 위한 어떤 연구가 이루어져 있는 플라스마인 것이다. 종래형의 플라스마라는 것은 예를 들면 평행평판 전극사이에 RF 파워를 인가하여 글로우방전을 일으키거나, 도파관에 마이크로파를 공급하여 마이크로파방전을 일으킴으로써 여기(勵起)되는 것이다. 이에 대하여, 고밀도플라스마는, 예를 들면 마이크로파전계와 자계의 상호작용에 기인하는 전자사이크로트론공명, 또는 휘슬러모드 (Whistler mode)라고 하는 자계중의 마이크로파 전반(傳搬)모드 등을 이용함으로써, 가스의 해리를 고도로 촉진하여, 높은 이온밀도를 달성한 것이다.
이러한 1011이온/㎤ 이상의 이온밀도를 가진 플라스마의 구체예로서는, ECR 플라스마, 헬리콘파 플라스마, ICP(Inductively Coupled Plasma), TCP(Transformer Coupled Plasma), 홀로우애노드형 플라스마, 헬리컬공진기 플라스마 등이 알려져 있다.
또, 상기 플루오로카본계 화합물은 그 일반식으로부터 자명한 바와 같이, 불포화화합물이다. 이 요건은 쇄상(鎖狀), 또는 환상(環狀)의 어느 하나의 탄소골격에 의해서도 만족시킬 수 있다. 그러나, 탄소수가 어느 정도 많아지면 쇄상의 경우에는 필연적으로 연속된 다중결합 또는 공역(共役)다중결합을 갖지 않을 수 없으며, 또 환상의 경우에는 공역다중결합, 축합환(縮합(合)環), 다환(多環), 스피로환, 환집합 등의 구조를 취하게 된다.
이러한 요건을 만족시키는 플루오로카본계 화합물의 일예로서는, 테트라플루오로에틸텐(C2F4), 헥사플루오로부타디엔(C4F6), 테트라플루오로시크로프로펜(c-C3F4), 헥사플루오르시크로프론펜(c-C4F6), 헥사플루오로벤젠(C6F6), 옥타플루오로시크로헵타트리엔(c-C7F8), 옥타플루오로비시크로[2, 2, 1] 헵타디엔(C7F8)등을 들 수 있다.
본 발명은 또 이온밀도가 1011이온/㎤ 이상의 플라스마를 생성가능한 에칭장치내에서, 일반식 CxFy(단, x, y 는 자연수이며, y ≤ x + 2 의 관계를 만족함)로 표현되는 플루오로카본계 화합물을 주체로 하는 에칭가스의 플라스마를 생성시키고, 소정의 형상으로 패터닝된 SixNy계 재료층을 마스크로 하여 SiOx계 재료층의 에칭을 행하는 것이다.
본 발명은 또한 상기 플루오로카본계 화합물로서 핵사플루오로벤젠을 사용하는 것이다.
플루오로카본계 화합물을 주체로 하는 에칭가스를 사용하여 이온밀도 1011이온/㎤ 이상의 고밀도 플라스마를 형성하면, 저압하에서도 종래의 RF 플라스마등과 비하여 플루오로카본계 화합물의 해리가 진행되어, 대량의 CFx +(또한, x = 1 이 많음)가 효율 좋게 생성된다. 이 풍부한 이온에 어시스트되면서, 실리콘 화합물층은 실용적인 속도로 에칭된다.
그러나, 이 대량의 CFx +에 수반하여 대량의 F*가 생성되면, SixNy층에 대한 선택성이 저하되는 원인으로 된다. 그래서, 본 발명에서는 플라스마중에 과잉의 F*가 생성되지 않도록, C 원자수에 비하여 F 원자수가 적은 화합물, 즉 분자의 C/F 비가 큰 플루오로카본계 화합물을 에칭가스의 주성분으로서 사용한다. 본 발명의 경우, F 원자수 y 는 C 원자수 x 에 비하여 최대라도 2개 많을 뿐이므로, 가령 단순화하여 1분자로부터 x 개의 CF+가 생성되었다고 생각하면, F*의 생성량은 최대라도 2개로 된다.
본 발명에서는, 이러한 플루오로카본계 화합물을 사용하여 SixNy층상에서 SiOx계 재료층을 애칭하므로, SixNy층의 노출면이 고밀도의 F*에 노출될 염려가 없다. 따라서, 바탕의 SixNy층에 대하여 높은 바탕선택성이 달성되는 것이다.
이 원리는 역으로 소정의 협상으로 패터닝된 SixNy층을 그 아래의 SiOx층의 에칭마스크로서 사용한 경우에도 전혀 동일하며, 높은 마스크선택성이 달성된다.
그런데, 본 발명에서는 상기 플루오로카본계 화합물로서 특히 헥사플루오로벤젠(C6F6)을 제안하는데, 이것은 안전성, 입수의 용이성, C/F 비의 값이 1로서 크다는 것을 고려했기 때문이다. 종래부터 C6F6를 SiOX계 재료층의 에칭에 사용하려고 하는 시도는 있었다. 그러나, 예를 들면 일본국 특공평(1989)-60938호 공보에도 기재되어 있는 바와 같이, 이것 단독으로는 CF3 +나 CF2 +가 대량으로 생성되어 폴리머를 형성해 버려서 에칭반응의 진행이 저해되므로, 실용으로는 되지 않았다. 상기 공보에서는, 탄소계 폴리머의 중합을 저해하므로, CF4를 1:1의 비율로 C6F6에 혼합하여 가스를 사용하여, 이 문제를 해결하고 있다.
그러나, 본 발명에 의하면, C6F6는 고밀도플라스마중에서 CF+에까지 해리되므로, 탄소계 폴리머를 과잉으로 퇴적시킬 염려가 없다. 더욱이, 가령 탄소-탄소간의 결합이 모두 개열(開裂)되어 1분자로부터 6개의 CF+가 생성되었다고 하면, F*는 이론상은 1개도 생성되지 않게 되고, SiXNY계 재료층에 대하여 높은 선택성이 달성된다. 또, 이러한 고선택에칭을 단독가스계로 실현할 수 있는 점도 안정성, 제어성 등의 관점에서 본 발명의 메리트라고 할 수 있다.
다음에, 본 발명의 구체적인 실시예에 대하여 설명한다.
[실시예 1 ]
본 실시예는 유자장(有磁場)마이크로파 플라스마에칭장치와 C6F6가스를 사용하여, Si3N4바탕막을 가진 SiO2층간절연막을 에칭하여 콘택트홀을 개구한 예이다. 이 프로세스에 대하여 제1도를 참조하면서 설명한다.
먼저, 제1도(a)에 나타낸 바와 같이, 미리 불순물확산영역(2)이 형성된 실리콘기판(1)상에 예를 들면 LPCVD 법에 의해 총두께 10㎚ 의 Si3N4바탕막(3)을 형성하고, 이어서 상압(常壓) CVD 법에 의해 층두께 1000㎚ 의 SiO2층간절연막(4)을 형성하였다. 또한 상기 SiO2층간절연막(4)의 위에는 노볼략계포지티브형 포토레지스트 TSMR-V3(도꾜오까 동東京化 공업사 제: 상품명)를 도포하고, 1 선리소그라피 및 알칼리현상에 의해 직경 0.35㎛ 의 개구부(6)를 가진 레지스트마스크(5)를 형성하였다.
이 웨이퍼를 유자장마이크로파 플라스마에칭장치의 웨이퍼재치전극상에 세트하고, 일예로서 하기의 조건으로 SiO2층간절연막(4)을 에칭하였다.
C6F6유량 20 SCCM
가스압 0.65 ㎩
마이크로파파워 1500 W(2.45 ㎓)
RF 바이어스파워 200 W(800 ㎑)
웨이퍼재치전극온도 20 ℃
여기서는, 큰 마이크로파 파워를 투입하여 ECR 방전을 행함으로써, C6F6의 해리가 진행되어, 이온밀도가 1011이온/㎤의 오더의 고밀도 플라스마가 생성되었다. 상기 에칭과정에서는, 이 고밀도 플라스마중에 생성되는 대량의 CF+에 의해 SiOx층간절연막(4)의 에칭이 고속으로 진행되었다. 또, 바탕의 Si3N4바탕막(3)이 노출된 시점에서도 플라스마중에 과잉의 F*가 생성되어 있지 않으므로, Si3N4바탕막(3)에 대하여 약 30의 높은 선택성이 달성되었다. 물론 레지스트마스크(5)에 대한 선택성도 양호하였다.
이 에칭결과, 제1도(b)에 나타낸 바와 같은 이방성형상을 가진 콘택트홀(7)이 그 저면에 노출되는 SixNy바탕막(3)을 침식하지 않고 형성되었다.
그리고, 이 에칭과정에서는, 도시하지 않은 탄소계 폴리머의 퇴적을 약간 볼 수 있다. 이 탄소계 폴리머는 SiO2층간절연막(4)의 에칭영역에서는 이곳으로부터 스퍼터아웃되는 O 원자의 연소작용에 의해 제거되지만, 레지스트마스크(5)의 표면보호, 콘택트홀(7)의 측벽면의 보호, Si3N4바탕막(3)의 노출면의 보호 등에 기여하였다.
그러나, 어떻게 해도 종래와 같이 대량의 탄소계 폴리머를 퇴적시켜서 에칭의 진행을 방해하는 일은 없었다.
다음에, 웨이퍼를 플라스마에칭장치에 옮겨서 통상의 조건으로 O2플라스마에칭을 행하여, 제1도(c)에 나타낸 바와 같이 레지스트마스크(5)를 제거하였다. 이 때, 표면보호나 측면보호에 기여하고 있던 도시하지 않은 탄소계 폴리머도 동시에 제거되었다.
끝으로, 웨이퍼를 열인산수용액에 침지하고, 제1도(d)에 나타낸 바와 같이 콘택트홀(4a)의 저부에 노출된 Si3N4바탕막(3)을 분해제거하였다.
이상의 프로세스에 의해, 불순물확산영역(2)에 대미지를 발생시키거나, 또 파티클오염을 야기시키지 않고, 양호한 이방성형상을 가진 콘택트홀(7)을 형성할 수 있었다.
[실시예 2]
본 실시예는 SRAM의 부하용 TFT의 게이트전극과 기억노드의 접속을 형성하기 위한 셀프얼라인 콘택트가공에 있어서, SiO2층간 절연막의 에칭을 ICP 에칭장치와 C6F6가스를 사용하여 행한 예이다. 이 프로세스를 제2도를 참조하면서 설명한다.
본 실시예에서 에칭샘플로서 사용한 웨이퍼의 구성을 제2도(a)에 나타낸다. 이 웨이퍼는 실리콘기판(11)상에 표면산화에 의해 게이트산화막(13)이 형성되고, 이 위에서 드라이버 트랜지스터의 2개의 게이트전극(16), 및 이 게이트전극(16)을 후공정의 에칭으로부터 보호하기 위한 Si3N4에칭정지층(17)이 패터닝된 것이다. 상기 게이트전극(16)은 하층측으로부터 차례로 폴리실리콘층(14)과 텅스텐실리사이드(WSix)층(15)이 적층된 텅스텐폴리사이드막으로 이루어지는 것이다. 게이트전극(16)의 양 측벽면에는, SiO2로 이루어지는 사이드월(18)이 에치백프로세스에 의해 형성되어 있으며, 전술한 게이트전극(16) 및 사이드월(18)을 마스크로 한 2회의 이온주입에 의해, LDD 구조를 가진 불순물확산영역(12)이 실리콘기판(11)내에 형성되어 있다.
이 웨이퍼의 전면에는 예를 들면 CVD 법에 의해 SiO2층간절연막(19)이 퇴적되고, 다시 이 위에 레지스트마스크(20)가 소정의 패턴으로 형성되어 있다. 상기 레지스트마스크(20)는 양 게이트전극(16)상에 일부 걸리고, 이들의 중간영역을 커버하는 개구부(21)를 가지고 있다. 이 개구부(21)의 내부에서 SiO2층간절연막(19)을 에칭하여 불순물확산영역에 이르는 콘택트홀을 형성하는 것이다.
상기 웨이퍼를 ICP 에칭장치에 세트하고, 일예로서 하기의 조건으로 SiO2층간절연막(19)의 에칭을 행하였다.
C6F6유량 20 SCCM
가스압 0.65 ㎩
RF 전원파워 2500 W(2 ㎑)
RF 바이어스파워 50 W(1.8 ㎒)
웨이퍼재치전극온도 0 ℃
이 과정에서는, ICP 에칭장치내에서 생성되는 이온밀도 1012이온/㎤ 의 오더의 고밀도 플라스마에 의해, CF+를 주에칭종으로 한 SiO2층간절연막(19)의 에칭이 진행되었다. 이 결과, 제2도(b)에 나타낸 바와 같이, SiO2층간절연막(19) 및 게이트 SiO2막(13)의 일부가 제거되어서 콘택트홀(22)이 완성되고, 먼저 형성되어 있던 사이드월(18)의 위에는 다시 별개의 사이트월(19)이 형성되었다.
그런데, 이 에칭의 도중에서는 Si3N4에칭정지층(17)이 노출되지만, 이 때 실시에 1에서도 전술한 바와 같이 C6F6의 해리가 고도로 진행되어 플라스마중의 F*생성량이 적어져 있으므로, Si3N4에칭정지층(17)에 대한 선택비가 충분히 크게 유지되었다. 따라서, 이러한 고단차(高段差)상의 SiO2층간절연막(19)의 에칭도 게이트전극(16)데 대미지를 미치지 않고 행할 수 있었다.
[비교예]
여기서는, 실시예 2에 대한 비교예로서, ICP 에칭장치와 C2F6가스로 사용하여 동일한 SRAM 의 셀프얼라인 콘택트를 형성한 예에 대하여 설명한다.
먼저, 제2도(a)에 나타낸 것과 동일한 웨이퍼를 ICP 에칭장치에 세트하고, 일예로서 하기의 조건으로 SiO2층간절연막(19)을 에칭하였다.
C6F6유량 20 SCCM
가스압 0.65 ㎩
RF 파워 2500 W(2 ㎑)
RF 바이어스파워 50 W(1.8 ㎒)
웨이퍼재치전극온도 0 ℃
이 과정에서는, C2F6의 고효율 해리에 의해 CF+가 물론 생성되지만, 동시에 대량의 F*도 생성되고, 이 F*가 에칭도중에 노출된 Si3N4에칭정지층(17)에 대한 선택성을 저하시켰다.
이 결과, 제3도에 나타낸 바와 같이, 침촉(浸觸)된 Si3N4에칭정지층(17b)의 하층측에서 게이트전극(16)도 일부 침촉되고, 사이드월(18b), (19b)의 단면현상도 각각 열화되었다.
이상, 본 발명을 2예의 실시예에 따라서 설명하였으나, 본 발명은 이들의 실시예에 하등 한정되는 것은 아니다.
예를 들면, 전술한 실시예에서는 고밀도플라스마로서 ECR 플라스마 및 ICP를 거론하였으나, 홀로애노드형 플라스마에서는 1012이온/㎤ 헬리콘파플라스마나 TCP 에서는 1012~1013이온/㎤ 의 오더의 이온밀도가 보고되어 있으며, 이들의 어느 것을 이용해도 된다.
에칭가스로서 사용되는 플루오로카본계 화합물 CxFy도 전술한 C6F6에 한정되는 것은 아니고, y ≤ x + 2 의 조건을 만족시키는 한에 있어서 합성이 가능하고, 안정적으로 존재할 수 있으며, 더욱이 용이하게 기체상태로 에칭 챔버내에 도입할 수 있는 화합물이면, 어떠한 것이라도 무방하다.
또한, 본 발명이 적용가능한 다른 프로세스예로서는, ONO(SiOx/SixNy/SiOx)구조를 가진 3층형 게이트절연막상에 있어서의 SiO2층간절연막의 에치백 등이 있다. 이것은 게이트전극의 측벽면에 사이드월을 형성하기 위한 프로세스이지만, 이 경우 에치백을 게이트절연막 중간의 SixNy막상에서 고선택비를 가지고 정지시킬 수 있다.
이외에, 에칭조건, 사용하는 에칭장치 등이 적절히 변경가능한 것은 물론이다.
이상의 설명에서 명백한 바와 같이, 본 발명의 드라이에칭방법에 의하면, 종래는 탄소계 폴리머의 생성량이 과다하여 에칭에는 사용할 수 없었던 C/F 비가 큰 플루오로카본계 화합물을 고밀도플라스마중에서 고도로 해리시켜서, 에칭가스의 주성분으로서 사용할 수 있게 된다. 또한, 이러한 플루오로카본계 화합물은 방전해리조건하에서도 F*를 과잉으로 생성하지 않으므로, SiOx계 재료층과 SixNy계 재료층과의 사이의 선택에칭을 고선택비를 가지고 실현하는 것이 가능해진다.
따라서, 본 발명은 미세한 디자인툴에 따라서 설계되고, 고집적도 및 고성능을 가진 반도체장치의 제조에 적합하며, 그 산업상의 가치는 매우 크다.

Claims (3)

  1. 이온밀도가 1011이온/㎤ 이상의 플라스마를 생성가능한 에칭장치내에서, 일반식 CxFy(단, x, y 는 자연수이며, y ≤x + 2 의 관게를 만족함)로 표현되는 플루오로카본계 화합물을 주체로 하는 에칭가스의 플라스마를 생성시키고, 질화실리콘계 재료층의 위에 형성된 산화실리콘계 재료층을 선택적으로 에칭하는 것을 특징으로 하는 드라이에칭방법.
  2. 이온밀도가 1011이온/㎤ 이상의 플라스마를 생성가능한 에칭장치내에서 일반식 CxFy(단, x, y 는 자연수이며, y ≤x + 2 의 관게를 만족함)로 표현되는 프루오로카본계 화합물을 주체로 하는 에칭가스의 플라스마를 생성시키고, 소정의 형상으로 패터닝된 질화실리콘계 재료층 마스크로 하여 산화실리콘계 재료층의 에칭을 행하는 것을 특징으로 하는 드라이에칭방법.
  3. 제1항 또는 제2항에 있어서, 상기 플루오로카본계 화합물이 헥사플루오로벤젠인 것을 특징으로 하는 드라이에칭방법.
KR1019940005286A 1993-03-19 1994-03-17 드라이에칭방법 KR100274080B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP93-60,755 1993-03-19
JP06075593A JP3252518B2 (ja) 1993-03-19 1993-03-19 ドライエッチング方法

Publications (2)

Publication Number Publication Date
KR940022724A KR940022724A (ko) 1994-10-21
KR100274080B1 true KR100274080B1 (ko) 2000-12-15

Family

ID=13151413

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940005286A KR100274080B1 (ko) 1993-03-19 1994-03-17 드라이에칭방법

Country Status (3)

Country Link
US (1) US5366590A (ko)
JP (1) JP3252518B2 (ko)
KR (1) KR100274080B1 (ko)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
JPH0950986A (ja) * 1995-05-29 1997-02-18 Sony Corp 接続孔の形成方法
US7294578B1 (en) 1995-06-02 2007-11-13 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
US6716769B1 (en) * 1995-06-02 2004-04-06 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
AU6689296A (en) * 1995-08-03 1997-03-05 Micron Technology, Inc. Low cost local interconnect process
US5702976A (en) 1995-10-24 1997-12-30 Micron Technology, Inc. Shallow trench isolation using low dielectric constant insulator
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
WO1997024750A1 (en) * 1995-12-29 1997-07-10 Trikon Technologies, Inc. Method for etching silicon dioxide using unsaturated fluorocarbons
KR100489918B1 (ko) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 플라즈마발생및스퍼터링용코일
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US5928967A (en) * 1996-06-10 1999-07-27 International Business Machines Corporation Selective oxide-to-nitride etch process using C4 F8 /CO/Ar
JP3795634B2 (ja) * 1996-06-19 2006-07-12 株式会社東芝 半導体装置の製造方法
US5930585A (en) * 1996-07-23 1999-07-27 International Business Machines Corporation Collar etch method to improve polysilicon strap integrity in DRAM chips
US6087009A (en) * 1996-08-28 2000-07-11 Natalia Bultykhanova Surface treating methods
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
JP4215294B2 (ja) * 1996-10-30 2009-01-28 独立行政法人産業技術総合研究所 ドライエッチング方法
WO1998019332A1 (fr) * 1996-10-30 1998-05-07 Japan As Represented By Director General Of The Agency Of Industrial Science And Technology Procede de gravure a sec
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US5920763A (en) * 1997-08-21 1999-07-06 Micron Technology, Inc. Method and apparatus for improving the structural integrity of stacked capacitors
US6048763A (en) * 1997-08-21 2000-04-11 Micron Technology, Inc. Integrated capacitor bottom electrode with etch stop layer
US6010935A (en) * 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
US6455394B1 (en) 1998-03-13 2002-09-24 Micron Technology, Inc. Method for trench isolation by selective deposition of low temperature oxide films
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
US6074959A (en) * 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6183655B1 (en) 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
US5976987A (en) * 1997-10-03 1999-11-02 Vlsi Technology, Inc. In-situ corner rounding during oxide etch for improved plug fill
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
KR100311487B1 (ko) * 1997-12-16 2001-11-15 김영환 산화막식각방법
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6387287B1 (en) * 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6133153A (en) * 1998-03-30 2000-10-17 Lam Research Corporation Self-aligned contacts for semiconductor device
US6074952A (en) * 1998-05-07 2000-06-13 Vanguard International Semiconductor Corporation Method for forming multi-level contacts
US6277758B1 (en) * 1998-07-23 2001-08-21 Micron Technology, Inc. Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
US6781212B1 (en) * 1998-08-31 2004-08-24 Micron Technology, Inc Selectively doped trench device isolation
US6117788A (en) * 1998-09-01 2000-09-12 Micron Technology, Inc. Semiconductor etching methods
US6297163B1 (en) * 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6227211B1 (en) 1998-12-07 2001-05-08 Taiwan Semiconductor Manufacturing Company Uniformity improvement of high aspect ratio contact by stop layer
US5968278A (en) * 1998-12-07 1999-10-19 Taiwan Semiconductor Manufacturing Company Ltd. High aspect ratio contact
US6271141B2 (en) 1999-03-23 2001-08-07 Micron Technology, Inc. Methods of forming materials over uneven surface topologies, and methods of forming insulative materials over and between conductive lines
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6656847B1 (en) 1999-11-01 2003-12-02 Taiwan Semiconductor Manufacturing Company Method for etching silicon nitride selective to titanium silicide
JP2001135630A (ja) * 1999-11-10 2001-05-18 Matsushita Electronics Industry Corp 半導体装置の製造方法
US6432833B1 (en) * 1999-12-20 2002-08-13 Micron Technology, Inc. Method of forming a self aligned contact opening
AU2761301A (en) 2000-01-03 2001-07-16 Micron Technology, Inc. Method of forming a self-aligned contact opening
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
US7234274B2 (en) * 2001-07-10 2007-06-26 Kabushikikaisha Ansei Vehicle door
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
US20030059344A1 (en) * 2001-09-24 2003-03-27 Brady Michael D. Pin plate for use in array printing and method for making the pin plate
US7115518B2 (en) * 2001-10-02 2006-10-03 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device comprising forming holes in a multi-layer insulating film
KR100437451B1 (ko) * 2002-05-07 2004-06-23 삼성전자주식회사 트랩형 비휘발성 메모리 장치의 제조 방법
US7927950B2 (en) * 2002-05-07 2011-04-19 Samsung Electronics Co., Ltd. Method of fabricating trap type nonvolatile memory device
KR100655441B1 (ko) * 2005-09-01 2006-12-08 삼성전자주식회사 트랩형 비휘발성 메모리 장치의 제조 방법
JP2004063731A (ja) * 2002-07-29 2004-02-26 Matsushita Electric Ind Co Ltd 多層配線の形成方法及びその検査方法
US7229930B2 (en) 2003-01-13 2007-06-12 Applied Materials, Inc. Selective etching of low-k dielectrics
US7041230B2 (en) * 2003-01-21 2006-05-09 Lam Research Corporation Method for selectively etching organosilicate glass with respect to a doped silicon carbide
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7083903B2 (en) * 2003-06-17 2006-08-01 Lam Research Corporation Methods of etching photoresist on substrates
US20050014383A1 (en) * 2003-07-15 2005-01-20 Bing Ji Use of hypofluorites, fluoroperoxides, and/or fluorotrioxides as oxidizing agent in fluorocarbon etch plasmas
US7297577B2 (en) * 2004-12-30 2007-11-20 Sony Corporation SOI SRAM device structure with increased W and full depletion
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US9691882B2 (en) 2013-03-14 2017-06-27 International Business Machines Corporation Carbon-doped cap for a raised active semiconductor region
EP2908345A1 (en) 2014-02-13 2015-08-19 IMEC vzw Contact formation in Ge-containing semiconductor devices
US20170200786A1 (en) * 2016-01-12 2017-07-13 Globalfoundries Inc. Fabrication of transistor-based semiconductor device using closed-loop fins
JP7387377B2 (ja) 2019-10-18 2023-11-28 キオクシア株式会社 プラズマエッチング方法及びプラズマエッチング装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4180432A (en) * 1977-12-19 1979-12-25 International Business Machines Corporation Process for etching SiO2 layers to silicon in a moderate vacuum gas plasma
US4162185A (en) * 1978-03-21 1979-07-24 International Business Machines Corporation Utilizing saturated and unsaturated halocarbon gases in plasma etching to increase etch of SiO2 relative to Si
US5286344A (en) * 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride

Also Published As

Publication number Publication date
KR940022724A (ko) 1994-10-21
JP3252518B2 (ja) 2002-02-04
JPH06275568A (ja) 1994-09-30
US5366590A (en) 1994-11-22

Similar Documents

Publication Publication Date Title
KR100274080B1 (ko) 드라이에칭방법
US6183655B1 (en) Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
KR100320830B1 (ko) 다층 구조의 실리콘 질화물 층에서 트렌치를 이방적으로에칭하는 프로세스 및 조성물과 금속 산화물 반도체 전계효과 트랜지스터를 형성하는 프로세스
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR100229241B1 (ko) 드라이 에칭방법
KR970000417B1 (ko) 드라이 에칭방법 및 드라이 에칭장치
US5962347A (en) Semiconductor integrated circuit arrangement fabrication method
US7273566B2 (en) Gas compositions
US20060186087A1 (en) Etchant and method of use
KR20040066170A (ko) 질화물 숄더에 대해 높은 민감도를 갖는 자기 정렬 콘택에칭
KR100255405B1 (ko) 드라이에칭방법
KR100612593B1 (ko) 무반사 코팅 및 그의 제조 방법
KR100255404B1 (ko) 드라이에칭방법
JP3277394B2 (ja) 半導体装置の製造方法
KR100595090B1 (ko) 포토레지스트 마스크를 사용한 개선된 엣칭방법
US6227211B1 (en) Uniformity improvement of high aspect ratio contact by stop layer
JP2003511857A (ja) 一様な浅いトレンチ・エッチング・プロファイルのための方法
JP5058406B2 (ja) 半導体装置の製造方法
JP3259529B2 (ja) 選択エッチング方法
US20030096504A1 (en) Method of dry etching for fabricating semiconductor device
JPH0774147A (ja) ドライエッチング方法およびドライエッチング装置
US20070037100A1 (en) High aspect ratio mask open without hardmask
JP3080055B2 (ja) ドライエッチング方法
US20240162043A1 (en) Sidewall Inorganic Passivation for Dielectric Etching Via Surface Modification

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
O035 Opposition [patent]: request for opposition
O074 Maintenance of registration after opposition [patent]: final registration of opposition
O132 Decision on opposition [patent]
FPAY Annual fee payment

Payment date: 20030814

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee