KR100595090B1 - 포토레지스트 마스크를 사용한 개선된 엣칭방법 - Google Patents

포토레지스트 마스크를 사용한 개선된 엣칭방법 Download PDF

Info

Publication number
KR100595090B1
KR100595090B1 KR1020007006881A KR20007006881A KR100595090B1 KR 100595090 B1 KR100595090 B1 KR 100595090B1 KR 1020007006881 A KR1020007006881 A KR 1020007006881A KR 20007006881 A KR20007006881 A KR 20007006881A KR 100595090 B1 KR100595090 B1 KR 100595090B1
Authority
KR
South Korea
Prior art keywords
photoresist
plasma
etching
photoresist mask
processing chamber
Prior art date
Application number
KR1020007006881A
Other languages
English (en)
Other versions
KR20010033406A (ko
Inventor
바바라 하셀덴
존 리
차우 아리마
에디 츄
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20010033406A publication Critical patent/KR20010033406A/ko
Application granted granted Critical
Publication of KR100595090B1 publication Critical patent/KR100595090B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

실리콘 기질위에 배치된 질화물층 엣칭동안 프로파일 제어를 개선하는 방법이 발표된다. 질화물층은 포토레지스트 마스크 아래에 배치된다. 이 방법은 플라즈마 처리 챔버에 질화물층과 포토레지스트 마스크를 포함한 기질을 위치시키고, 염소함유 엣칭제 소스가스를 플라즈마 처리챔버속으로 흐르게 하고, 염소함유 엣칭제 소스가스로부터 플라즈마를 발생시켜 플라즈마 처리챔버내에 염소기초 플라즈마를 형성하고, 염소기초 플라즈마를 사용하여 플라즈마 처리챔버에서 포토레지스트 마스크를 처리하는 단계를 포함한다. 포토레지스트 처리단계는 포토레지스트 마스크의 적어도 일부를 엣칭시키고 질화물층을 통한 엣칭이 없이 포토레지스트 마스크의 수직측벽상에 부동태화 폴리머를 침착시키도록 구성된다.

Description

포토레지스트 마스크를 사용한 개선된 엣칭방법{IMPROVED TECHNIQUES FOR ETCHING WITH A PHOTORESIST MASK}
본 발명은 반도체 기초 디바이스 제조에 관계한다. 특히 본 발명은 제조동안 포토레지스트 마스크 아래에 배치된 층을 엣칭시키는 개선된 방법에 관계한다.
반도체 기초 디바이스, 즉 반도체 재료를 사용하는 전자 디바이스의 제조동안 다양한 층이 기질상에 침착되고 선택적으로 엣칭되어서 필요한 디바이스를 형성한다. 주어진 층을 선택적으로 엣칭시키기 위해서 포토레지스트 마스크가 보통 사용된다.
도 1 은 기질(102)상에 배치된 복수의 층을 포함하는 예시적인 층스택(100)을 보여준다. 기질(102)은 웨이퍼나 유리패널과 같은 형태를 가지며, 이로부터 집적회로나 평판형 디스플레이가 제조될 수 있다. 도 1 에 도시된 층스택은 기질(102)위에 배치된 산화물(SiO2)층(104)을 포함한다. 산화물층(104)은 열산화(습식 또는 건식산화)와 같은 산화물 형성공정을 사용하여 대체로 형성된다. 산화물층(104)위에 질화물(Si3N4 와 같은 SixNy)층(106)이 배치되고 저압 화학 증착(LPCVD)과 같은 질화물 공정을 사용하여 형성된다. 층스택(100)은 집적회로의 활성영역을 형성하는데 사용될 수 있다.
질화물층(106)의 선택적 엣칭을 촉진하기 위해서 스핀-온 공정에 의해서 포토레지스트층(108)이 먼저 침착된다. 이후에 전통적인 포토리소그래피 공정이 사용되어 포토레지스트층(108)을 패턴화시킴으로써 질화물층(106)에서 선택적 영역의 엣칭을 촉진시킬 마스크를 형성한다. 예컨대 포토레지스트 재료를 접촉 또는 스테퍼 리소그래피 시스템에서 노출시킴으로써 포토레지스트층(108)을 패턴화시키고 포토레지스트 재료를 전재시켜 후속 엣칭을 촉진할 마스크를 형성한다. 형성된 포토레지스트 마스크가 도 2 에 도시된다. 이후에 마스크에 의해 보호되지 않는 포토레지스트 하부층 영역(예컨대 질화물층(106)의 영역(202,204))이 엣칭에 의해 제거되어 필요한 특징만이 남는다.
전자 디바이스의 밀도가 증가함에 따라 엣칭공정의 정확도가 더욱 중요해진다. 이것은 디바이스가 촘촘하게 패킹될 때 인접한 특징간에 전기적 단락을 방지하기 위해서 엣칭 프로파일이 주의깊게 조절되어야 한다. 디바이스크기가 서브마이크론(예컨대 0.25마이크론 이하)이 될 경우에 전통적인 포토레지스트 마스크는 후속 엣칭 공정시 곤란을 초래함이 발견되었다.
공지기술의 마스크가 변경없이 포토레지스트 하부층(예, 질화물층(106))을 엣칭하는데 사용될 때 마주치는 문제를 설명하기 위해서 도 3 은 엣칭후 도 2 의 질화물층(106)의 일부를 보여준다. 도 3에서 도 2 의 포토레지스트 특징중 하나를 나타내는 포토레지스트 특징(108(a))이 도시된다. 질화물 엣칭단계후 남아있는 질화물재료를 나타내는 질화물 특징(106(a))역시 도시된다.
도 3 에 도시된 바와 같이 포토레지스트특징(108(a))은 테이퍼형 프로파일을 보인다. 즉 수직 포토레지스트 측벽과 기질평면이 이루는 각도가 수직보다 작다. 포토레지스트층(108) 또는 후속 질화물 엣칭의 등방성 성분을 패턴화하는 포토리소그래피 단계로 인한 포토레지스트 특징의 테이퍼형 프로파일은 질화물엣칭이 진행될 때 질화물층을 통해 하향으로 전파된다. 따라서 질화물특징(106(a)) 역시 테이퍼형 프로파일을 가져서 질화물 특징(122)의 하부 크기가 상부(124)에서의 크기보다 약간 더 넓다.
질화물 특징(106(a))의 테이퍼형 프로파일은 엣칭된 특징 프로파일의 잘못된 조절을 의미한다. 프로파일 조절이 불량하면 질화물 특징의 임계크기(CD)를 조절하기가 곤란하다. 프로파일 조절 또는 CD조절이 매우 불량하면 결과의 엣칭 특징은 최신 고밀도(예, 서브마이크론 이하) 디바이스제조에 사용하기가 부적합하다.
이온 폭격을 증가시키거나 질화물 엣칭 성분을 물리적으로 엣칭 시킴으로써 엣칭 프로파일이 개선될 수 있다. 병렬 플레이트 플라즈마 시스템에서 이온 폭격 증가는 RF 전력 설정을 증가시키고, 엣칭 압력을 감소시키고, 플라즈마 처리챔버의 병렬 플레이트간 갭을 감소시킴으로써 이루어질 수 있다. 이온 폭격량 증가는 더욱 수직한 엣칭 측벽을 가져올 수 있지만 이러한 방법 역시 자체 결함을 가진다.
예컨대 물리적 공정인 이온 폭격은 다양한 층간에 선택적이지 못하다. 따라서 엣칭이 불필요한 층을 포함한 모든 층을 무차별적으로 엣칭시킨다. 게다가 이온 폭격 공정을 정확히 제어하는 것은 매우 어려운 일이다. 그러므로 엣칭 성분의 이온 폭력량을 증가시키면 기질의 다른 특징이나 다른 층을 손상시킬 수 있다. 도 4에서 이온 폭격 성분의 증가는 아래에 배치된 산화물층(104)(영역(402)) 또는 기질(102)(영역(404))을 손상시킬 수 있다.
상기에 비추어서 반도체 기초 디바이스 제조동안 포토레지스트층 아래에 배치된 층의 엣칭을 개선하는 방법이 필요하다.
발명의 요약
한 구체예에서 본 발명은 포토레지스트 하부층을 엣칭하는 방법에 관계한다. 이 방법은 포토레지스트층 하부층과 포토레지스트 하부층 위에 배치되는 포토레지스트 마스크가 있는 기질을 제공하는 과정을 포함한다. 또한 플라즈마 처리챔버에서 염소기초 플라즈마를 사용하여 포토레지스트 마스크를 처리하는 과정을 포함한다. 처리단계는 포토레지스트 마스크의 적어도 일부는 엣칭하고 포토레지스트 마스크의 수직측벽상에 부동태화 폴리머를 침착시키도록 구성된다. 이 방법은 또한 상기 처리후 포토레지스트마스크를 사용하여 후속 엣칭공정에서 포토레지스트 하부층을 엣칭하는 단계를 포함한다.
또다른 구체예에서 본 발명은 기질위에 배치된 포토레지스트 하부층의 엣칭동안 프로파일 조절을 개선시키는 방법에 관계한다. 포토레지스트 하부층은 포토레지스트 마스크 아래에 배치된다. 이 방법은 플라즈마 처리챔버에서 포토레지스트 하부층과 포토레지스트 마스크를 포함하는 기질을 위치시키고, 플라즈마 처리챔버속으로 염소함유 엣칭제 소스가스를 흐르게 하고, 염소함유 엣칭제 소스가스로부터 플라즈마를 발생시켜 플라즈마 처리챔버내에 염소기초 플라즈마를 형성하고, 염소기초 플라즈마를 사용하여 플라즈마 처리챔버에서 포토레지스트 마스크를 처리하는 단계를 포함한다. 포토레지스트 처리단계는 포토레지스트 마스크의 적어도 일부를 엣칭시키고 포토레지스트 하부층을 엣칭시킴이 없이 포토레지스트 마스크의 수직측벽상에 부동태화 폴리머를 침착시키도록 구성된다.
또다른 구체예에서 본 발명은 실리콘 기질위에 배치된 질화물층 엣칭동안 프로파일 조절을 개선시키는 방법에 관계한다. 이 방법은 플라즈마 처리챔버에 질화물층과 포토레지스크 마스크를 포함한 기질을 위치시키고, 플라즈마 처리챔버속으로 염소함유 엣칭제 소스가스를 흐르게 하고, 염소함유 엣칭제 소스가스로부터 플라즈마를 발생시켜 플라즈마 처리챔버내에 염소기초 플라즈마를 형성하고, 염소기초 플라즈마를 사용하여 플라즈마 처리챔버에서 포토레지스트 마스크를 처리하는 단계를 포함한다. 포토레지스트 처리단계는 포토레지스트 마스크의 적어도 일부를 엣칭시키고 질화물층을 엣칭하지 않고 포토레지스트 마스크의 수직 측벽상에 부동태화 폴리머를 침착시키도록 구성된다.
도 1 은 기질상에 복수의 층이 배치된 층스택을 보여준다.
도 2 는 공지기술의 포토리소그래피 공정을 사용하여 패턴화된 이후에 포토레지스트층을 포함한 도 1 층스택을 보여준다.
도 3 은 질화물 엣칭후 도 2 질화물층의 일부를 보여준다.
도 4 는 개선된 프로파일 제어 또는 임계크기제어를 위해서 질화물 엣칭의 이온 폭격 성분이 증가될 때 산화물층 또는 기질의 손상을 보여준다.
도 5 는 본 발명의 포토레지스트 플라즈마 처리공정에 사용하기 적합한 플라 즈마 처리시스템(평행한 플레이트형)을 보여준다.
도 6 은 본 발명의 한 측면에 따라서 포토레지스트 마스크가 플라즈마로 예비처리된 후 도 2 층스택을 보여준다.
도 7 은 질화물 엣칭단계후 도 6 층스택을 보여준다.
도 8 은 본 발명의 한 구체예에 따라서 프로파일 제어 또는 임계크기제어를 개선하도록 구성된 포토레지스크 플라즈마 예비처리 공정에 사용되는 단계를 보여준다.
* 부호설명
100 ... 층스택 102 ... 기잴
104 ... 산화물층 106 ... 질화물층
108 ... 포토레지스트층 114 ... 상부
122 ... 하부 202,204 ... 영역
402,404 ... 영역 500 ... 플라즈마 처리시스템
502 ... 플라즈마 처리챔버 405 ... 전극
506 ... RF 발생기 512 ... RF 유도 플라즈마영역
514 ... 기질 516 ... 척
522 ... 배출구 602 ... 부위
본 발명의 한 측면에 따르면 포토레지스트 하부층(예컨대 질화물층)의 엣칭 프로파일은 포토레지스트 하부층 엣칭에 앞서서 포토레지스트 마스크를 플라즈마 처리함으로써 개선된다. 여기서 포토레지스트 하부층은 포토레지스트 마스크 아래에 배치되며 포토레지스트마스크를 사용하여 엣칭되도록 구성된 하나 이상의 층을 나타낸다. 도면에 도시된 층은 예시적인 것이며 다양한 층이 처리된 포토레지스트 마스크를 사용하여 엣칭되도록 다양한 층스택에 존재할 수 있다. 게다가 도시된 층 사이에 하나 이상의 다른층이 존재할 수 있다. 이러한 층은 접착촉진층, 시드층, 또는 기타층을 포함한다. 따라서 "위", "위에 배치되는", "아래에" 및 "아래에 배치되는"과 같은 위치 용어는 층간에 직접적인 접촉을 반드시 필요로 하지는 않는다.
한 구체예에서, 플라즈마 포토레지스트 예비처리단계는 포토레지스트 특징의 수직표면을 따라 부동태화 폴리머를 침착시킴으로써 포토레지스터 특징의 테이퍼를 감소시키도록 구성된다. 포토레지스트 하부층 엣칭에 앞서 포토레지스트 특징의 프로파일을 개선시킴으로써 포토레지스트 하부층으로부터 엣칭된 특징의 프로파일이 개선된다.
추가로, 포토레지스트 특징의 수직측벽상에 있는 부동태화 폴리머는 포토레지스트 하부층 엣칭동안 포토레지스트특징의 프로파일을 유지시킴으로써 포토레지스트 하부층으로부터 후속으로 엣칭되는 특징의 프로파일 조절을 개선시킨다. 개선된 기술을 사용함으로써 포토레지스트 하부층으로부터 엣칭된 특징의 프로파일 조절이 고이온폭격엣칭을 요구하지 않으면서 달성된다. 높은 물리적 엣칭 성분이 없을 경우에 포토레지스트 하부층 엣칭시 기질의 영역 또는 다른 층의 손상이 최소화된다.
본 발명의 한 측면에 따르면 포토레지스트 하부층(예컨대 도 2 의 질화물층(106))과 포토레지스트 마스크(도 2 의 포토레지스트 마스크(108))가 위에 배치된 기질은 먼저 플라즈마 환경에서 처리되어서 포토레지스트 하부층 엣칭에 앞서서 포토레지스트 마스크가 처리된다. 포토레지스트 플라즈마 예비처리는 실제 포토레지스트 하부층 엣칭에 앞서서 포토레지스트 마스크를 처리하는데 상이한 플라즈마를 사용한다는 점에서 포토레지스트 하부층 엣칭에 사용된 공정과 구별되는 공정이다. 포토레지스트 플라즈마 예비처리 공정동안 포토레지스트 하부층 재료의 일부가 엣칭될 수 있지만 포토레지스트 플라즈마 예비처리는 포토레지스트 하부층 엣칭에 사용되는 후속 엣칭고정의 속도보다 느린 속도로 포토레지스트 하부층(예, 질화물층)을 엣칭시킨다.
한 구체예에서 포토레지스트 플라즈마 예비처리와 포토레지스트 하부층 엣칭이 단일 플라즈마 처리 챔버에서(두개의 상이한 단계에서) 수행될 수 있다. 사실상 포토레지스트 플라즈마 예비처리와 포토레지스트 하부층 엣칭이 진공을 파괴하지 않고도 동일한 플라즈마 처리챔버에서 수행될 수 있다. 그러나 포토레지스트 플라즈마 예비처리는 포토레지스트 하부층 엣칭에 사용된 처리챔버와 상이한 플라즈마 처리챔버에서 수행될 수도 있다.
한 구체예에서 포토레지스트 플라즈마 예비처리는 4420XL(Lam Research Corp., Fremont, California)로 알려진 병렬판 플라즈마 처리챔버에서 수행된다. 그러나 고밀도 저압 플라즈마 시스템(정전용량 연결식, 유도 연결식, 전자 시클로트론 공명(ECR)시스템을 포함한)과 같은 다른 적당한 플라즈마 시스템이 사용될 수 있다. 예비처리는 적당한 다이오드 또는 트리오드 기초 시스템에서 수행될 수도 있다. 따라서 설명을 수월하게 하고자 4420XL이 도시될지라도 본 발명의 포토레지스트 플라즈마 예비처리(또는 후속 포토레지스트 하부층 엣칭)가 적당한 플라즈마 처리시스템을 사용하여 수행될 수 있다.
도 5에서, 플라즈마 처리 시스템(500)은 플라즈마 처리 챔버(502)를 포함한다. 챔버(502)위에 도 5 의 예에서 샤워헤드 구성을 갖는 가스분배장치로 구현되는 전극(504)이 배치된다. 전극(504)은 동조 네트워크(508)를 통해서 고주파(RF)발생기(506)에 의해 여자된다. 도 5에서 RF 발생기(506)는 약 13.56MHz 주파수의 RF 에너지를 발생하지만 다른 적합한 주파수도 사용될 수 있다.
샤워헤드(504)는 엣칭제 소스가스를 분배장치와 기질(514)사이에 있는 RF 유도 플라즈마 영역(512)에 방출시키기 위한 가스분배장치이다. 그러나 가스분배링 또는 챔버벽에 배치된 포트와 같은 다른 가스분배장치가 사용될 수 있다. 포토레지스트 마스크 아래에 배치된 포토레지스트 하부층이 위에 있는 기질(514)은 플라즈마 처리챔버(502)에 도입되고 척(516)상에 배치된다. 도 5에서 척(516)은 정전기척(ESC)이지만 진공척, 기계식척 또는 작업편 홀더일 수 있다.
헬륨과 같은 열교환 가스가 척(516)과 기질(514)사이의 영역에 도입되어 기질과 척 사이에 열전달을 조절함으로써 균일하고 재현성있는 공정 결과가 보장된다. 포토레지스트 플라즈마 예비처리(또는 포토레지스트 하부층의 후속 엣칭)을 촉진하기 위해서 적절한 엣칭제 소스가스가 샤워헤드(504)를 통해 흐르고 RF 발생기(506)에 의해 공급되 RF 에너지에 의해 발화된다. 포토레지스트 플라즈마 예비 처리동안(또는 후속 엣칭동안) 형성된 부산물 가스의 일부는 배출구(522)를 통해 챔버(502)로부터 배출된다(적절한 터보 펌프 장치를 사용하여). 도 5에서 배출구(522)는 링모양의 구조를 가지며 챔버바닥에 배치된다. 절대적으로 필요한 것은 아니지만 전극(502)과 기질(114)간의 갭이 변화되어서(전극이나 기질을 이동시킴으로써) 공정을 최적화시킬 수 있다.
한 구체예에서 포토레지스트 마스크의 플라즈마 처리는 염소기초 플라즈마를 사용하여 수행된다. 염소기초 플라즈마는 염소함유 가스(예 Cl2)를 포함한 엣칭제 소스가스로부터 형성될 수 있다. HBr, 산소, 질소 또는 He과 같은 추가가스가 첨가될 수 있다(Cl2 가스에).
염소기초 플라즈마는 포토레지스트 특징부를 아래로 엣칭하도록 구성된다. 포토레지스트 플라즈마 예비처리동안 부동태화 폴리머가 포토레지스트 특징부의 수직 측벽을 따라 침착된다. 도 6에서 포토레지스트 플라즈마 예비처리는 포토레지스트 특징(108(a))의 부위(602)를 엣칭시키고 포토레지스트 특징의 수직 표면을 따라 부동태화 폴리머를 침착시킨다. 이해의 편이를 위해 예비처리전 포토레지스트 특징은 도 6에서 점선으로 도시되며 플라즈마 예비처리후 포토레지스트 특징은 실선으로 도시된다. 부동태화 폴리머의 침착은 포토레지스트 특징의 테이퍼를 감소시킴으로써 포토레지스트 특징의 프로파일을 향상시킨다. 이러한 개선된 프로파일은 포토레지스트 하부층으로부터 엣칭된 특징으로 전달 또는 전파된다.
포토레지스트 하부층에서 노출된 재료의 일부가 포토레지스트 플라즈마 처리 동안 엣칭될 수도 있다. 예컨대 수백 옹스트롬의 질화물 재료가 포토레지스트 플라즈마 예비처리동안 엣칭된다. 그러나 포토레지스트 플라즈마 예비처리공정이 포토레지스트 하부층을 엣칭시키지 않는 것이 좋다. 예컨대 포토레지스트 하부층(예, 질화물층) 두께의 5-25%정도가 포토레지스트 플라즈마 예비처리 공정동안 엣칭된다.
포토레지스트 플라즈마 예비처리 공정동안 엣칭된 질화물 재료의 일부는 포토레지스트 특징(108(a))의 수직측벽을 따라 침착되는 부동태화 폴리머속에 포함될 수 있다. 포토레지스트 플라즈마 예비처리동안 포토레지스트 하부층으로부터 엣칭된 재료의 포함으로 측벽은 후속 포토레지스트하부층 엣칭을 더 잘 견딜 수 있게 된다. 결과적으로 후속 포토레지스트 하부층 엣칭동안 더 적은 포토레지스트 수직 측벽이 제거되므로 포토레지스트 프로파일은 더 양호하게 유지된다.
포토레지스트 마스크의 플라즈마 처리후 플라즈마 엣칭 챔버에서 적당한 엣칭제를 사용하여 포토레지스트 하부층이 엣칭된다. 도 7에서 질화물층(106)은 불소함유 소스가스를 사용한 불소기초 플라즈마를 사용하여 엣칭된다. 불소함유 소스가스는 SF6, He/SF6, SF6/He/CHF3, SF6/He/HBr, SF6/O2, CF4, CF4/He 이다. 필요할 경우 CHF3 또는 He 와 같은 첨가제가 포함될 수 있다. 한 구체예에서 플라즈마 처리단계 직후에 질화물층 엣칭이 동일한 플라즈마 처리챔버(예, 4420XL)에서 수행된다. 진공을 파괴할 필요가 없으므로 기질 생산량이 향상된다. 그러나 이것은 필요조건이 아니며 포토레지스트 하부층은 임의의 적당한 플라즈마 처리챔버에서 엣 칭될 수 있다.
도 7에서 개선된 포토레지스트 특징(108(a)) 프로파일이 질화물 특징(106(a))까지 아래로 전파된다. 아래에 배치된 질화물 특징(106(a))의 프로파일이 유리하게 조절된다. 이렇게 향상된 프로파일 조절이 공지기술에서는 필요한 질화물 엣칭 단계의 이온 폭격 성분 증가를 필요로 하지 않음을 주목하시오. 향상된 프로파일 조절은 아래에 배치된 엣칭된 질화물 특징의 임계크기(CD)조절을 개선시킴으로써 엣칭된 질화물 특징이 최선 고밀도 디바이스 제조에 사용하기 더욱 적합하게 된다.
게다가 포토레지스트 플라즈마 예비처리는 미시적 홈형성(microtrenching)을 감소시킨다. 마이크로트렌칭은 엣칭속도의 마이크로로딩(microloading)(즉, 엣칭이 치밀한 영역에서 보다 개방영역에서 더 빠른 속도로 일어나는 현상)으로 인해 엣칭된 특징의 밑면 또는 개방영역에서 의도하지 않은 홈이 형성되는 현상이다. 예컨대 마이크로트렌칭은 엣칭된 질화물 특징(106(a))의 밑면에서 작은 홈이 산화물층(104)(또한 기질(102)자체)에 형성될 수 있게 된다. 마이크로트렌칭의 메카니즘은 완전히 이해될 수 있는 것이 아니지만 포토레지스트 하부층의 엣칭동안 포토레지스트 특징의 수직측벽에 플라즈마 외장으로부터 나오는 이온이 반사될 때 마이크로트렌치가 형성될 수 있다. 도 4에서 예컨대 이온은 화살표(406)방향으로 포토레지스트 수직 측벽으로부터 반사된다.
본 발명의 공정을 사용하여 포토레지스트 마스크가 처리된 기질에서 마이크로트렌칭의 감소는 부분적으로 반사 이온을 되튀게하는 이용가능한 수직표면을 감 소시키는 포토레지스트 특징의 높이 감소 때문이다. 정확한 메카니즘에 관계없이 본 발명의 포토레지스트 플라즈마 예비처리 공정을 사용하여 포토레지스트 마스크가 미리 처리된 기질에서 마이크로트렌칭은 크게 감소한다.
예시적인 포토레지스트 플라즈마 예비처리공정에서 1500옹스트롬 두께의 질화물층 아래에 배치된 100-150옹스트롬 두께의 산화물층이 위에 있는 8인치 실리콘 웨이퍼가 사용된다. 질화물층은 10,000옹스트롬 두께의 포토레지스트 마스크를 써서 마스킹된다. 포토레지스트마스크는 0.25마이크론 너비 만큼 작은 구멍을 포함하여 다양한 폭의 구멍을 가진다.
포토레지스트 플라즈마 예비처리공정은 앞서 언급된 4420XL 플라즈마 처리 시스템에서 약 20초간 행해진다. 그러나 처리는 10 내지 60초, 특히 15 내지 30초간 진행될 수도 있다.
포토레지스트 플라즈마 예비처리공정의 전력은 약 275와트(W)이다. 그러나 전력은 100 내지 500와트, 특히 225 내지 400와트일 수 있다.
포토레지스트 플라즈마 예비처리공정의 챔버압력은 약 425 밀리토르(mT)이다. 그러나 챔버압력은 250 내지 700mT, 특히 300내지 500mT일 수 있다.
포토레지스트 예비처리공정의 Cl2 가스 유속은 약 200 표준 입방 센티미터/분(sccm)이다. 그러나 Cl2 유속은 100 내지 400, 특히 150 내지 250sccm일 수 있다.
포토레지스트 예비처리공정의 헬륨가스 유속은 약 400 표준 입방 센티미터/ 분(sccm)이다. 그러나 He 유속은 0 내지 500, 특히 300 내지 5000sccm일 수 있다. 다른 시스템에서나 다른 기질크기가 사용될 때 유속은 변할 수 있다. 그러나 이들 가스의 비율은 적당한 플라즈마 처리시스템에서 포토레지스트 마스크의 플라즈마 처리에 적합하다.
포토레지스트 플라즈마 예비처리공정의 갭은 0.3cm 이다. 그러나 갭은 0.6 내지 1.2cm, 특히 0.7 내지 1.0cm일 수 있다.
포토레지스트 플라즈마 예비처리공정의 헬륨냉각압력은 약 6토르(T)이다. 그러나 헬륨냉각압력은 0 내지 12T, 특히 0 내지 10T일 수 있다.
포토레지스트 플라즈마 예비처리 공정의 척 온도는 약 40℃이다. 그러나 척온도는 10 내지 65℃, 특히 20 내지 50℃일 수 있다.
도 8에서 포토레지스트 플라즈마 예비처리공정에 사용된 공정이 프로파일 조절 또는 임계크기조절을 개선하도록 구성된다. 단계(802)에서 엣칭될 포토레지스트 하부층이 위에 배치된 기질이 제공된다. 포토레지스트 하부층은 패턴화된 포토레지스트 마스크 아래에 배치된다. 한 구체예에서 포토레지스트 하부층은 얇은 산화물층위에 놓이는 질화물층이다(도 1 의 질화물층(106), 산화물층(104)). 단계(804)에서 포토레지스트 마스크가 염소기초 플라즈마를 사용하여 처리되어서 포토레지스트 특징부위를 엣칭하고 포토레지스트마스크의 수직 측벽상에 부동태화 폴리머를 침착시킨다. 단계(806)에서 적당한 엣칭제를 사용하여 포토레지스트 하부층이 엣칭된다. 질화물층 엣칭시 불소기초 엣칭제가 사용된다. 이후에 기질이 추가 가공단계를 받아서 평판형 디스플레이, 집적회로(DRAM 회로 또는 기타 트랜지스터 기초회로)와 같은 반도체 기초디바이스를 형성한다.
공정 매개변수 범위가 4420XL 플라즈마 처리시스템에 대해 제시되지만 또다른 플라즈마 처리시스템이 사용될 경우에 매개변수값은 변화될 수 있다. 층스택은 반사방지코팅(ARC) 또는 하부 반사방지코팅(BARC)층(유기물 또는 무기물)을 포토리소그래피를 목적으로 포토레지스트층 아래에 사용할 수 있다. ARC 또는 BARC 층은 포토레지스트 플라즈마 예비처리에 앞서 패턴화될 수 있다.

Claims (20)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 다음을 포함하는, 포토레지스트 마스크(108) 아래에 배치되고 기질(102)위에 배치되는 질화물 포토레지스트 하부층(106) 엣칭동안의 프로파일 조절 개선 방법.
    상기 포토레지스트 하부층과(106) 포토레지스트 마스크(108)를 포함한 기질(102)을 플라즈마 처리 챔버(502)에 위치시키고;
    염소-함유 엣칭제 소스가스를 플라즈마 처리챔버(502)에 흐르게 하고, 여기서 상기 염소-함유 엣칭제 소스가스는 Cl2, Cl2/He, Cl2/HBr, Cl2/HBr/He, Cl2/O2 그리고 Cl2/N2으로 구성된 그룹으로부터 선택되며;
    상기 염소함유 엣칭제 소스가스로부터 플라즈마를 발생시켜 상기 플라즈마 처리챔버 내에 염소기초 플라즈마를 형성하고; 그리고
    상기 플라즈마 처리챔버 내에서 상기 염소기초 플라즈마를 사용하여 포토레지스트 마스크를 처리하여 포토레지스트 하부층을 엣칭시키지 않고 포토레지스트 마스크의 수직측벽상에 부동태화 폴리머를 침착시키고 포토레지스트 마스크의 일부를 엣칭함.
  9. 제 8 항에 있어서, 상기 포토레지스트 하부층이 포토레지스트 마스크 바로 아래에서 포토레지스트 마스크와 직접 접촉함을 특징으로 하는 방법.
  10. 제 8 항에 있어서, 상기 플라즈마 처리챔버에 RF 에너지를 공급함으로써 상기 엣칭제 소스가스를 사용하여 염소기초 플라즈마를 형성함을 특징으로 하는 방법.
  11. 제 8 항에 있어서, 상기 포토레지스트 마스크 처리후 상기 염소기초 플라즈마와 상이한 플라즈마를 써서 상기 포토레지스트 마스크를 이용하여 상기 포토레지 스트 하부층을 엣칭하는 단계를 더욱 포함하는 방법.
  12. 제 11 항에 있어서, 상기 포토레지스트마스크 처리 및 상기 포토레지스트 하부층 엣칭이 상기 플라즈마 처리챔버에서 모두 수행됨을 특징으로 하는 방법.
  13. 제 8 항에 있어서, 상기 포토레지스트 하부층이 질화물층이고 상기 기질이 실리콘 기초 기질임을 특징으로 하는 방법.
  14. 제 13 항에 있어서, 포토레지스트 마스크 처리후 상기 포토레지스트 마스크를 사용하여 포토레지스트 하부층을 엣칭하는 단계를 더욱 포함하며, 포토레지스트 하부층 엣칭단계가 불소기초 플라즈마로 엣칭시키는 과정을 포함함을 특징으로 하는 방법.
  15. 제 14 항에 있어서, 상기 불소기초 플라즈마가 SF6, SF6/He, SF6/He/CHF 3, CF4, He/SF6/HBr 및 He/CF4에서 선택된 제 2 엣칭제 소스가스로부터 형성됨을 특징으로 하는 방법.
  16. 제 8 항에 있어서, 상기 처리단계가 예정된 기간동안 상기 염소기초 플라즈마를 써서 포토레지스트층을 엣칭하는 과정을 포함함을 특징으로 하는 방법.
  17. 삭제
  18. 삭제
  19. 삭제
  20. 제 8 항에 있어서, 상기 플라즈마 처리챔버가 유도연결된 플라즈마 처리챔버 또는 병렬판 플라즈마 처리챔버임을 특징으로 하는 방법.
KR1020007006881A 1997-12-23 1998-12-11 포토레지스트 마스크를 사용한 개선된 엣칭방법 KR100595090B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US8/997,346 1997-12-23
US08/997,346 1997-12-23
US08/997,346 US6121154A (en) 1997-12-23 1997-12-23 Techniques for etching with a photoresist mask
PCT/US1998/026502 WO1999033095A1 (en) 1997-12-23 1998-12-11 Improved techniques for etching with a photoresist mask

Publications (2)

Publication Number Publication Date
KR20010033406A KR20010033406A (ko) 2001-04-25
KR100595090B1 true KR100595090B1 (ko) 2006-07-03

Family

ID=25543912

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007006881A KR100595090B1 (ko) 1997-12-23 1998-12-11 포토레지스트 마스크를 사용한 개선된 엣칭방법

Country Status (7)

Country Link
US (1) US6121154A (ko)
EP (1) EP1042791B1 (ko)
JP (1) JP4351806B2 (ko)
KR (1) KR100595090B1 (ko)
DE (1) DE69840237D1 (ko)
TW (1) TW464976B (ko)
WO (1) WO1999033095A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101414307B1 (ko) * 2006-05-17 2014-08-06 램 리써치 코포레이션 반도체 처리에 있어서 마스크를 제공하는 방법 및 장치

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1301840B1 (it) * 1998-06-30 2000-07-07 Stmicroelettronica S R L Metodo per incrementare la seletttvita' tra un film di materialefotosensibile ed uno strato da sottoporre ed incisione in processi
US6110779A (en) * 1998-07-17 2000-08-29 Advanced Micro Devices, Inc. Method and structure of etching a memory cell polysilicon gate layer using resist mask and etched silicon oxynitride
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US6461969B1 (en) * 1999-11-22 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Multiple-step plasma etching process for silicon nitride
US6660646B1 (en) * 2000-09-21 2003-12-09 Northrop Grumman Corporation Method for plasma hardening photoresist in etching of semiconductor and superconductor films
JP4128365B2 (ja) * 2002-02-07 2008-07-30 東京エレクトロン株式会社 エッチング方法及びエッチング装置
US6923920B2 (en) * 2002-08-14 2005-08-02 Lam Research Corporation Method and compositions for hardening photoresist in etching processes
US6797610B1 (en) 2002-12-11 2004-09-28 International Business Machines Corporation Sublithographic patterning using microtrenching
US7232766B2 (en) * 2003-03-14 2007-06-19 Lam Research Corporation System and method for surface reduction, passivation, corrosion prevention and activation of copper surface
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7682516B2 (en) * 2005-10-05 2010-03-23 Lam Research Corporation Vertical profile fixing
US7341953B2 (en) * 2006-04-17 2008-03-11 Lam Research Corporation Mask profile control for controlling feature profile
CN104465386A (zh) * 2013-09-24 2015-03-25 中芯国际集成电路制造(北京)有限公司 半导体结构的形成方法
CN107968046B (zh) * 2016-10-20 2020-09-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
US11675278B2 (en) 2021-01-14 2023-06-13 Texas Instruments Incorporated Exhaust gas monitor for photoresist adhesion control

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4613400A (en) * 1985-05-20 1986-09-23 Applied Materials, Inc. In-situ photoresist capping process for plasma etching
US4713141A (en) * 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4844773A (en) * 1987-07-16 1989-07-04 Texas Instruments Incorporated Process for etching silicon nitride film
JP2824584B2 (ja) * 1989-05-25 1998-11-11 日本電信電話株式会社 ドライエツチング方法
JP3729869B2 (ja) * 1990-09-28 2005-12-21 セイコーエプソン株式会社 半導体装置の製造方法
JP2758771B2 (ja) * 1992-03-11 1998-05-28 シャープ株式会社 素子分離領域の形成方法
US5275692A (en) * 1992-06-22 1994-01-04 Keystone Applied Research Method for fabricating integrated circuits
US5332653A (en) * 1992-07-01 1994-07-26 Motorola, Inc. Process for forming a conductive region without photoresist-related reflective notching damage
JPH08321484A (ja) * 1995-05-24 1996-12-03 Nec Corp 半導体装置の製造方法
US5726102A (en) * 1996-06-10 1998-03-10 Vanguard International Semiconductor Corporation Method for controlling etch bias in plasma etch patterning of integrated circuit layers

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101414307B1 (ko) * 2006-05-17 2014-08-06 램 리써치 코포레이션 반도체 처리에 있어서 마스크를 제공하는 방법 및 장치

Also Published As

Publication number Publication date
DE69840237D1 (de) 2009-01-02
KR20010033406A (ko) 2001-04-25
WO1999033095A1 (en) 1999-07-01
EP1042791B1 (en) 2008-11-19
US6121154A (en) 2000-09-19
JP4351806B2 (ja) 2009-10-28
TW464976B (en) 2001-11-21
JP2001527287A (ja) 2001-12-25
EP1042791A1 (en) 2000-10-11

Similar Documents

Publication Publication Date Title
KR101111924B1 (ko) 이중층 레지스트 플라즈마 에칭 방법
US6335292B1 (en) Method of controlling striations and CD loss in contact oxide etch
US5980768A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
US7056830B2 (en) Method for plasma etching a dielectric layer
KR100595090B1 (ko) 포토레지스트 마스크를 사용한 개선된 엣칭방법
US20050037624A1 (en) Method for plasma etching performance enhancement
EP0814500B1 (en) Method for etching polycide structures
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
EP0536968A2 (en) Process for forming contact holes in the fabrication of semi-conducteur devices
JP3277394B2 (ja) 半導体装置の製造方法
US7018780B2 (en) Methods for controlling and reducing profile variation in photoresist trimming
JP2001110784A (ja) プラズマ処理装置および処理方法
CN113597662B (zh) 等离子体处理方法
US5968278A (en) High aspect ratio contact
KR20050035674A (ko) 실리콘 이방성 식각 방법
US20030153193A1 (en) Etching method
JP3172340B2 (ja) プラズマ処理装置
KR102660694B1 (ko) 플라스마 처리 방법
US20240290623A1 (en) Processing methods to improve etched silicon-and-germanium-containing material surface roughness
JP2639402B2 (ja) 酸化物層のテーパーエッチング方法
JP2000150492A (ja) ドライエッチング方法
KR20020044633A (ko) 반도체 장치의 제조에서 건식 식각 방법.

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130611

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140611

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150608

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160613

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170613

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20180612

Year of fee payment: 13

EXPY Expiration of term