KR970000417B1 - 드라이 에칭방법 및 드라이 에칭장치 - Google Patents
드라이 에칭방법 및 드라이 에칭장치 Download PDFInfo
- Publication number
- KR970000417B1 KR970000417B1 KR1019890014762A KR890014762A KR970000417B1 KR 970000417 B1 KR970000417 B1 KR 970000417B1 KR 1019890014762 A KR1019890014762 A KR 1019890014762A KR 890014762 A KR890014762 A KR 890014762A KR 970000417 B1 KR970000417 B1 KR 970000417B1
- Authority
- KR
- South Korea
- Prior art keywords
- etching
- gas
- deposition
- power
- sample
- Prior art date
Links
- 238000000034 method Methods 0.000 title claims description 33
- 238000001312 dry etching Methods 0.000 title claims description 20
- 238000005530 etching Methods 0.000 claims description 192
- 239000007789 gas Substances 0.000 claims description 166
- 230000008021 deposition Effects 0.000 claims description 69
- 238000006243 chemical reaction Methods 0.000 claims description 11
- 230000000694 effects Effects 0.000 description 26
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 8
- 230000008569 process Effects 0.000 description 7
- 238000007740 vapor deposition Methods 0.000 description 7
- 239000000463 material Substances 0.000 description 6
- 238000001020 plasma etching Methods 0.000 description 6
- 229910004298 SiO 2 Inorganic materials 0.000 description 5
- 230000007423 decrease Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 229910052710 silicon Inorganic materials 0.000 description 5
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 4
- 239000007772 electrode material Substances 0.000 description 4
- 239000004065 semiconductor Substances 0.000 description 4
- 239000010703 silicon Substances 0.000 description 4
- 239000000758 substrate Substances 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 3
- 238000005459 micromachining Methods 0.000 description 3
- 230000000737 periodic effect Effects 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- SLLGVCUQYRMELA-UHFFFAOYSA-N chlorosilicon Chemical compound Cl[Si] SLLGVCUQYRMELA-UHFFFAOYSA-N 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000003247 decreasing effect Effects 0.000 description 2
- 238000010586 diagram Methods 0.000 description 2
- 238000010438 heat treatment Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 230000004044 response Effects 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 230000001360 synchronised effect Effects 0.000 description 2
- 229910003902 SiCl 4 Inorganic materials 0.000 description 1
- 230000002159 abnormal effect Effects 0.000 description 1
- 230000001133 acceleration Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 230000002238 attenuated effect Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 230000007062 hydrolysis Effects 0.000 description 1
- 238000006460 hydrolysis reaction Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000009434 installation Methods 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000001748 luminescence spectrum Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- 235000012239 silicon dioxide Nutrition 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000007787 solid Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- WQJQOUPTWCFRMM-UHFFFAOYSA-N tungsten disilicide Chemical compound [Si]#[W]#[Si] WQJQOUPTWCFRMM-UHFFFAOYSA-N 0.000 description 1
- 229910021342 tungsten silicide Inorganic materials 0.000 description 1
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32139—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
- H01L21/30655—Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3083—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
- H01L21/3085—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/913—Diverse treatments performed in unitary chamber
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Drying Of Semiconductors (AREA)
- ing And Chemical Polishing (AREA)
Abstract
내용 없음.
Description
제1도는 본 발명의 드라이 에칭장치의 요부의 단면구조의 일예를 나타낸 도.
제2도 및 제4도는 본 발명의 일 실시예를 설명하기 위한 도.
제3도는 본 발명의 TM 에칭의 타임스케쥴의 일예를 나타낸 도.
제5도는 본 발명의 효과의 일예를 나타낸 그래프.
제6도는 본 발명에 있어서의 대표적인 타임스케쥴의 1사이클을 나타낸 도이다.
* 도면의 주요부분에 대한 부호의 설명
1 : 마이크로파 발생기 2 : 도파관
3 : 진공용기(방전관) 4 : 자장발생용 전자코일
6 : 시료대 7 : 시료
8 : 고정전위 부여전극 9 : 고주파 전력인가전원
10 : 마이크로파 발생용 전원 11 : 가스도입관
12 : 가스배출구 14 : 발광모니터 처리장치
15a,15b,15c : 가스라인 16 : 가스절환 제어기
17 : 일괄제어기 20 : 실리콘기판
21 : 실리콘산화막 22 : 폴리실리콘
23 : 레지스트 마스크 24,25 : 에칭 깊이
본 발명은 드라이 에칭 방법 및 그것에 사용되는 드라이 에칭 장치에 관한 것으로, 특히 방전 처리 가스로서 증착가스(deposition gas)와 에칭가스를 교대로 주기적으로 송급하여, 다른 가스 분위기 중에서 교대로 플라즈마 처리하는 소위 가스핑법 또는 타임모듈레트드 에칭법을 행하기에 적합한 드라이 에칭방법 및 드라이 에칭장치에 관한 것이다.
반도체 LSI의 미세화 및 고집적화에 따라, 그 제조 프로세서에 있어서 서브㎛ 레벨에서 극히 고정밀도의 에칭기술이 요구되고 있다. 이 종류의 미세 가공이 되면 형성해야 할 구멍의 직경(r)에 대한 깊이 (d)의 비율(d/r)로 표시되는 아스팩트비(aspect ratio)가 커지고, 이 아스팩트비가 클수록 에칭속도가 작아지고, 또 패턴 사이즈에 의하여 가공형상에도 차가 생기게 된다.
본 발명자는, 이 종류의 미세가공에 있어서, 에칭속도의 아스팩트비 의존성을 저감하기 위하여, 마이크로파 플라즈마 에칭장치를 사용하여, 에칭가스로서 SF6, 증착가스로서 CCl4를 사용하고 이들의 가스를 순차 수초마다 교대로 절환하여, SF6의 플라즈마 처리와 CCl4의 플라즈마 에칭을 주기적으로 행하는 방법을 제안했다. 이 방법은 시간적으로 가스 용기의 절환을 전제로 하기 때문에 통상 가스핑 또는 타임모듈레트드(TM라 약칭함) 에칭법이라고 불리고 있으나, 이들의 가스를 동시에 혼합하여 플라즈마 에칭하는 방법에 비교하여, 에칭속도 및 얻어지는 형상의 아스팩트비 의존성의 감소 효과는 현저하다. 또한 이 종류의 기술에 관하여서는 제35회 응용물리학 관계 연합강연회, 예고집 28p, 1988년 3월 28일 및 제18회 (1986, International) 고체 상태장치 및 물질에 관한 회의(Conference on Solid State Devices and Meterials)도꼬도, 1986. pp. 229-232에서 이미 논해되고 있다.
상기 종래의 TM 에칭법은, 확실하게 에칭속도 및 얻어지는 형상의 아스팩트비 의존성이 상당히 개선 되어 왔다. 형성해야할 패턴의 사이즈에 따라 에칭속도차가 적어졌다해도, 이것은 인접하는 2개의 패턴간의 간극이 0.5㎛ 정도의 경우의 일이다. 이 간극이 0.3㎛ 이하라고 하는 극도로 미세한 에칭에 있어서는, 아직 실용에 만족되는 에칭 정밀도가 얻어지고 있지 않다. 즉, 가공치수가 좁은 곳과 넓은 곳에서 에칭속도가 차가 생기는 일반적으로 마이크로 로딩 효과라고 불리워지고 있는 현상이 여전히 문제가 되고 있다. 좁은 간극과, 더 넓은 간극을 가지는 패턴을, 각각의 간극을 두고 에칭속도차를 가능한한 작게하여 동시에 에칭에 의하여 형성하는, 즉 상기 마이크로 로딩효과를 감소시켜 에칭을 행할 수가 있는 실용성이 높은 드라이 에칭방법 및 드라이 에칭장치를 실현하는 일이 중요한 기술과제로 되어 있다.
본 발명의 제1의 목적은 상기 과제를 해결하고, 마이크로 로딩효과를 감소 시켜 0.3㎛ 이하의 미세가공을 가능하게 하는 개량된 드라이 에칭방법을 제공하는데 있다.
본 발명의 제2의 목적은 개량된 드라이 에칭장치를 제공하는데 있다.
상기 제1의 목적은, 증착 가스와 에칭가스를 소정시간 간격으로 교대로 절환하여 주기적으로 가스교환을 하면서 플라즈마 처리하는 타임 모듈레트드 드라이에칭(time modulated dry etching)방법에 있어서, 에칭가스 주기(에칭가스가 도입되는 주기)에 들어가기 전에 플라즈마 발생을 위한 전력을 투입하여 플라즈마 방전을 개시하고, 에칭가스 주기의 대략 종점에서 상기 전력을 차단하고, 이어서 소정의 방전정지 기간를 두고 증착가스 주기(증착가스가 도입되는 주기)중에 다시 상기 전력을 투입하고, 가스절환의 시간 스케쥴에 맞추어 플라즈마 발생을 위한 전력을 주기적으로 투입하는 것을 특징으로 하는 드라이 에칭방법에 의하여 달성된다.
상기 에칭가스 주기의 적어도 초기에 소정시간, 에칭가스 주기를 초과하지 않는 범위내에서, 고주파 바이어스 전력을 피처리 시료 또는 그 주위에 에칭가스 주기와 연동시켜 주기적으로 투입하면 더욱 바람직한 결과가 얻어진다. 또, 상기 증착가스 주기중에 있어서의 플라즈마 발생을 위한 전력의 차단정지 기간을 t라고 했을 때, 플라즈마 처리가 정지된 분위기 중의 잔류 에칭가스 압력(VE)에 대한 전가스압력(VE+VD)의 비가
단 VD는 증착가스 압력을 적어도 만족하기에 이르는 시간(t)으로 함으로써 극히 양호한 결과가 얻어진다.
상기 플라즈마 발생을 위하여 인가되는 전력은, 마이크로파 전력이 바람직하나, 반드시 이것에 한하지 않고, 평행 평판형의 전극에 고주파 전력을 투입해도 좋으며, 공지의 플라즈마 발생원이 사용가능한 것은 말할 것도 없다.
상기 제2의 목적은 피처리 시료를 지지하는 수단을 내장한 반응 챔버와, 상기 챔버를 진공배기하는 배기수단과, 상기 반응 챔버에 적어도 에칭가스와 증착가스를 교대로 시간적으로 절환하여 주기적으로 공급할 수 있는 적어도 2계통의 가스도입 수단을 구비한 타임모듈레트드 드라이 에칭장치로서, 상기 가스도입 수단에는 각각의 가수유량에 맞추어 순차 소정시간의 주기로 교대로 절환할 수 있는 가스 절환제어기를 접속함과 동시에 상기 가스 절환 제어기의 가스절환 주기에 연동시켜 상기 플라즈마를 발생시키는 전력 투입수단을 주기적으로 온-오프 동작 시키는 전력 투입 제어기를 구비한 드라이 에칭 처리장치에 의하여 달성된다.
그리고 상기 피처리 시료 또는 그 주위에 고주파 바이어스 전력을 주기적으로 투입하는 수단을 설치함과 동시에, 상기 주기적 고주파 투입의 온-오프 제어를 상기 가스절환 제어기에 있어서의 에칭가스 주기와 연동시켜 상기 전력제어기로 동작시키는 구성으로 하면 더욱 좋은 결과가 얻어진다. 상기 고주파 전력 투입시의 온-오프에 있어서의 오프는 일반적으로 전력을 완전히 차단하는 것을 의미하나, 경우에 따라서는 완전히 차단하는 대신에 고주파 전원측에 설치한 임피던스 매칭회로에 의하여 전력의 강약을 제어해도 좋다.
또 상기 플라즈마를 발생시키는 전력투입수단으로서는, 기타의 공지의 수단을 사용해도 좋으나, 실용상 마이크로파 전력 투입수단으로 하는 것이 바람직하다.
일반적으로 에칭가스 도입에 의한 에칭과, 증착가스 도입에 의한 증착을 주기적으로 조합하여 플라즈마 에칭처리를 행하면, 좁은 간극부분과 넓은 간극부분에 있어서의 에칭속도차는 적어진다. 즉, 에칭속도 및 증착속도는 아무래도 좁은 간극부분 보다 넓은 간극부분의 쪽이 커지고, 넓은 간극부분에서는, 증착에 의한 영향이 매우 커져 에칭의 속도가 저하하여, 좁은 간극부분과의 에칭속도차가 작아진다. 그러나, 간극의 폭이 0.3㎛ 이하의 극도로 미세한 패턴이 되면 단순히 에칭가스와 증착가스를 교대로 절환하는 TM 에칭만으로서는, 마이크로 로딩 효과를 저감시켜 에칭속도의 불균일을 없애고, 피가공물의 전면을 균일하게 에칭하는 것이 곤란하였다.
본 발명에 있어서는 종래와 같이 플라즈마에 의하여 에칭을 행하고 있는 동안에만, 플라즈마 발생을 위한 전력을 투입해 두는 것이 아니다. 에칭가스 주기(에칭가스가 도입되고 있는 주기)에 들어가기전, 즉 증착가스 주기에 들어간 후 일정한 정지시간 경과후, 실용상 바람직하게는 증착주기의 말기에 가까운 시점에서 상기 전력을 투입하고, 에칭주기가 종료하는 시점, 즉 에칭가스의 도입이 정지되는 시점에서 전력을 차단한다. 이와 같이 플라즈마 발생의 전력 투입을, 가스 절환주기에 연동시켜 주기적으로 행한다.
이에 의하여, 증착과 에칭을 병용함으로써 얻어지는 효과가 종래의 TM의 경우보다도 훨씬 커져, 간극 부분의 폭이 0.3㎛라고 하는 미세패턴도 균일한 에칭에 의하여 형성되는 것을 가능하게 하였다. 본 발명에서는, 상기한 바와 같이, 플라즈마 발생을 위한 전력의 투입을 일정한 정지기간을 두고 주기적으로 행하는 것이나, 에칭가스 주기로부터 증착가스 주기로 절환하여, 반응 챔버의 가스가 완전히 증착가스로 교환된 시점에 상기 전력을 투입하는 것이 이상적이다.
그러나, 이 시점까지 전력의 투입을 정지하면 전체의 플라즈마 에칭처리 시간이 길어지므로 실용적으로는 잔류 에칭가스 압력(VE)의 반응 챔버내의 분위기 가스중을 점유하는 비율이 5% 이하, 즉 증착 가스압을 VD라 했을 때 VE(VP+VE)5/100를 만족시키는데 이르는 시간(t)을 차단 정지시간(t)으로 하면 좋다. 즉, 증착주기 개시보다 7초 후에 상기 전력을 투입하면 실용상 충분히 양호한 결과가 얻어진다. 실제로는 상기한 바와 같이 증착가스 주기의 말기에 가까운 시점에 투입하도록 전력투입 제어기에서 전력투입의 관리를 시키면 좋다. 또 전력의 차단 타이밍은, 상기한 바와 같이 에칭가스 주기의 시점 즉, 에칭가스의 도입을 정지한 시점에 동기시키면 좋으나, 다소 전후로 어긋나도 관계없다. 단, 전력의 차단이 어긋나는 경우는, 에칭가스 주기의 다음에 오는 증착가스 주기의 초기측으로 어긋나는 것보다, 에칭가스 주기의 말기측으로 어긋나는 것이 좋다.
또, 본 발명의 TM 에칭에 있어서는, 다시 에칭주기중에 피에칭물 또는 그 주위에 고주파 바이어스 전력을 소정시간 간격으로, 에칭가스 주기에 동기시켜 투입하는 것이 바람직하다. 이 고주파 바이어스 전력의 투입은 전체적인 에칭속도의 향상에 유효하다. 즉, 에칭주기에서의 초기에는 증착막이 형성되어 있게 되므로, 이 증착막을 이온에 의하여 효율좋게 제거 할 수 있다. 그러나, 에칭주기의 전기간중에, 고주파 바이어스 전력을 연속하여 투입하여, 이온의 작용을 과도하게 행하게 하면, 에칭의 선택성이 저하하거나, 마이크로 로딩 효과를 저감할 수 없는 등 역효과가 되므로, 고주파 바이어스 전력의 투입기간은 에칭주기보다 짧게 해야만 한다. 그리고 이 고주파 바이어스 전력의 주기적인 투입 시간의 관리에 대해서도 상기 플라즈마 발생의 전력투입의 관리와 마찬가지로 전력 투입 제어기에서 관리할 수가 있다.
[실시예 1]
이하, 본 발명의 제1의 실시예를 제1도에 나타낸 마이크로파 플라즈마 처리장치에 의거하여 설명한다. 즉, 제1도는 본 발명 장치의 요부를 나타낸 단면도이다.
제1도에 있어서, 마그네트론으로 이루어진 마이크로파 발생기(1), 도파관(2), 마이크로파 투과성 진공용기(방전관)(3), 자장발생용 전자코일(4), 시료대(6), 시료(7), 고정전위 부여전극(8), 고주파 전력인가전원(9), 마이크로파 발생용 전원(10), 방전용 가스도입관(11), 가스배기구(12), 발광모니터용 플라즈마 발생 채광창(13), 발광모니터 처리장치(14)는, 종래부터 사용되고 있던 것과 동일한 것을 사용할 수 있다. 여기에 방전용 가스도입관(11)에, 적어도 증착가스와 에칭가스의 2계통 이상의 가스라인, 본 예에서는 15a,15b,15c의 3계통이 설치되어 있으며, 이들의 가스라인을 각각 특정이 시간만큼 온-오프시키기 위한 가스절환 제어기(16) 및 고주파 전력인가전원(9), 마이크로파 발생용 전원(10), 가스절환 제어기를 각각 시간적으로 총합적으로 제어하는 일괄제어기(17)를 설치했다. 또한, 이 일괄제어기(17)는, 가스절환 제어기(16)에 의한 각 가스라인의 온-오프 제어에 연동하여 플라즈마 발생용 마이크로파 전원(10) 및 고주파 전력인가전원(9)을 각각 온-오프 제어하는 기능을 갖는 것이기 때문에 전력투입 제어기라고 부를 수가 있다. 본 실시예의 2개 이상의 가스라인(15a,15b,15c)은 1개의 방전용 가스도입관(11)에 접속되어 있으나, 각각을 직접 진공실내에 병렬적으로 접속해도 좋음은 말할 것도 없다. 더욱 상세하게 설명하면, 가스라인 (15a,15b,15c)중 1개의 라인만 온 상태로 하고 기타의 2개의 라인을 오프상태로 하고 있을 때에 이들 오프상태의 라인은 도면에는 생략했으나 별도 바이패스 배기라인을 설치하여 가스배기를 행하면, 다음에 이들의 라인을 온 상태로 할 때의 응답속도가 빨라져, 바람직한 단시간 제어가 가능하다. 또 고주파 전력인가전원(9)과 시료대(6)간에는 임피던스 정합회로를 전원측에 설치하고 있어, 고주파 인가전원(9)의 온-오프제어는 반드시, 전원의 온-오프가 아니라, 파워의 강약제어(예를 들면 안정파비 SWR의 제어)이더라도 좋다.
고정전위 부여전극(8)은 진공용기내의 시료대(6)의 축의 외주에 따라 시일드되도록 설치되고, 그 상부가 상기 시료대(6)에 따라 넓어진 도전재료로 이루어진 구조로 되어 있으나, 이 구조는 바이패스 인가치를 가능한한 높이고 싶은 경우에는, 고정전위 부여전극 면적을 크게 하거나, 설치 장소의 변경도 가능하다. 이하, 이 장치의 동작기구의 설명을 포함하여 에칭처리방법의 일실시예를 다음의 실시예 2에 따라 설명한다.
[실시예 2]
다음에 에칭처리의 일 실시예를 제1도에 따라 설명한다. 진공용기(3)는 미리 가스배기구(12)로부터 배기펌프(도시 생략)에 의하여 진공배기 되어 있고, 동용기내에 가스라인 15a 및 15b를 통하여 에칭 및 증착용의 가스가 각각 교대로 가스절환 제어기(16)의 지령을 받아 주기적으로 감압 상태에서 도입되고, 이 가스절환 제어기(16)의 온-오프의 시간 스케쥴에 동기하여 일괄제어기(17)를 동작시켜 그 지령에 의거하여 마이크로파 발생용 전원(10)을 주기적으로 온-오프시킴으로써 마이크로파 발생기(1)에서 발생시킨 마이크로파에 의하여 여기되어 주기적으로 플라즈마가 발생한다. 도면에는 나타내지 아니했으나, 도파관(2)의 도파회로는 아이소레이터 또는 파워미터가 구비되어 있어 마이크로파의 제어 및 장치의 안정성을 향상시키고 있다. 전자코일(4)은 플라즈마 발생 효율을 향상시키기 위하여 외부로부터 자장을 가하기 위한 수단이고, 자장강도를 875가우스로 하면 2.45GHz의 마이크로파와의 상호 작용에 의하여 일렉트론 사이크로트론 레조난스(ECR)상태로 할 수도 있다. 또 가스 압력이 높을 때에는 자장이 없어도 방전하므로 반드시 자장코일을 필요로 하는 것은 아니다. 이와 같이 하여 발생한 플라즈마 중의 반응성 활성종(種)이 시료(7)와 반응하여, 에칭 및 증착이 교대로 주기적으로 진행한다.
본 실시예에서는 시료(7)의 피처리표면 재료를 다결정 실리콘(이하 폴리 Si로 표시함)으로 하여 설명하나, 실리콘 기판(이하 Si로 표시함)이더라도 그 효과는 거의 유사하다. 본 시료는 제2도에 그 요부단면을 나타내는 실리콘기판(20)상에 실리콘 산화막(21), 폴리 Si(22)가 있고, 폴리 Si(22)상에는 좁은 간극 WA=0.3㎛, 넓은 간극 WB=3㎛인 레지스트 마스크(23)가 형성되어 있다. 에칭용 가스로서 SF6가스의 유량을 45cc/min, 가스압력 10mTorr, 증착용 가스로서 CCl4가스의 유량을 35cc/min, 가스 압력은 동일하게 10mTorr로 하고, 각각 가스라인 (15a,15b)으로부터 진공용기(3)내에 하기의 시간 스케쥴로 교대로 주기적으로 송급했다. 플라즈마 발생은 마이크로파의 파워를 300W로 유지하여 행하였다. 또 이때의 자장강도는 진공용기(3)의 정상부에서 최고 1000가우스, 이로부터 시료방향으로 직선적으로 감쇄시켜 최저 875가우스로 설정했다.
또, 여기서 주기적인 가스의 절환, 마이크로파 방전 및 고주파 바이어스 전력(RF)의 인가에 대해서는 각각 제3도에 나타낸 시간 스케쥴에 의하여 행하였다. 즉, 에칭가스와 증착가스의 절환은 ,전자를 3sec, 후자를 10sec의 주기로 교대로 절환했다. 마이크로파 방전의 주기는 에칭가스 주기의 1sec전(증착가스 주기 말기의 1sec)로부터, 에칭가스 주기(3sec)의 말기까지의 μ파 방전기간(전력투입 기간)(4sec)과 그 후의 방전정지기간(t)(9sec)로 이루어진다. 이 방전주기중, 증착가스 주기 말기의 1sec는, 증착이 행해지는 시간대역이고, 그 후의 에칭가스주기의 3sec는 에칭이 행해지는 시간대역이 된다.
이와 같이 하여, 제3도의 횡축에 나타낸 시간축으로부터 알 수 있는 바와 같이 1주기 13sec(에칭가스 3sec도입 및 증착가스10sec 도입)의 반복으로, 플라즈마 에칭을 진행시켰다. 또 에칭주기 시간내의 최초로부터 1.2∼1.8sec간에만 고주파 전원(9)의 전력을 30W로 하여 온하도록 TM 에칭용 일괄제어기(17)를 설정하고, 상기 폴리 Si시료를 에칭하면, 제4도에 나타낸 바와 같은, 수직 또 레지스트 마스크(23)에 대한 에칭의 선택형이 양호하고, 또한 넓은 간극과 좁은 간극의 에칭 깊이(24,25)가 거의 동등하게 된다는 결과가 얻어졌다. 제4도에서는 폴리 Si막(22)의 에칭을 도중에서 중지한 경우를 나타냈으나, 2산화실리콘(21)의 표면이 노출될 때까지 에칭해도 동일한 효과가 얻어져, 본 발명에 의하여 마이크로 로딩 효과를 실질적으로 무시할 수 있을 정도까지 감소시킬 수 있게 되었다. 본 실시예에 있어서의 넓은 간극과 좁은 간극과의 에칭 깊이의 차는 3% 이내가 되어 실용상에 전혀 문제가 되지 않는다. 여기서 에칭주기 시간내의 최초의 1.2sec만 인가하는 고주파 전력을 1.0sec로 하면 에칭속도가 현저하게 저하하였다.
마찬가지로 상기 기간을 2sec로 하면 에칭속도는 크나, 바탕인 SiO2의 에칭속도도 커지고, 다시 3sec(에칭주기 전체)로 하면 점점 그 선택성이 저하했다. 따라서 에칭속도를 저하시키지 않고 수직으로 선택성이 높고, 또 마이크로 로딩이 없는 에칭을 행하기 위해서는 상기 고주파 전력의 인가시간이 중요하다는 것이 명백하다. 가장 적합한 고주파 전력의 인가시간이 가스 유량, 가스 압력, 플라즈마를 발생시키고 있는 마이크로파의 전력에 의존하는 것은 말할 것도 없다. 어쨌든, 에칭주기중에 고주파 바이어스 전력을 인가하는 경우에는, 에칭주기 전체에 인가하는 것은 바람직하지 않으며, 이보다도 짧은 시간내로 해야만 한다.
상기와 같이, 고주파 바이어스 전력을 적절하게 인가하는 것은 에칭 스피드를 빠르게 하고, 소요시간을 단축하는데 극히 유효하나, 본 발명에서는 반드시 인가할 필요는 없고, 사용 목적에 따라 선택하면 된다. 즉, 인가하는 경우에는 그 나름대로의 인가조건하에서 행하여 드로우푸트(through-put)(에칭스피드)를 문제로 하지 않는다면 인가할 필요는없다. 이 경우는 고주파 전력을 인가했을 경우보다도 에칭시간이 길어지나 선택성이 저하하지 않는다는 이점이 있다.
상기 제3도에 나타낸 에칭 프로세스에 있어서, 에칭주기의 3sec중 처음의 고주파 바이어스(RF) 인가시간이 증착에 의하여 석출된 물질을 에칭에 의하여 제거하기 위하여 소비되고, 나머지의 시간이 시료인 Si를 실질적으로 에칭하기 위하여 소비된다.
다음에 에칭주기후의 방전정지시간(μ파 전력을 가하지 않고, 증착가스를 도입하여 에칭가스를 제거하는 기간)에 대하여 상세히 설명한다.
상기 제3도에 있어서 μ파 방전정지시간(9sec)을 6sec 이하로 하면, 수직인 에칭이 되지 않았다. 즉, 이와 같이 방전정지 시간을 짧게 하면, 에칭가스로부터 증착가스에의 가스교환이 불충분하여, 반응 챔버내에는 아직 상당량의 에칭가스가 남은 상태하에서, 플라즈마 처리가 행해지기 때문에, 증착이 행해지지 않고, 측벽을 보호하기 위한 막이 형성되지 않기 때문에, 언더커트가 생겨버렸다. 당연한 일로, 방전정지 시간이 6sec 이하인 경우에는, 마이크로 로딩 효과가 커서 본 발명의 목적을 달성할 수가 없었다. 본 발명자의 검토에 의하면, 가스의 교환이 충분하게 행해지지 않고, 증착가스(CCl4)중에 5%를 초과하는 양의 잔류에칭가스(SF6)가 포함되어 있으면, 마이크로 로딩 효과와 언더커트가 무시할 수 없게 된다는 것을 알았다. 즉, 전가스 유량중에 에칭가스인 SF6가 5% 초과하는 양이 포함되어 있으면 에칭이 행해져 증착이 행해지지 않고, 5% 이하가 되면 에칭되지 않게 되어 증착이 행해지는 것이 확인되었다. 이 SF6의 혼합비는 어떠한 배기계, 가스도입계를 가지는 장치에 있어서도, SF6가스 압력/(SF6+CCl4)의 가스압력의 비가 5/100이하가 되면, 증착이 행해지므로 각 가스압력, 유량설정이 변하여도 그 가스압비가 5/100 이하로 하는데 요하는 시간 이상의 시간을 방전정지 시간으로 설정하면 좋다. 최단시간의 설정을 위해서는 예비적인 실험을 해두는 것이 가장 간편하나, 발광스펙트럼, 질량분석계를 사용하여 F+,*/Cl+,*량의 비를 검출하여, 실제의 가스압력비가 측정될 수 있는 수단에 의하여, 자동적으로 증착주기로 이행시킬 수도 있다. 에칭가스 및 증착가스로서 SF6와 CCl4이외의 가스를 사용해도 동일한 결과가 얻어진다.
종래의 TM에칭에서는 에칭주기와 고주파 바이어스 주기를 동기시켜, 본 실시예와 같이 에칭주기 후의 방전정지 시간를 설치하는 것은 행해지지 않고, μ파 방전은 연속적으로 행해졌다. 이 때문에 종래는 증착가스 주기의 시간을 충분히 길게 취하여, 증착가스 주기내에 있어서는 초기에 에칭이 행해지고, 후기에 증착이 행해지도록 되어 있었다. 이 때문에, 종래의 TM 에칭에서는 증착량의 재현성이 나쁘고, 에칭주기에 있어서 증착만을 완전히 제거하는데 요하는 시간이 일정치 않아, 마이크로 로딩 효과를 저감하고, 선택성을 향상시키는 데에는 결점이 많았다. 이 결점을 제거하기 위해서는 적어도 에칭주기후의 방전정지시간을 설치하는 것이 좋으며, 드로우푸트에 문제가 생기지 않는다면 증착 주기후에도 방전정지 시간을 설치하는 것이 좋다. 이 방전정지 시간를 설치하는 것은 가스의 교환을 효과적으로 행할 수 있는 메리트뿐만 아니라, 시료온도의 상승을 방지하는 작용도 한다. 즉 본 실시예에서는 4sec간의 방전시간에 대하여 9sec간의 방전정지 시간이 있어, 방전정지 시간/방전시간의 비가 2.2가 되어, 시료온도를 종래의 경우의 1/2로 억제할 수가 있었다. 시료온도 변화가 작다는 것은 증착이나 에칭의 안전화에 극히 유효하게 된다.
이상의 실시예로부터, 에칭속도를 저하시키는 일이 없이, 수직으로 선택성이 높고, 또 마이크로 로딩이 최소한인 에칭을 안정되게 행하기 위해서는 상기 고주파 전력의 인가시간 및 에칭주기후의 방전정지 시간의 관계가 중요하게 된다는 것은 명백하다. 이들의 최적시간은 가스유량, 가스압력, 플라즈마를 발생시키고 있는 마이크로파의 전력에 의존하는 것은 말할 것도 없다. 예를 들면, CCl4의 가스유량을 45cc/min로 증가시키거나, 가스압력을 15mTorr로 높게하거나, 마이크로파 전격을 250W로 낮게하면, 동일 1sec의 증착시간에서도 증착한 막의 두께가 커지므로, RF 바이어스 인가시간은 1.2sec∼1.8sec(에칭시간의 40%∼60%)이었던 것이 2.1sec∼2.7sec의 사이가 좋아졌다. 이 경우의 SF6가스의 유량과 압력 및 에칭시간은 상기 실시예와 동일하게 하였다. 또 에칭주기후의 방전정지 시간이 4sec 이하가 되면, 상기 실시예와 동일하게 에칭의 이방성이 약간 저하했으나, 소요 시간은 약 2sec 단축할 수 있었다. 이와 같이 증착효율을 상당히 높혀도, 방전정지 시간은 최저 4sec는 필요하고, RF 바이어스 인가시간은 에칭시간의 70%∼90%로 하는 것이 가장 적합하였다. 또 증착효율이 높아지는 조건으로 하면, 에칭보다 막의 형성 비율의 쪽이 커져, 에칭에 의하여 얻어진 형상이 이상하게 될 뿐만 아니라, 드로우푸트가 현저하게 저하하므로, 현실적인 에칭기술이 되지 않는다. 따라서 상당히 넓게 조건을 변화시켜도, 방전정지 시간을 0으로는 할 수 없으며, RF 바이어스도 전에칭 주기중에 연속하여 인가하는 것은 좋지 않다는 것을 알 수 있다.
제5도는 본 발명(방전정지 시간 9sec)과 방전정지 시간을 설치하지 않은 종래의 TM 에칭과의 비교를 나타낸 도이고, 패턴이 가지는 간극의 폭과 에칭 깊이의 비와의 관계를 나타낸다. 횡축은 패턴이 가지는 좁은간극의 치수를 나타내고, 종축은 마이크로 로딩 효과가 전혀 생기지 않는 넓은 간극 또는 필드부에서의 에칭 깊이를 1로 했을 때의 에칭깊이의 비이다. 이 도면의 종축의 비가 1이라고 하는 것은 넓은 간극과 좁은 간극과의 에칭 깊이가 각각 같다는 것을 의미하고 있으며, Si면의 에칭 스피드가 간극의 폭에 관계없이 같다는 것을 의미하고 있다.
따라서, 횡축의 간극 치수가 좁아져도, 에칭 깊이의 비가 1에 충분히 가까운 값을 유지할 수가 있으면, 마이크로 로딩 효과가 없는 뛰어난 에칭 특성을 가지고 있는 것이 된다. 제5도에 있어서 파선(B)은 종래의 TM 에칭에 의하여 얻어진 예이나, 간극의 폭이 0.5㎛ 이하가 되면 에칭 깊이는 저하되기 시작하고, 간극의 폭이 0.2㎛가 되면 약 절반인 0.5가 되어 버린다. 한편 곡선(A)은 본 발명에 의하여 얻어진 결과이고, 1주기의 방전시간 4sec중, SF6에 의한 에칭 기간 3sec, CCl4에 의한 증착기간 1sec, 에칭기간 직후부터의 방전정지 시간 9sec, 에칭기간의 최초의 1.6sec만 RF 전력을 30W를 인가하는 조건에서 얻어진 결과이나, 0.25㎛의 간극까지 에칭깊이의 비는 거의 일정한 1을 유지하고 있어, 극히 우수한 특성을 나타내고 있다. 본 발명에 있어서, 마이크로 로딩 효과를 최소로 억제할 수 있는 1사이클분의 제어 플로우를 제6도에 나타냈다.
상기한 바와 같이, 에칭 및 증착에 사용되는 가스종류, 각각의 도입주기, 유량, 압력, RF 파워, μ파 파워등에 의하여 RF 전력의 인가시간의 최적치는 변동하므로, 상기한 1.6sec가 최적의 일정한 값이 아니라는 것은 말할 것도 없다.
[실시예 3]
실시예 1에서는 SF6과 CCl4가스를 사용했으나, 증착용의 가스로서, C2Cl3F3, C2ClF5등, C, Cl, F를 포함하는 가스나, SiHCl3, SiHCl3등의 Si, H, Cl을 포함하는 가스, CHCl3, CH3Cl 등의 C, H, Cl을 포함하는 가스, CBrF3, C2Br2F4등의 C, Br, F를 포함하는 가스를 사용해도, 실시예 1과 동일한 효과가 얻어졌다. 단, 실시예 1에서의 CCl4와 동일한 가스유량과 가스압력에 있어서는 본 실시예의 가스는 증착성이 약하여, 증착주기의 시간을 약간 길게 할 필요가 있었다. 본 실시예에 있어서의 가스계에서는 Cl의 수가 적을수록, 상기 시간을 길게 할 필요가 있었다. 상기 시간을 길게 하지 않게 하기 위해서는 가스 유량 및 가스 압력을 높게 하면 되고, 처리시간에 제한이 있을 때는, 상기 유량이나 압력의 설정를 변경할 수가 있다.
[실시예 4]
실시예 1에서는 피에칭 재료를 Si, 폴리 Si로 했으나, 본 발명은 통상의 에칭에서 언더커트가 일어나기 쉬운 재료에 대해서도 특히 유효하다. 예를 들면, 반도체 장치의 제조에 사용되고 있는 Al, W, Mo, 각종 메탈실리사이드 등에 있어서는 통상의 드라이에칭은 극히 한정된 조건에서 행해지지 않으면 언더커트가 발생하기 쉽다. 본 실시예에서는 Al, W 및 텅스텐 실시사이드에 대하여 본 발명에 의한 TM 에칭을 행하여 양호한 결과를 얻었다. 이들과 유사한 재료에 관해서도 동일한 효과가 얻어지는 것은 말할 것도 없다. W 및 텅스텐 실리사이드에 대해서는 실시예 1에서 사용한 가스 및 에칭조건에서 동일한 효과가 얻어졌다. Al에 관해서는 에칭가스로서, Cl2, 증착가스로서 CCl4, SiCl4등 실시예 2에서 사용한 가스와 조합하여 사용할 수가 있었다.
[실시예 5]
실시예 1에 나타낸 에칭장치에 있어서 고주파 전력으로서 800KHz, 30W 및 13.56MHz, 150W를 각각 사용하였으나, 어느 것이나 동일한 효과가 얻어졌다. 인가하는 주파수에 따라 전력치가 다른 것은, 플라즈마중에 존재하는 이온을 시료표면에 충돌시키기 위한 가속 전압이 각각 다르기 때문이라고 생각된다. 따라서, 사용되는 주파수에 대하여 적합한 전력을 설정할 필요가 있음은 말할 것도 없다. 이들의 전력이 높을수록 증착막의 제거속도가 크고, 에칭속도도 커지나, 너무 높으면 상기한 마스크 재료의 에칭속도가 커져 문제가 되고, 너무 낮으면 증착막의 제거 속도가 저하하여 처리시간이 길어지므로, 본 실시예에 나타낸 상기 값을 표준으로 설정하면 좋다.
[실시예 6]
상기 각 실시예에서는 에칭해야 할 시료의 온도제어를 특별히 행하지 않았다. 시료인 실리콘 웨이퍼는 시료대 위에 올려 놓아지나, 시료대를 수냉시키고 있다고 해도, 시료와 시료간의 열접촉이 충분하지 않기 때문에 플라즈마 조사의 조건이 다르면 시료의 온도가 변동하여 일정하지는 않았다. 본 실시예에서는 시료대를 수냉온도 이하로 할 수 있는 냉각수단을 설치하였다. 즉, 액체 질소와 가열 히터와의 조합으로 온도를 제어하는 방식, 또는 냉동기와 가열 히터와의 조합 방식을 채용하였다. 이 경우, 시료대 이외의 진공용기 내벽온도는 상온이더라도 좋다. 이와 같은 저온 에칭에서는 각종 가스의 흡착 효율이 높아지므로, 특히 증착가스의 유량 및 압력을 낮게해도 좋다는 것을 알았다. 실제로 시료온도를 -30℃로 했을 때, 실시예 2에서 사용한 중착가스 C2Cl3F3의 유량과 압력의 양쪽 모두 약1/2로 해도 동일한 효과가 얻어질 수 있다는 것을 알았다. 반대로 C2Cl3F3에서는, 시료온도가 40℃ 이상이 되면 가수유량이나 압력을 높게 하여도 증착막 형성 효율이 낮아, TM 에칭의 목적을 달성할 수 없게 되는 일이 있다. 시료온도만을 저온으로 하고 증착가스를 감소시키면, 진공용기 내벽의 오염을 저감할 수 있으므로, 반도체 제조장치로서 대단히 유리해진다. 증착가스의 종류에 따라 시료온도가 효과적이 되는 값은 각각 다르다는 것은 말할 것도 없다.
이상의 실시예에서 설명한 방법은 상당히 넓은 조건범위에서 수직 에칭과 선택성의 향상을 실현할 수 있다. 또한 저온 에칭에 있어서, 마이크로 로딩 효과를 저감시키기 위해서는 에칭기간과 증착기간 및 고주파 전력의 인가시간 등을 상기와 같이 설정하면 좋다.
[실시예 7]
MOS 트랜지스터의 제조 프로세스에 있어서의 게이트 전극등을 에칭에 의하여 형성할 때에는, 에칭 종점에 도달한 후, 약간의 오버에칭이 행해진다. 상기 실시예의 대부분은 에칭 종점에 달할 때까지의 에칭에 대하여 설명한 것이나, 오버에칭은 반드시 동일 TM 에칭에 의하여 계속할 필요는 없다. 또 실제의 게이트 전극은 때때로 다층막에 의하여 형성되나, 상층과 하층에서 동일 TM 에칭을 행할 필요는 없다. 즉, 목적에 따라 TM 에칭 조건을 변화시키거나 TM 에칭을 행하지 않고, 통상의 연속방전에 의한 에칭을 조합시킬 수가 있다.
본 실시예에서는 게이트전극을 에칭에 의하여 형성할 때의 오버에칭에 관한 것이다.
케이트 전극 재료층의 밑에는 막두께가 수 10 내지 수 100Å인 얇은 SiO2막이 있고, 또 표면 전체에 단차가 있다. 따라서 이 단차부에 잔존하는 게이트 전극재료를 남김없이 에칭제거하기 위해서는 100% 이상의 오버에칭을 하지 않으면 안 되는 일이 많다. 상당한 장시간의 오버 에칭에 대하여 상기 얇은 SiO2막이 소실되지 않고, 또한 이미 형성된 게이트 전극이 사이드 에칭되지 않도록 하지 않으면 안 된다. 이를 위해서는 선택성이 극히 우수한 에칭 조건으로 하여 증착을 가능한한 적게 하는 것이 좋다. 예를 들면 게이트전극 재료막의 패터닝막을 TM 에칭으로 행하고, 오버 에칭은 TM 에칭이 아니라, SF6만으로 에칭을 행하고, RF 바이어스를 0으로 하는 것이 좋다. 그러나, 이 경우에는 약 200% 이상의 오버 에칭에서 사이드 에칭이 일어나기 시작했다. 200% 이상의 오버 에칭을 필요로 할 때에는 TM 에칭조건을 에칭중에 바꾸는 방법으로 대처할 수 있다. 예를 들면 에칭시간을 5sec로 하고, 다른 조건은 실시예 1과 동일하게 했을 때 오버에칭 약 300%까지 사이드 에칭도 없고, SiO2막을 소실시키지 않고, 단 S차부의 게이트 전극 재료를 에칭할 수가 있었다. 본 실시예와 같이 각 스탭마다에 TM 에칭 조건을 설정하여, 실제의 소자구조에 적합한 에칭 프로세스를 구축할 수가 있어, 이에 의하여 종래에는 불가능하였던 우수한 결과가 얻어진다. 상기한 다층막의 에칭에 대해서도, 미리 각 층별로 적합한 TM 에칭 조건을 검토해두면, 각 층을 1스탭으로 하여, 프로그램화하여 최적의 에칭이 행해진다.
본 발명에 의하면, 종래 불가능에 가까웠던 간극 0.3㎛ 이하에 있어서의 마이크로 로딩 효과를 방지할 수 있으므로, 동일 에칭면에 여러 가지 치수의 간극이 혼재하는 반도체 집적회로의 제조에 필요한 미세가공에 대단히 유효하게 된다. 특히 밑바닥 SiO2막두께가 얇은 경우라던가, Si 기판의 에칭에 유효하여, 종래에는 불가능하였던 뛰어난 효과가 얻어진다.
본 발명은 마이크로파 플라즈마 에칭장치 및 공지의 평행 평판형 드라이 에칭 장치의 어느것을 사용해도 양호한 결과가 얻어진다.
Claims (8)
- 소정의 시간 간격으로 반응 챔버내로 에칭 가스와 증착 가스를 교대로 도입하는 단계와; 에칭 플라즈마와 증착 플라즈마를 교대로 발생시키기 위해 상기 도입된 에칭가스와 증착가스에 제1전력을 가함으로써 상기 시료의 상기 표면상에 필름이 증착되게 하는 것과, 피에칭될 시료의 노출된 표면을 에칭하는 것을 교대로 행하는 단계에 있어서, 상기 표면을 에칭할 목적으로 상기 표면상에 필름을 증착시키고 에칭하는 것을 교대로 하기 위해 상기 에칭과 증착 플라즈마가, 상기 반응챔버내의 상기 피에칭 시료와 교대로 접촉하게 되고 상기 제1전력이 상기 증착가스의 도입 개시로부터 소정 시간이 개시 경과로부터 소정 시간의 경과후와 상기 에칭가스의 도입전에 인가되고 상기 에칭가스의 도입이 중지되었을 때 차단되는, 에칭과 증착을 교대로 행하는 단계와; 상기 에칭가스의 도입이 개시될 때 에칭되는 상기 시료에 제2전력을 가하고 상기 에칭가스의 도입 정지 이전 시간에 상기 제2전력을 중단하는 단계로 이루어지는 드라이 에칭방법.
- 제1항에 있어서, 상기 제1전력이 μ-웨이브 전력인 것을 특징으로 하는 드라이 에칭방법.
- 제1항에 있어서, 상기 에칭가스가 SF6인 것을 특징으로 하는 드라이 에칭방법.
- 제1항에 있어서, 상기 증착가스가 CCl4, C2Cl3F3, C2ClF5, SiH3Cl, CHCl3, CH3Cl, CBrF3, C2Br2F4로 이루어지는 군으로부터 선택되는 것을 특징으로 하는 드라이 에칭방법.
- 제1항에 있어서, 상기 제1전력이 상기 반응챔버내에 공급된 평행한 형태의 전극에 인가되는 것을 특징으로 하는 드라이 에칭방법.
- 제1항에 있어서, 상기 반응챔버내에 잔여 에칭가스 압력 VE에 대한 (VE+VD)의 비율이 다음 방정식을 만족하도록 하기위해 VE/(VD+VE)>5/100[여기서 VD는 증착가스 압력] 상기 증착가스의 도입 개시부터 상기 제1전력의 인가까지의 소정시간이 선택되는 것을 특징으로 하는 드라이 에칭방법.
- 제1항에 있어서, 상기 제2 전력이 RF 전력인 것을 특징으로 하는 드라이 에칭방법.
- 소정 시간 간격으로 반응 챔버내에 에칭가스와 증착가스를 교대로 도입하는 단계와, 에칭 플라즈마와 증착 플라즈마를 교대로 발생시키기 위해 상기 도입된 에칭과 증착가스에 전력을 가함으로써 에칭되는 시료의 노출된 표면을 에칭하고 상기 시료의 상기 표면상에 필름을 증착되게 하는 것을 교대로 하는 단계에 있어서, 상기 표면을 에칭할 목적으로 상기 시료의 상기 표면상에 필름이 증착되게 하는 것과 에칭하는 것을 교대로 하기 위해 상기 에칭과 증착 플라즈마가 상기 반응 챔버내의 상기 시료와 교대로 접촉하게 되며, 상기 증착가스의 도입개시부터 소정 시간의 경과후에 그리고 상기 에칭가스의 도입전에, 상기 전력이 인가되고, 상기 에칭가스의 도입이 중단될 때에 차단되는 에칭과 증착을 교대로 행하는 단계로 구성된 드라이 에칭방법.
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP63-256953 | 1988-10-14 | ||
JP63256953A JP2918892B2 (ja) | 1988-10-14 | 1988-10-14 | プラズマエッチング処理方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR900007066A KR900007066A (ko) | 1990-05-09 |
KR970000417B1 true KR970000417B1 (ko) | 1997-01-09 |
Family
ID=17299657
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019890014762A KR970000417B1 (ko) | 1988-10-14 | 1989-10-14 | 드라이 에칭방법 및 드라이 에칭장치 |
Country Status (5)
Country | Link |
---|---|
US (1) | US4985114A (ko) |
EP (1) | EP0363982B1 (ko) |
JP (1) | JP2918892B2 (ko) |
KR (1) | KR970000417B1 (ko) |
DE (1) | DE68927699T2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20140105581A (ko) * | 2011-12-19 | 2014-09-01 | 베이징 엔엠씨 씨오., 엘티디. | 기판 에칭 방법 및 기판 처리 장치 |
Families Citing this family (437)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0395415B1 (en) * | 1989-04-27 | 1995-03-15 | Fujitsu Limited | Apparatus for and method of processing a semiconductor device using microwave-generated plasma |
JP2623827B2 (ja) * | 1989-05-11 | 1997-06-25 | 日本電気株式会社 | マイクロ波プラズマ処理装置 |
US5273609A (en) * | 1990-09-12 | 1993-12-28 | Texas Instruments Incorporated | Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment |
JP3018517B2 (ja) * | 1991-01-25 | 2000-03-13 | ソニー株式会社 | ドライエッチング方法 |
US5474650A (en) * | 1991-04-04 | 1995-12-12 | Hitachi, Ltd. | Method and apparatus for dry etching |
US5318667A (en) * | 1991-04-04 | 1994-06-07 | Hitachi, Ltd. | Method and apparatus for dry etching |
US6008133A (en) | 1991-04-04 | 1999-12-28 | Hitachi, Ltd. | Method and apparatus for dry etching |
US5221414A (en) * | 1991-07-16 | 1993-06-22 | Micron Technology, Inc. | Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber |
US5368685A (en) * | 1992-03-24 | 1994-11-29 | Hitachi, Ltd. | Dry etching apparatus and method |
DE4241045C1 (de) * | 1992-12-05 | 1994-05-26 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
US5338702A (en) * | 1993-01-27 | 1994-08-16 | International Business Machines Corporation | Method for fabricating tungsten local interconnections in high density CMOS |
US6328845B1 (en) * | 1993-03-18 | 2001-12-11 | Hitachi, Ltd. | Plasma-processing method and an apparatus for carrying out the same |
JP3343629B2 (ja) * | 1993-11-30 | 2002-11-11 | アネルバ株式会社 | プラズマ処理装置 |
KR100322695B1 (ko) * | 1995-03-20 | 2002-05-13 | 윤종용 | 강유전성캐패시터의제조방법 |
US5575888A (en) * | 1995-04-14 | 1996-11-19 | The United States Of America As Represented By The Secretary Of The Navy | Sidewall passivation by oxidation during refractory-metal plasma etching |
JPH09129557A (ja) * | 1995-10-27 | 1997-05-16 | Shin Etsu Handotai Co Ltd | 薄膜の製造方法 |
ATE251341T1 (de) * | 1996-08-01 | 2003-10-15 | Surface Technology Systems Plc | Verfahren zur ätzung von substraten |
GB9616225D0 (en) | 1996-08-01 | 1996-09-11 | Surface Tech Sys Ltd | Method of surface treatment of semiconductor substrates |
US6187685B1 (en) * | 1997-08-01 | 2001-02-13 | Surface Technology Systems Limited | Method and apparatus for etching a substrate |
DE19736370C2 (de) * | 1997-08-21 | 2001-12-06 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silizium |
KR100549901B1 (ko) * | 1997-08-22 | 2006-02-06 | 동경 엘렉트론 주식회사 | 플라즈마 처리 장치의 제어 방법 |
JP4153606B2 (ja) * | 1998-10-22 | 2008-09-24 | 東京エレクトロン株式会社 | プラズマエッチング方法およびプラズマエッチング装置 |
KR100514150B1 (ko) * | 1998-11-04 | 2005-09-13 | 서페이스 테크놀로지 시스템스 피엘씨 | 기판 에칭 방법 및 장치 |
KR100829288B1 (ko) * | 1998-12-11 | 2008-05-13 | 서페이스 테크놀로지 시스템스 피엘씨 | 플라즈마 처리장치 |
US6417013B1 (en) | 1999-01-29 | 2002-07-09 | Plasma-Therm, Inc. | Morphed processing of semiconductor devices |
US6589437B1 (en) * | 1999-03-05 | 2003-07-08 | Applied Materials, Inc. | Active species control with time-modulated plasma |
JP4865948B2 (ja) * | 1999-04-14 | 2012-02-01 | サーフィス テクノロジー システムズ ピーエルシー | プラズマを安定させる方法と装置 |
US6383938B2 (en) * | 1999-04-21 | 2002-05-07 | Alcatel | Method of anisotropic etching of substrates |
DE19919832A1 (de) * | 1999-04-30 | 2000-11-09 | Bosch Gmbh Robert | Verfahren zum anisotropen Plasmaätzen von Halbleitern |
DE19927806A1 (de) * | 1999-06-18 | 2001-01-04 | Bosch Gmbh Robert | Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung |
FR2797997B1 (fr) * | 1999-08-26 | 2002-04-05 | Cit Alcatel | Procede et dispositif pour le traitement de substrat sous vide par plasma |
US6291357B1 (en) | 1999-10-06 | 2001-09-18 | Applied Materials, Inc. | Method and apparatus for etching a substrate with reduced microloading |
US20020185226A1 (en) * | 2000-08-10 | 2002-12-12 | Lea Leslie Michael | Plasma processing apparatus |
US6593244B1 (en) | 2000-09-11 | 2003-07-15 | Applied Materials Inc. | Process for etching conductors at high etch rates |
JP3565774B2 (ja) * | 2000-09-12 | 2004-09-15 | 株式会社日立製作所 | プラズマ処理装置及び処理方法 |
US6712983B2 (en) * | 2001-04-12 | 2004-03-30 | Memsic, Inc. | Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same |
US6818562B2 (en) | 2002-04-19 | 2004-11-16 | Applied Materials Inc | Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system |
US6759340B2 (en) * | 2002-05-09 | 2004-07-06 | Padmapani C. Nallan | Method of etching a trench in a silicon-on-insulator (SOI) structure |
US6905626B2 (en) * | 2002-07-24 | 2005-06-14 | Unaxis Usa Inc. | Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma |
US6924235B2 (en) * | 2002-08-16 | 2005-08-02 | Unaxis Usa Inc. | Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method |
US7169695B2 (en) * | 2002-10-11 | 2007-01-30 | Lam Research Corporation | Method for forming a dual damascene structure |
US6833325B2 (en) * | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7977390B2 (en) * | 2002-10-11 | 2011-07-12 | Lam Research Corporation | Method for plasma etching performance enhancement |
US6982175B2 (en) * | 2003-02-14 | 2006-01-03 | Unaxis Usa Inc. | End point detection in time division multiplexed etch processes |
US7294580B2 (en) | 2003-04-09 | 2007-11-13 | Lam Research Corporation | Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition |
US6916746B1 (en) | 2003-04-09 | 2005-07-12 | Lam Research Corporation | Method for plasma etching using periodic modulation of gas chemistry |
US20060006139A1 (en) * | 2003-05-09 | 2006-01-12 | David Johnson | Selection of wavelengths for end point in a time division multiplexed process |
DE602004017983D1 (de) * | 2003-05-09 | 2009-01-08 | Unaxis Usa Inc | Endpunkt-Erkennung in einem zeitlich gemultiplexten Verfahren unter Verwendung eines Hüllkurvenalgorithmus |
US7521000B2 (en) | 2003-08-28 | 2009-04-21 | Applied Materials, Inc. | Process for etching photomasks |
US20050112891A1 (en) * | 2003-10-21 | 2005-05-26 | David Johnson | Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation |
US7879510B2 (en) | 2005-01-08 | 2011-02-01 | Applied Materials, Inc. | Method for quartz photomask plasma etching |
US8293430B2 (en) | 2005-01-27 | 2012-10-23 | Applied Materials, Inc. | Method for etching a molybdenum layer suitable for photomask fabrication |
US7790334B2 (en) | 2005-01-27 | 2010-09-07 | Applied Materials, Inc. | Method for photomask plasma etching using a protected mask |
US7829243B2 (en) | 2005-01-27 | 2010-11-09 | Applied Materials, Inc. | Method for plasma etching a chromium layer suitable for photomask fabrication |
JP4512533B2 (ja) * | 2005-07-27 | 2010-07-28 | 住友精密工業株式会社 | エッチング方法及びエッチング装置 |
JP2009503882A (ja) * | 2005-08-04 | 2009-01-29 | アビザ テクノロジー リミティド | 基材の処理方法 |
US7910489B2 (en) * | 2006-02-17 | 2011-03-22 | Lam Research Corporation | Infinitely selective photoresist mask etch |
US8187483B2 (en) * | 2006-08-11 | 2012-05-29 | Jason Plumhoff | Method to minimize CD etch bias |
US7786019B2 (en) | 2006-12-18 | 2010-08-31 | Applied Materials, Inc. | Multi-step photomask etching with chlorine for uniformity control |
JP4906558B2 (ja) * | 2007-03-28 | 2012-03-28 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US8162439B2 (en) | 2007-06-20 | 2012-04-24 | Konica Minolta Holdings, Inc. | Method for manufacturing nozzle plate for liquid ejection head, nozzle plate for liquid ejection head and liquid ejection head |
US20090043646A1 (en) * | 2007-08-06 | 2009-02-12 | International Business Machines Corporation | System and Method for the Automated Capture and Clustering of User Activities |
JP2009076711A (ja) * | 2007-09-21 | 2009-04-09 | Hitachi High-Technologies Corp | 半導体装置の製造方法 |
KR101564473B1 (ko) * | 2007-11-21 | 2015-10-29 | 램 리써치 코포레이션 | 텅스턴 함유층에 대한 에칭 마이크로로딩을 제어하는 방법 |
WO2009073361A1 (en) | 2007-11-29 | 2009-06-11 | Lam Research Corporation | Pulsed bias plasma process to control microloading |
US9059116B2 (en) | 2007-11-29 | 2015-06-16 | Lam Research Corporation | Etch with pulsed bias |
JP5008086B2 (ja) * | 2008-05-23 | 2012-08-22 | 独立行政法人産業技術総合研究所 | 調圧機能付高速ガス切替装置 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
JP2010245101A (ja) * | 2009-04-01 | 2010-10-28 | Hitachi High-Technologies Corp | ドライエッチング方法 |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8384183B2 (en) * | 2010-02-19 | 2013-02-26 | Allegro Microsystems, Inc. | Integrated hall effect element having a germanium hall plate |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
US9105705B2 (en) * | 2011-03-14 | 2015-08-11 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US10325759B2 (en) | 2012-02-22 | 2019-06-18 | Lam Research Corporation | Multiple control modes |
US10157729B2 (en) | 2012-02-22 | 2018-12-18 | Lam Research Corporation | Soft pulsing |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
CN102737983B (zh) * | 2012-07-05 | 2015-06-17 | 中微半导体设备(上海)有限公司 | 半导体结构的形成方法 |
CN102737984B (zh) * | 2012-07-06 | 2015-08-05 | 中微半导体设备(上海)有限公司 | 半导体结构的形成方法 |
JP5822795B2 (ja) * | 2012-07-17 | 2015-11-24 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9460894B2 (en) | 2013-06-28 | 2016-10-04 | Lam Research Corporation | Controlling ion energy within a plasma chamber |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
JP6207947B2 (ja) | 2013-09-24 | 2017-10-04 | 東京エレクトロン株式会社 | 被処理体をプラズマ処理する方法 |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
JP6315809B2 (ja) * | 2014-08-28 | 2018-04-25 | 東京エレクトロン株式会社 | エッチング方法 |
JP6329857B2 (ja) * | 2014-09-01 | 2018-05-23 | 株式会社日立ハイテクノロジーズ | プラズマ処理方法 |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
JP6334369B2 (ja) | 2014-11-11 | 2018-05-30 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
JP6378234B2 (ja) * | 2016-03-22 | 2018-08-22 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US20170352574A1 (en) * | 2016-06-02 | 2017-12-07 | Taiwan Semiconductor Manufacturing Co., Ltd. | Apparatus and method for treating wafer |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
CN106997023A (zh) * | 2017-05-31 | 2017-08-01 | 云南电网有限责任公司昆明供电局 | 一种不同金属材料对sf6局部突发性放电故障分解的影响实验装置及其方法 |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
CN110211870B (zh) * | 2019-06-18 | 2021-08-13 | 北京北方华创微电子装备有限公司 | 晶圆减薄方法 |
CN112424911B (zh) * | 2019-06-20 | 2023-09-22 | 株式会社日立高新技术 | 等离子体处理装置以及等离子体处理方法 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
US11358858B2 (en) | 2020-01-24 | 2022-06-14 | Panasonic Intellectual Property Management Co., Ltd. | Semiconductor device and method of manufacturing thereof |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
KR20230169925A (ko) | 2022-06-07 | 2023-12-18 | 주식회사 히타치하이테크 | 플라스마 처리 장치 |
Family Cites Families (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5693344A (en) * | 1979-12-26 | 1981-07-28 | Fujitsu Ltd | Manufacture of semiconductor device |
JPH0622212B2 (ja) * | 1983-05-31 | 1994-03-23 | 株式会社東芝 | ドライエッチング方法 |
JPS6050923A (ja) * | 1983-08-31 | 1985-03-22 | Hitachi Ltd | プラズマ表面処理方法 |
US4599135A (en) * | 1983-09-30 | 1986-07-08 | Hitachi, Ltd. | Thin film deposition |
JPH0626199B2 (ja) * | 1983-12-14 | 1994-04-06 | 株式会社日立製作所 | エッチング方法 |
JPH0824114B2 (ja) * | 1984-11-09 | 1996-03-06 | 株式会社日立製作所 | プラズマエッチング方法 |
JPS61187237A (ja) * | 1985-02-14 | 1986-08-20 | Toshiba Corp | パタ−ン形成方法 |
JPH0697660B2 (ja) * | 1985-03-23 | 1994-11-30 | 日本電信電話株式会社 | 薄膜形成方法 |
JPS61278146A (ja) * | 1985-06-03 | 1986-12-09 | Toshiba Corp | 光処理方法 |
KR900007687B1 (ko) * | 1986-10-17 | 1990-10-18 | 가부시기가이샤 히다찌세이사꾸쇼 | 플라즈마처리방법 및 장치 |
-
1988
- 1988-10-14 JP JP63256953A patent/JP2918892B2/ja not_active Expired - Lifetime
-
1989
- 1989-10-06 US US07/418,223 patent/US4985114A/en not_active Expired - Lifetime
- 1989-10-13 DE DE68927699T patent/DE68927699T2/de not_active Expired - Fee Related
- 1989-10-13 EP EP89119069A patent/EP0363982B1/en not_active Expired - Lifetime
- 1989-10-14 KR KR1019890014762A patent/KR970000417B1/ko not_active IP Right Cessation
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR20140105581A (ko) * | 2011-12-19 | 2014-09-01 | 베이징 엔엠씨 씨오., 엘티디. | 기판 에칭 방법 및 기판 처리 장치 |
Also Published As
Publication number | Publication date |
---|---|
JPH02105413A (ja) | 1990-04-18 |
JP2918892B2 (ja) | 1999-07-12 |
EP0363982A3 (en) | 1990-06-13 |
DE68927699T2 (de) | 1997-09-11 |
EP0363982A2 (en) | 1990-04-18 |
DE68927699D1 (de) | 1997-03-06 |
KR900007066A (ko) | 1990-05-09 |
US4985114A (en) | 1991-01-15 |
EP0363982B1 (en) | 1997-01-22 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR970000417B1 (ko) | 드라이 에칭방법 및 드라이 에칭장치 | |
KR100274080B1 (ko) | 드라이에칭방법 | |
US9514953B2 (en) | Methods for barrier layer removal | |
US5002632A (en) | Method and apparatus for etching semiconductor materials | |
US6489248B2 (en) | Method and apparatus for etch passivating and etching a substrate | |
KR101811910B1 (ko) | 질화규소막에 피처를 에칭하는 방법 | |
US6660127B2 (en) | Apparatus for plasma etching at a constant etch rate | |
KR100388570B1 (ko) | 고 종횡비 개구를 형성하는 방법 | |
JP3409313B2 (ja) | 酸化物及びフォトレジスト層に対して高度の選択性を有する異方性窒化物エッチング法 | |
US5877090A (en) | Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of NH3 or SF6 and HBR and N2 | |
US7074724B2 (en) | Etchant and method of use | |
JPH02114525A (ja) | 有機化合物膜の除去方法及び除去装置 | |
JP2002543613A (ja) | 低容量の誘電体層をエッチングするための技術 | |
KR20010042106A (ko) | 고밀도 플라즈마 공정 시스템에서 기판의 실리콘층에트렌치를 형성하는 기술 | |
US6136722A (en) | Plasma etching method for forming hole in masked silicon dioxide | |
US20170338119A1 (en) | Two-step fluorine radical etch of hafnium oxide | |
JP2001110784A (ja) | プラズマ処理装置および処理方法 | |
KR100595090B1 (ko) | 포토레지스트 마스크를 사용한 개선된 엣칭방법 | |
JP3559691B2 (ja) | 半導体装置の製造方法 | |
US6787475B2 (en) | Flash step preparatory to dielectric etch | |
CN113597662B (zh) | 等离子体处理方法 | |
JP2016207753A (ja) | プラズマエッチング方法 | |
US6228774B1 (en) | High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system | |
US5908320A (en) | High selectivity BPSG:TiSi2 contact etch process | |
Dane et al. | Etching of polysilicon in a high‐density electron cyclotron resonance plasma with collimated magnetic field |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
G160 | Decision to publish patent application | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20030107 Year of fee payment: 11 |
|
LAPS | Lapse due to unpaid annual fee |