JPH02105413A - プラズマエッチング処理方法 - Google Patents

プラズマエッチング処理方法

Info

Publication number
JPH02105413A
JPH02105413A JP63256953A JP25695388A JPH02105413A JP H02105413 A JPH02105413 A JP H02105413A JP 63256953 A JP63256953 A JP 63256953A JP 25695388 A JP25695388 A JP 25695388A JP H02105413 A JPH02105413 A JP H02105413A
Authority
JP
Japan
Prior art keywords
etching
gas
plasma
time
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP63256953A
Other languages
English (en)
Other versions
JP2918892B2 (ja
Inventor
Sadayuki Okudaira
奥平 定之
Hiroshi Kawakami
博士 川上
Tokuo Kure
久礼 得男
Kazunori Tsujimoto
和典 辻本
Shinichi Taji
新一 田地
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP63256953A priority Critical patent/JP2918892B2/ja
Priority to US07/418,223 priority patent/US4985114A/en
Priority to DE68927699T priority patent/DE68927699T2/de
Priority to EP89119069A priority patent/EP0363982B1/en
Priority to KR1019890014762A priority patent/KR970000417B1/ko
Publication of JPH02105413A publication Critical patent/JPH02105413A/ja
Application granted granted Critical
Publication of JP2918892B2 publication Critical patent/JP2918892B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、プラズマエツチング処理方法及び処理装置に
係り、特に放電処理ガスとしてデポジションガスとエツ
チングガスとを交互に周期的に送給して、異なるガス雰
囲気中で交互にプラズマ処理するいわゆるガスチョッピ
ング、もしくはタイムモジュレーテッドエッチングに好
適なプラズマエツチング処理方法及び処理装置に関する
〔従来の技術〕
半導体LSIの微細化、高集積化に伴い、その製造プロ
セスにおけるエツチングガス加工技術もサブμmレベル
となり極めて高精度の加工技術が要求されている。この
種の微細加工になると、加工口径rに対する加工深さd
の割合d/rで表示されるアスペクト比が大きくなり、
このアスペクト比が大きいほどエツチング速度が小さく
なり、また、パターンサイズにより加工形状にも差が生
じてくる。
本発明者らは、この種の微細加工において、エツチング
速度のアスペクト比依存性を低減するため、マイクロ波
プラズマエツチング装置を用い、エツチングガスとして
SFいデポジションガスとしてCCQ4を用い、これら
のガスを順次数秒毎に交互に切替えて、SF、のプラズ
マ処理とCCQ4のプラズマ処理とを周期的に行う方法
を提案した。この方法は時間的にガス種を切替えること
から通称ガスチョッピングあるいはタイムモジュレーテ
ッド(略してTM)エツチング法と呼ばれているが、こ
れらのガスを同時に混合してプラズマ処理する方法に比
較してアスペクト比依存性の改善効果は顕著である。な
お、この種の技術に関しては、第35回応用物理学関係
連合講演会、予稿集28p−G−5,1988年3月2
8日及び第18回国体素子コンファレンス、第229〜
232頁、1986年(The 18th (1986
International) Conference
on 5olid 5tate Devices an
d Materials、 Tokyo。
1986、ρP、229−232)にて論じられている
〔発明が解決しようとする課題〕
上記従来のTMエツチング法は、確かにアスペクト比依
存性がかなり改善されてきた。しかし、加工部のパター
ンサイズによりエツチング速度差が少なくなってきたと
は云え、その間隙が0,5μm程度であり、更に0.3
μ重以下という厳しい微細加工に至っては、まだ実用に
足る加工精度が得られていない、つまり、一般にマイク
ロローディング効果と呼ばれている加工寸法が狭いとこ
ろと広いところでのエツチング速度の差が依然として問
題である。この種の狭い間隙と、より広い間隙とから成
るパターンサイズの異なる加工領域を同一面に有する被
加工面を、それぞれの領域のエツチング速度差を可能な
限り少なくして同時にエツチング加工する、つまり、マ
イクロローディング効果を減じて加工する実用性のある
プラズマエッチング処理方法及び処理装置を実現するこ
とが当面の技術課題である。
本発明の目的は、上記課題を解決することにあり、その
第1の目的はマイクロローディング効果を減じて0.3
μm以下の微細加工を可能とする改良されたプラズマエ
ツチング処理方法を、そして第2の目的は改良されたプ
ラズマエツチング処理装置をそれぞれ提供することにあ
る。
〔課題を解決するための手段〕
上記第1の目的は、デポジションガスとエツチングガス
とを所定時間間隔で交互に切替えて周期的にガス交換を
しながらプラズマ処理するタイムモジュレーテッドプラ
ズマエッチング処理方法において、エツチングガス周期
に入る前にプラズマ発生のための電力を投入してプラズ
マ放電を開始し、エツチングガス周期のほぼ終点で遮断
し、引続き所定の放電停止期間をおいてデポジションガ
ス周期中に再度電力を投入し、ガス切替えの時間スケジ
ュールに合せてプラズマ発生の電力を周期的に投入する
ことを特徴とするプラズマエツチング処理方法により、
達成される。そして好ましくは上記エツチングガス周期
の少なくとも初期に所定時間、エツチングガス周期を越
えない範囲内で、高周波バイアス電力を被処理試料もし
くは、その周囲にエツチングガス周期と連動させて周期
的に投入することであり、また、上記デポジションガス
周期中におけるプラズマ発生のための電力の遮断停止期
間をtとしたとき、プラズマ処理の停止された雰囲気中
の残留エツチングガス圧力VEに対する全ガス圧力(V
2+Vo)の比がただしVoはデポジションガス圧力 を少なくとも満足するに至る時間tとすることである。
上記、プラズマ発生のための電力は、マイクロ波電力が
好ましいが、必ずしもこれに限られず。
平行平板型の電極に高周波電力を投入してもよく、周知
のプラズマ発生源が使用可能であることは云うまでもな
い。
次に上記第2の目的は、被処理試料を保持する手段を内
蔵したプラズマ処理容器と、前記容器を真空排気する排
気手段と、前記容器内にプラズマを発生させる電力投入
手段と、同じく前記容器内に少なくともエツチングガス
とデポジションガスとを交互に時間的に切替えて周期的
に供給し得る少なくとも2系統のガス導入手段とを備え
たタイムモジュレーテッドプラズマ処理装置であって。
前記ガス導入手段にはそれぞれのガス流量に見合って順
次所定時間の周期で交互に切替え得るガス切替制御器を
接続すると共に前記ガス切替制御器のガス切替え周期に
連動させて前記プラズマを発生させる電力投入手段を周
期的にオン−オフ動作させる電力投入制御器とを具備し
て成ることを特徴とするプラズマエツチング処理装置に
より、達成される。そして好ましくは、上記被処理試料
もしくはその周囲に高周波バイアス電力を周期的に投入
する手段を設けると共に、前記周期的高周波電力投入の
オン−オフ制御を上記ガス切替制御器におけるエツチン
グガス周期と連動させて上記電力投入制御器で動作させ
る構成とすることである。
上記高周波電力投入時のオン−オフにおけるオフは、一
般に電力を完全に遮断することであるが。
場合によっては遮断の代りに高周波電源側に設けたイン
ピーダンスマツチング回路で電力の強弱を制御すること
でもよい。
また、上記プラズマを発生させる電力投入手段としては
、他の周知の手段でもよいが、実用上、マイクロ波電力
投入手段とすることが好ましい。
〔作用〕
一般に、エツチングガス導入によるエツチングとデポジ
ションガス導入によるデポジションを周期的に組合せて
プラズマエツチング処理を行うと、マスクパターンによ
り形成された被加工部の狭い間隙と広い間隙とにおける
エツチング速度差は少なくなる。つまり、エツチング速
度及びデポジション速度共に狭い間隙より広い間隙の方
が高くなり、これら両者の速度の高い領域つまり広い間
隙において1両者の相互作用が大きく働き、エツチング
速度が狭い間隙のそれに近づき、全体とじてのエツチン
グ速度差が小さくなる。しがし、これもマスクパターン
間隙が0.3μm以下という微細パターンになると単に
上記両ガスを交互に切替えるTMエツチングのみでは限
度があり、マイクロローディング効果を低減して被加工
面全体のエツチング速度をむら無く均一化することが困
難であった。そこで1本発明においては、従来のように
プラズマエツチング処理中に連続してプラズマ発生のた
めの電力を投入しておくのでなく、エツチングガス周期
に入る前、っまりデポジションガス周期に入ってから一
定の停止時間経過後、実用上好ましくはその周期の末期
に近い時点で投入し、エツチングガス周期が終了する時
点で遮断するといったようにプラズマ発生の電力投入ガ
スの切替え周期に連動させて周期的に行うようにしたも
のである。これにより、デポジションとエツチングとの
同作用を一層巧みに進行させることができ0.3μmと
いう微細パターンでの均一なエツチング加工を可能とし
た。本発明では、上記のように、プラズマ発生の電力投
入を一定の停止期間をおいて周期的に行うものであるが
、投入時のタイミングとしては、エツチングガス周期が
らデポジションガス周期に切替り、処理雰囲気中のガス
組成が、完全にデポジションガスで交換された時点が理
想である。しかし、この時点まで停止期間をおくと、全
体のプラズマエツチング処理時間が長くなるので、実用
的には残留エツチングガス圧Veの処理雰囲気ガス中に
占める割合が5%以下、つまりデtを遮断停止期間tと
すればよい。実際には、上述のととくデポジションガス
周期の末期に近い時点に投入するよう電力投入制御器で
時間管理をさせればよい。また、電力の遮断タイミング
は、上述のごとくエツチングガス周期の終点に同期させ
ればよいが、多少前後にずれてもがまねない。ただし、
ずれる場合は後のデポジションガス周期の初め側にずれ
るよりも前に、つまりエツチングガス周期の末期側にず
れた方が良い。
また、本発明のTMエツチングにおいては、さらにエツ
チング周期中に被処理試料もしくはその周囲に高周波バ
イアス′市力を所定時間間隔で、エツチングガス周期に
同期させて投入することが望ましい。この高周波バイア
ス電力の投入は、全体的なエツチング速度の向上に有効
である。つまり。
エツチング周期での初期にはデポジション膜が形成され
ていることになるので、このデポジション膜をイオンに
よって効率良く除去できる。しかし、エツチング周期の
期間中連続してイオンの働きを十分に行わせると選択性
が低下したり、マイクロローディング効果を低減できな
いなど逆効果となるので、高周波バイアス電力の投入期
間はエツチング周期よりは短くすべきである。そして、
この高周波バイアス電力の周期的な投入時間の管理につ
いても上記プラズマ発生の電力投入の管理と同様に電力
投入制御器で管理することができる。
〔実施例〕
実施例1 以下、本発明の一実施例を第1図のマイクロ(μ)波プ
ラズマ処理装置に基づいて説明する。
すなわち、第1図は本発明装置の要部構成を示した断面
図であり1本装置の主要構成要素のうち、マグネトロン
から成るマイクロ波発生器1、導波管2.マイクロ波透
過性真空容器(放電管)3゜磁場発生用電磁コイル4.
試料台6、試料7、固定電位付与電極8、高周波電力印
加電源9.マイクロ波発生用電源10、放電用ガス導入
管11.ガス排気口12、発光モニター用プラズマ発光
採光窓13、発光モニター処理装置14は、従来から用
いられていたものである。ここに放電用ガス導入管11
に、少なくともデポジションガスとエツチングガスとの
2系統以上のガスライン、この例では15a、i5b、
15cの3系統が設けられており、これらのガスライン
をそれぞれ特定の時間だけ0N−OFFさせるためのガ
ス切替制御器16、および高周波電力印加電源9、マイ
クロ波発生用電源10、ガス切替制御器16をそれぞれ
時間的に総合的に制御する一括制御器17を設けた。な
お、この−括制部器17は。
ガス切替制御器16による各ガスラインのON−〇FF
制御に連動してプラズマ発生用マイクロ波電源10及び
高周波電力印加電源9をそれぞれ0N−OF F制御す
る機能を有するものであることから電力投入制御器と呼
ぶことができる。本実施例の二つ以上のガスライン15
a、15b、 15cは一つの放電用ガス導入管11に
接続しているが、それぞれを直接真空室内に並列的に接
続してもよいことはいうまでもない。さらに詳細説明を
すれば、ガスライン15a、 15b、15cのうち一
つのラインだけON状態にして、他の二つのラインをO
FF状態にしている時にこれらOFF状態のラインは図
面は省略したが別途バイパス排気ラインを設けてガス排
気を行うと、次にこれらのラインをON状態にする時の
応答速度が早く、望ましい短時間制御ができる。また、
高周波電力印加電源9と試料台6の間にはインピーダン
スマツチング回路を電源側に設けてあり、高周波印加電
源9の0N−OFF制御は必ずしも、fi源の0N−O
FFではなく、パワーの強弱制御(例えば定在波比SW
Rのコントロール)であっても良い。固定電位付与電極
8は真空容器内の試料台6の軸の外周に沿ってシールド
するように取付けられ、その上部が上記台6に沿って広
がった導電材料よりなる構造となっているが、この構造
はバイアス印加値をできるだけ高くしたい場合には、固
定電位付与電極面積を大きくしたり、設置場所の変更も
可能である。
以下、この装置の動作機構の説明を含めエツチング処理
方法の一実施例を次の実施例2により説明する。
実施例2 次に、エツチング処理の一実施例を第1図に従って説明
する。真空容器3はあらかじめガス排気口12から排気
ポンプ(図面省略)により真空排気されており、同容器
内にガスライン15a及び15bを通してエツチング及
びデポジション用のガスがそれぞれ交互にガス切替制御
器16の指令を受けて周期的に減圧状態で導入され、こ
のガス切替制御器16の0N−OFFの時間スケジュー
ルに同期して一括制御17を動作させその指令に基づい
てマイクロ波発生用電源10を周期的に0N−OF F
させることによりマイクロ波発生器1で発生させたマイ
クロ波によって励起され1周期的にプラズマが発生する
。図には示さなかったが、導波管2の導波回路にアイソ
レータやパワーメータを備えておけばマイクロ波の制御
及び装置の安定性にとって役立つ。電磁コイル4はプラ
ズマ発生効率を向上させるために外部から磁場をあたえ
るための手段であり、磁場強度を875ガウスにすれば
2.4501(zのマイクロ波との相互作用によりエレ
クトロン サイクロトロン レゾナンス(ECR)状態
にすることも可能である。またガス圧力が高いときには
磁場がなくても放電するので、必ずしも磁場コイルを必
要とするものではない。このようにして発生したプラズ
マ中の反応性のある活性種が試料7と反応し、エツチン
グ及びデポジションが交互に周期的に進行する。
本実施例では試料6の被処理表面材料を多結晶シリコン
(以下Po1ySiと記す)として説明するが、シリコ
ン基板(以下Siと記す)であっても全く同じである。
本試料は第2図にその要部断面を示すシリコン基板20
上にシリコン酸化膜21、Po1y S i 22があ
り、Po1y S i 22上にパターニングされた狭
い間隙W^=0.3μm、広い間隙WB=3μmのレジ
ストマスク23が形成されている。エツチング用ガスと
してSF、ガスの流量を45cc/min、ガス圧力1
0mTorr、デポジション用ガスとしてCCU4ガス
の流量を35cc/min、ガス圧力は同じ< 10+
5Torrとし、それぞれガスライン15a、15bか
ら真空容器3内に下記の時間スケジュールで交互に周期
的に送給した。プラズマ発生にはマイクロ波のパワーを
300W一定の条件にした。また、このときの磁場強度
は真空容器3の頂部で最高1000ガウス、これより試
料方向に直線的に減衰させ、最低875ガウスに設定し
た。
なお、ここで周期的なガスの切替え、マイクロ波放電及
び高周波バイアス電力(RF)の印加については、それ
ぞれ第3図に示す時間スケジュールにより行った。すな
わち、エツチングガスとデポジションガスの切替えは、
眞者を3 sec、後者を10secの周期で交互に切
替えた。マイクロ波放電の周期は、エツチングガス周期
の1 sec前(デポジションガス周期末期の15ec
)から、エツチングガス周期(35ec)の末期までの
4 secと、その後9 secの放電停止期間tがら
成る。この放電周期のうち、デポジションガス周期末期
の1 secは、デポジションの行われる時間域であり
、その後のエツチングガス周期の3 secはエツチン
グの行われる時間域となる。このようにして、第3図の
横軸に示した時間軸かられかるように1周期13sec
の繰返しで、プラズマエツチングを進行させた。また、
エツチング周期時間内の最初から1.2〜1,8sec
間だけに高周波電源9の電力を30WとしてONするよ
うにTMエツチング用−括制部器17を設定し、上記P
o1ySi試料をエツチングすると、第4図に示すよう
な、垂直でかつ選択性が良好で、なおかつ広い間隙と狭
い間隙とのエツチング深さ、それぞれ24.25がほぼ
同等な深さになる結果が得られた。第4図ではPo1y
Siのエツチング途中で止めた図を示したが、シリコン
酸化膜21表面まですなわち終点までエツチングしても
同じである。このようにマイクロローディング効果が無
視できるエツチングが可能となった。本実施例における
広い間隙と狭い間隙とのエツチング深さの差は3%以内
であった。ここで、エツチング周期時間内の最初の1 
、2secだけ印加する高周波電力を1 、0secと
すると、エッチ速度が著しく低下した。同じく上記時間
を2 secとするとエッチ速度は大きいが、下地のS
 i Ozのエッチ速度も大きくなり、更に3sec(
エツチング周期全体)とするとますますその選択性が低
下した。したがって、エッチ速度を低下させず垂直で選
択性が高く、かつマイクロローディングのないエツチン
グを行うには上記高周波電力の印加時間が重要となるこ
とは明白である。最適な高周波電力の印加時間がガス流
量、ガス圧力、プラズマを発生させているマイクロ波の
電力に依存することはいうまでもない。
いずれにしても、エツチング周期中に高周波バイアス電
力を印加する場合には、エツチング周期全体に印加する
のは好ましくなく、それより短い時間内とすべきである
上記のごとく、高周波バイアス電力を適切に印加するこ
とは、エツチングスピードを速め時間短縮する上で極め
て有効であるが1本発明では必ずしも印加する必要はな
く、使用目的に応じて選択すればよい。つまり、印加す
る場合にはそれなりの条件下で行い、スループット(エ
ツチングスピード)を問題にしなければ印加する必要も
なく、この場合にはエツチング時間は長くなるが、選択
性が低下しないという利点がある。
上記第3図についてさらに詳記すると、エツチング周期
の3 secのうち初めの高周波バイアス(RF)印加
時間内は、デポジションにより祈出した物質をエツチン
グ除去するために費やされ、残りの時間が試料のSLを
実質的にエツチングするために費やされる。
次に、エツチング周期後の放電停止時間(ガス交換時間
に相当)について詳述する。上記第3図の9 secを
6 sec以下にすると、垂直なエツチングができなか
った。すなわち、このように放電停止時間を短くすると
、エツチングガスからデポジションガスへのガス交換が
不十分な状態下でプラズマ処理が行われるためデポジシ
ョンが行われず、側壁保護膜効果が発揮されずアンダー
カット形状となった。当然なことに6 sec以下の放
電停止時間の場合には、マイクロローディング効果が大
きくなり、最早や本発明の目的を達成することができな
かった。この理由は、ガスの交換が十分に行われておら
ず、デポジションガス(CCU4)の中に5%を越える
量の残留エツチングガス(S F、)が含まれている状
態であるからである。この5%という値は、予めCCQ
4とSFGの混合ガスでエツチングを行った時に、全ガ
ス流量中にSF6が5%を越える産金まれているとエツ
チングが行われ、5%以下になるとエツチングされなく
なる測定結果があるからである。このSF、の混合比は
、どのような排気系、ガス導入系を有する装置において
も、SF、ガス圧力/(S F、−1−C:CQ4)ガ
ス圧力の比が5/100以下となれば、デポジションが
行われるので、各ガス圧力、流量設定が変わってもその
ガス圧比が5/100以下になるに要する時間以上の時
間を放電停止時間として設定すればよい。最短時間の設
定のためには、予備的な実験をしておくのが最も簡便で
あるが、発光スペクトル、質量分析計を用いて、Fが/
Cf1t−’Mの比を検出して、実際のガス圧力比が測
定できる手段によって、自動的にデポジション周期に移
行させることもできる。
従来のTMエツチングでは、エツチング周期と高周波バ
イアス周期とを同期させており、本発明実施例のごとき
エツチング周期後の放電停止時間を設けていなかった。
そのために従来は、デポジション周期の時間を十分に長
くとり、デポジション周期内においては初期にエツチン
グが行われ、後期にデポジションが行われるようになっ
ていた6したがって、従来のTMエツチングではデポジ
ション量の再現性が悪く、エツチング周期においてデポ
ジション膜を除去する時間が一定しておらず、マイクロ
ローディング効果を低減し1選択性を向上させるには欠
点が多かった。この欠点をなくすには、少なくともエツ
チング周期後の放電停止時間を設けるのがよく、スルー
プットに問題が生じなければデポジション周期後にも放
電停止時間を設けるのがよい。この放電停止時間は、ガ
スの安定な交換を行えるメリットだけでなく、試料温度
の上昇を防ぐ働きもする。すなわち、本実施例では4 
sec間の放電時間に対して、9 sec間の放電停止
時間があり、放電停止時間/放電時間の比が2.2とな
り、熱輻射の計算から試料温度は約172に抑えること
ができる。温度変化の小さいことはデポジションやエツ
チングの安定化に有効となる。
以上の実施例から、エッチ速度を低下させず垂直で選択
性が高く、かつマイクロローディングのないエツチング
を安定に行うには、上記高周波電力の印加時間およびエ
ツチング周期後の放電停止時間の関係が重要となること
は明白である。これらの最適な時間はガス流量、ガス圧
力、プラズマを発生させているマイクロ波の電力に依存
することはいうまでもない。例えば、CCU、のガス流
量を45cc/winと増加させたり、ガス圧力を15
mT orrと高くしたり、マイクロ波電力を250W
と低くすると、同じ1 secのデポジション時間でも
デポジション膜が増加するので、RFバイアス印加時間
は1 、2sec〜1.8sec (エツチング時間の
40%〜60%)であったものが2.1sec〜2.7
secの間がよくなった。この場合のSF6ガスの流量
と圧力及びエツチング時間は上記実施例と同じとした。
またエツチング周期後の放電停止時間が4 sec以下
になると、上記実施例と同じく垂直なエツチングができ
なかったが、約2 secの短縮ができる。このように
デポジション効率をかなり上げても、放電停止時間は最
低4 secは必要であり、RFバイアスはエツチング
時間の70%〜90%が最適な条件であった。さらにデ
ポジション効率を高くする条件にすると、エツチングよ
り膜形成度合いのほうが大きくなり、エツチング形状が
おかしくなるだけでなく、スループットが著しく低下す
るので、現実的なエツチング技術にならない。以上の実
施例から、かなり広く条件を変えても、放電停止時間を
0にはできず、RFバイアスもエツチング周期中に10
0%印加するのはよくないことがわかる。
第5図は1本発明実施例と放電停止時間を設けない従来
のTMエツチングとの比較を示したパターン間隙寸法と
エツチング深さの比との関係特性線図である。横軸は、
パターンの狭いスペース(間隙)寸法を示し、縦軸は広
い間隙またはフィールド部でのエツチング深さを1とし
て較正したエツチング深さの比である。この図の縦軸の
比が1であることは、広い間隙と狭い間隙とのエツチン
グ深さがそれぞれ等しいことを意味しており、Si面の
エツチングスピードが間隙の幅に関係無く等しいことを
意味している。したがって、横軸の間隙寸法が狭くなっ
ても、限りなくエツチング深さの比が1に近い値を維持
することができれば、マイクロローディング効果のない
優れたエツチング特性を有していることになる。曲線B
は、従来の例であるが、0.5μmを越える狭い間隙に
なるとエツチング深さ比は低下しはじめ、0.2μmの
間隙では約半分の0.5になってしまう。一方、本発明
のAは、1周期の放電時間4 secのうち、SF、に
よるエツチング周期3sec、 CC(14によるデポ
ジション周期1 sec、エツチング周期直後からの放
電停止時間9 sec、エツチング周期の最初の1.6
secだけRF 電力30Wの印加条件での結果である
が、0.25μmの間隙までエツチング深さの比はほぼ
一定の1を維持しており、極めて優れた特性を示してい
る。本発明の実施例において、最もマイクロローディン
グ効果の現われない条件下におけるTMエツチングの1
サイクル分の制御フローを第6図に示した。前述のとお
り、エツチング及びデポジションに用いるガス種、それ
ぞれの導入周期、流量、圧力、RFパワー、μ波パワー
などによって、RF電力の印加時間の適正値は変動する
ので、上記の1.6secが最適な一定の値でないこと
はいうまでもない。
実施例3 実施例1ではSF、とCCQ4ガスを用いたが、デポジ
ション用のガスとして、c、CQ、F、、C,CQF、
などC,CQ、Fを含むガスや、5iHCQ3、SiH
,CQなどのSi、H,CIlを含むガス、CHCQ、
、CH3COなどのC,HlCQを含むガス、CBrF
、、C2Br2F4などのC1Br、Fを含むガスを用
いても、実施例1と同じ効果が得られた。ただし、実施
例1でのCCQ4と同じガス流量とガス圧力においては
、本実施例のガスはデポジション性が弱く、デポジショ
ン周期の時間を若干長くする必要があった。本実施例に
おけるガス系ではCQの数が小さいほど、上記時間を長
くする必要があった。上記時間を長くしないためにはガ
ス流量やガス圧力を高くすればよく、処理時間に制限が
あるときには、上記流量や圧力の設定を変更することが
できる。
実施例4 実施例1では被エツチング材料を81、P olySi
としたが、通常のエツチングでアンダーカットの起こり
やすい材料に対して特に有効である。
例えば、半導体素子材料として用いられているA Q 
、 W、 Mo、各種メタルシリサイド材料においては
、通常のトライエツチングで限られた条件以外ではアン
ダーカットが起こりやすい。本実施例ではAQ、W、タ
ングステンシリサイドについて、TMエツチングを行っ
たが、類似する材料に関しても同様な効果が得られるこ
とはいうまでもない。W、タングステンシリサイドにつ
いては実施例1で用いたガス及びエツチング条件で同様
な効果が得られた。AQに関してはエツチングガスにC
Q2、デポジションガスにCCQ4,5iCQ4など実
施例2で用いたガスとの組合せが可能であった。
実施例5 実施例1で用いた試料に印加する高周波電力として80
0に土、30Wを用いたが、13.56MI(z、 1
50Wを用いても同様な結果が得られた。印加する周波
数によって電力値が異なるのは、プラズマ中に存在する
イオンを試料表面に衝突させるための加速電圧がそれぞ
れ異なるためであると考えられる。
したがって、用いる周波数について適した電力を設定す
る必要があることはいうまでもない。これらの電力が高
いぼどデポジション膜の除去速度が大きく、エッチ速度
も大きくなるが、高すぎると前述したマスク材料のエッ
チ速度が大きくなり問題となり、低すぎるとデポジショ
ン膜の除去速度が低下し処理時間が長くなるので、本実
施例で示した値を標準として設定するとよい。
実施例6 上記までの実施例は試料の温度制御を特に行っていなか
った。従来試料のシリコンウェーハは試料台に乗せられ
るが、試料台を水冷していたとしても、熱接触が十分で
ないためにプラズマ照射条件により温度が一定でなかっ
た。本実施例では試料台を水冷温度以下にすることを可
能とする冷却手段を設けた。例えば、液体窒素と加熱ヒ
ータとの組合せで温度制御する方式、冷凍機と加熱ヒー
タとの組合せ方式を採用した。この場合、試料台以外の
真空容器内壁温度は常温でもよい。本実施例のような被
エツチング試料の温度を低くしてエツチングする方法を
低温エツチングと呼ぶ。
低温エツチングでは各種ガスの吸着効率が高くなるので
、特にデポジションガスの流量や圧力を低くしても良い
ことがわかった。実際に試料温度を−30℃にしたとき
、実施例2で用いたデポジションガスC2C23F、の
流量と圧力の両者とも約1/2にしても同様な効果が得
られることがわかった。逆にC2CQ3F、では、試料
温度が40℃以上になるとガス流量や圧力を高くしても
デポジション膜形成効率が低く、TMエツチングの目的
を達成できないことがある。試料温度のみを低温にする
ことによりデポジションガスを減らせると、真空容器内
壁の汚れを低減できるので、半導体製造装置として非常
に有利となる。デポジションガスの種類によって試料温
度が効果的になる値はそれぞれ異なることはいうまでも
ない。
以上の実施例で記した方法はかなり広い条件範囲で垂直
エツチングと選択性の向上を実現できるが、マイクロロ
ーディング効果を低減させるには第5図で示したように
、エツチング周期とデポジション周期の時間比及び高周
波電力とその印加時間が限られる。
実施例7 M0Sトランジスタの製造プロセスにおける実際のゲー
ト電極材料などのエツチングでは、エツチング終点に達
した後、オーバエツチングが行われる。上記した実施例
のほとんどはエツチング終点までのことを記したもので
あるが、オーバエツチングでは必ずしも同じTMエツチ
ングである必要はない。また実際のゲート電極材料にお
いてしばしば用いられる多層膜電極材料に関しても、上
層と下層とで同じTMエツチングでなければならないこ
とはない。すなわち、目的に応じてTMエツチング条件
を変えたり、TMエツチングを行わず、通常の連続放電
によるエツチングを組合せることができる。
本実施例ではゲート電極材料をエツチングしたあとのオ
ーバエツチングに関する一実施例について説明する。ゲ
ート電極材料層の下には数10から数100人と薄い5
in2膜があり、さらに表面全体に段差がある。したが
って、この段差部に残存するゲート電極材料を残さずエ
ツチング除去するには、100%以上のオーバエツチン
グをしなければならないことが多い。かなりの長時間の
オーバエツチングに対してSiO,膜を消失させず、す
でに加工したゲート電極がサイドエツチングしないよう
にしなければならない。このためには選択性のよいエツ
チング条件とし、デポジションをできるだけ少なくする
のがよい。例えば、オーバエツチングはTMエツチング
とせず、SFGだけのエツチング条件でRFバイアスを
Oにするのがよい。
しかし、この場合には、約200%以上のオーバエツチ
ングでサイドエツチングが起こりはじめた。
200%以上のオーバエツチングを必要とるときには、
TMエツチング条件をエツチング中に変える方法で対処
できる。例えばエツチング時間を5secとして、他の
条件は実施例1と同じにしたとき、約300%までサイ
ドエツチングもなく、SiO2膜を消失させずに、段差
部のゲート電極材料をエツチングすることができた0本
実施例のように各ステップごとにTMエツチング条件を
設定し、実際の素子構造に適したエツチングプロセスを
構築することができる。前記した多層膜のエツチングに
対しても、あらかじめ各層ごとに適したTMエツチング
条件を検討しておけば、各層を1ステツプとしてプログ
ラム化して、最適なエツチングが行える。
〔発明の効果〕
本発明によれば、従来不可能に近かった間隙0.3μm
以下におけるマイクロローディング効果を回避できるの
で、同一エツチング面にいろいろな寸法が混在する半導
体集積回路素子の微細加工に非常に有効となる。特に、
下地5in2膜厚が薄い場合とか、エツチング終点のな
いSi基板のエツチングに有効である。
【図面の簡単な説明】
第1図は本発明の実施例となるマイクロ波プラズマエツ
チング装置の要部断面図、第2図は被エツチング試料の
断面図、第3図はTMエツチングの一実施例となるタイ
ムスケジュールを示した図、第4図は本発明のTMエツ
チングによる第2図の試料をエツチングした被エツチン
グ試料の断面図、第5図は本発明の一実施例と従来法と
のマイクロローディング効果の比較を示す図、第6図は
本発明の代表的なTMエツチングの1サイクルにおける
制御の一実施例を示したタイムスケジュールである。 符号の説明 1・・・マイクロ波発生器 2・・・導波管3・・・マ
イクロ波透過性真空容器 4・・・磁場発生用電磁コイル 6・・・試料台      7・・・試料8・・・固定
電位付与電極 9・・・高周波印加型源10・・・マイ
クロ波発生用電源 11・・・放電用ガス導入管 】2・・・ガス排気口1
3・・・発光モニター用プラズマ発光採光窓14・・・
発光モニター処理装置 15a、15b、 15cmガスライン16・・・ガス
切替制御器  17・・・−括制部器20・・・シリコ
ン基板   21・・シリコン酸化膜22・・・多結晶
シリコン  23・・・レジストマスク24・・・広い
間隙でのエツチング深さ25・・・狭い間隙でのエツチ
ング深さ代理人弁理士  中 村 純之助

Claims (1)

  1. 【特許請求の範囲】 1、デポジションガスとエッチングガスとを所定時間間
    隔で交互に切替えて周期的にガス交換をしながらプラズ
    マ処理するタイムモジュレーテッドプラズマエッチング
    処理方法において、エッチングガス周期に入る前にプラ
    ズマ発生のための電力を投入してプラズマ放電を開始し
    、エッチングガス周期のほぼ終点で遮断し、引続き所定
    の放電停止期間をおいてデポジションガス周期中に再度
    電力を投入して放電を開始し、ガス切替えの時間スケジ
    ュールに合せてプラズマ発生の電力を周期的に投入する
    ことを特徴とするプラズマエッチング処理方法。 2、請求項1記載のプラズマエッチング処理方法におい
    て、エッチングガス周期の少なくとも初期に所定時間、
    エッチングガス周期を越えない範囲内で、高周波バイア
    ス電力を被処理試料もしくは、その周囲にエッチングガ
    ス周期と連動させて周期的に投入することを特徴とする
    プラズマエッチング処理方法。 3、上記デポジションガス周期中におけるプラズマ発生
    のための電力の遮断停止期間をtとしたとき、プラズマ
    処理の停止された雰囲気中の残留エッチングガス圧力V
    _Eに対する全ガス圧力(V_E+V_D)の比が 一般式V_E/(V_D+V_E)≦5/100ただし
    V_Dはデポジションガス圧力 を少なくとも満足するに至る時間tとしたことを特徴と
    する請求項1もしくは2記載のプラズマエッチング処理
    方法。 4、上記プラズマ発生のための電力として、マイクロ波
    電力を用いることを特徴とする請求項1、2もしくは3
    記載のプラズマエッチング処理方法。 5、被処理試料を保持する手段を内蔵したプラズマ処理
    容器と、前記容器を真空排気する排気手段と、前記容器
    内にプラズマを発生させる電力投入手段と、同じく前記
    容器内に少なくともエッチングガスとデポジションガス
    とを交互に時間的に切替えて周期的に供給し得る少なく
    とも2系統のガス導入手段とを備えたタイムモジュレー
    テッドプラズマ処理装置であって、前記ガス導入手段に
    はそれぞれのガス流量に見合って順次所定時間の周期で
    交互に切替え得るガス切替制御器を接続すると共に前記
    ガス切替制御器のガス切替え周期に連動させて前記プラ
    ズマを発生させる電力投入手段を周期的にオン−オフ動
    作させる電力投入制御器とを具備して成ることを特徴と
    するプラズマエッチング処理装置。 6、請求項5記載のプラズマエッチング処理装置におい
    て、上記被処理試料もしくはその周期に高周波バイアス
    電力を周期的に投入する手段を設けると共に、前記周期
    的高周波電力投入のオン−オフ制御を上記ガス切替制御
    器におけるエッチングガス周期と連動させて上記電力投
    入制御器で動作させる構成としたことを特徴とするプラ
    ズマエッチング処理装置。 7、上記プラズマを発生させる電力投入手段をマイクロ
    波電力投入手段としたことを特徴とする請求項5もしく
    は6記載のプラズマエッチング処理装置。
JP63256953A 1988-10-14 1988-10-14 プラズマエッチング処理方法 Expired - Lifetime JP2918892B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP63256953A JP2918892B2 (ja) 1988-10-14 1988-10-14 プラズマエッチング処理方法
US07/418,223 US4985114A (en) 1988-10-14 1989-10-06 Dry etching by alternately etching and depositing
DE68927699T DE68927699T2 (de) 1988-10-14 1989-10-13 Trockenätzverfahren
EP89119069A EP0363982B1 (en) 1988-10-14 1989-10-13 Dry etching method
KR1019890014762A KR970000417B1 (ko) 1988-10-14 1989-10-14 드라이 에칭방법 및 드라이 에칭장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP63256953A JP2918892B2 (ja) 1988-10-14 1988-10-14 プラズマエッチング処理方法

Publications (2)

Publication Number Publication Date
JPH02105413A true JPH02105413A (ja) 1990-04-18
JP2918892B2 JP2918892B2 (ja) 1999-07-12

Family

ID=17299657

Family Applications (1)

Application Number Title Priority Date Filing Date
JP63256953A Expired - Lifetime JP2918892B2 (ja) 1988-10-14 1988-10-14 プラズマエッチング処理方法

Country Status (5)

Country Link
US (1) US4985114A (ja)
EP (1) EP0363982B1 (ja)
JP (1) JP2918892B2 (ja)
KR (1) KR970000417B1 (ja)
DE (1) DE68927699T2 (ja)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02297929A (ja) * 1989-05-11 1990-12-10 Nec Corp マイクロ波プラズマ処理装置
US5318667A (en) * 1991-04-04 1994-06-07 Hitachi, Ltd. Method and apparatus for dry etching
JPH06244370A (ja) * 1993-01-27 1994-09-02 Internatl Business Mach Corp <Ibm> Cmos回路の局部的相互接続および製造方法
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
JP2002538618A (ja) * 1999-03-05 2002-11-12 アプライド マテリアルズ インコーポレイテッド 時間変調プラズマによる種の動的制御
JP2002543611A (ja) * 1999-04-30 2002-12-17 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 半導体の異方性プラズマエッチング方法
JP2007035929A (ja) * 2005-07-27 2007-02-08 Sumitomo Precision Prod Co Ltd エッチング方法及びエッチング装置
JP2008244294A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プロセスガス供給装置および供給方法
JP2009503882A (ja) * 2005-08-04 2009-01-29 アビザ テクノロジー リミティド 基材の処理方法
JP2009279527A (ja) * 2008-05-23 2009-12-03 National Institute Of Advanced Industrial & Technology 調圧機能付高速ガス切替装置
JP2010245101A (ja) * 2009-04-01 2010-10-28 Hitachi High-Technologies Corp ドライエッチング方法
US8162439B2 (en) 2007-06-20 2012-04-24 Konica Minolta Holdings, Inc. Method for manufacturing nozzle plate for liquid ejection head, nozzle plate for liquid ejection head and liquid ejection head
CN102737984A (zh) * 2012-07-06 2012-10-17 中微半导体设备(上海)有限公司 半导体结构的形成方法
JP2014022482A (ja) * 2012-07-17 2014-02-03 Hitachi High-Technologies Corp プラズマ処理装置
US9139901B2 (en) 2013-09-24 2015-09-22 Tokyo Electron Limited Plasma processing method
JP2016051846A (ja) * 2014-09-01 2016-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20160056257A (ko) 2014-11-11 2016-05-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리 장치 및 플라즈마 처리 방법
JP2017174538A (ja) * 2016-03-22 2017-09-28 東京エレクトロン株式会社 プラズマ処理方法
CN110211870A (zh) * 2019-06-18 2019-09-06 北京北方华创微电子装备有限公司 晶圆减薄方法
KR20200145644A (ko) 2019-06-20 2020-12-30 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
KR20230169925A (ko) 2022-06-07 2023-12-18 주식회사 히타치하이테크 플라스마 처리 장치

Families Citing this family (396)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69017744T2 (de) * 1989-04-27 1995-09-14 Fujitsu Ltd Gerät und Verfahren zur Bearbeitung einer Halbleitervorrichtung unter Verwendung eines durch Mikrowellen erzeugten Plasmas.
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
JP3018517B2 (ja) * 1991-01-25 2000-03-13 ソニー株式会社 ドライエッチング方法
US5221414A (en) * 1991-07-16 1993-06-22 Micron Technology, Inc. Process and system for stabilizing layer deposition and etch rates while simultaneously maintaining cleanliness in a water processing reaction chamber
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6328845B1 (en) * 1993-03-18 2001-12-11 Hitachi, Ltd. Plasma-processing method and an apparatus for carrying out the same
JP3343629B2 (ja) * 1993-11-30 2002-11-11 アネルバ株式会社 プラズマ処理装置
KR100322695B1 (ko) * 1995-03-20 2002-05-13 윤종용 강유전성캐패시터의제조방법
US5575888A (en) * 1995-04-14 1996-11-19 The United States Of America As Represented By The Secretary Of The Navy Sidewall passivation by oxidation during refractory-metal plasma etching
JPH09129557A (ja) * 1995-10-27 1997-05-16 Shin Etsu Handotai Co Ltd 薄膜の製造方法
GB9616225D0 (en) 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE69725245T2 (de) * 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US6187685B1 (en) * 1997-08-01 2001-02-13 Surface Technology Systems Limited Method and apparatus for etching a substrate
DE19736370C2 (de) * 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium
KR100549901B1 (ko) * 1997-08-22 2006-02-06 동경 엘렉트론 주식회사 플라즈마 처리 장치의 제어 방법
JP4153606B2 (ja) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 プラズマエッチング方法およびプラズマエッチング装置
WO2000026956A1 (en) * 1998-11-04 2000-05-11 Surface Technology Systems Limited A method and apparatus for etching a substrate
DE69942020D1 (de) * 1998-12-11 2010-04-01 Surface Technology Systems Plc Plasmabehandlungsgerät
US7306745B1 (en) * 1999-04-14 2007-12-11 Surface Technology Systems Plc Method and apparatus for stabilizing a plasma
US6383938B2 (en) * 1999-04-21 2002-05-07 Alcatel Method of anisotropic etching of substrates
DE19927806A1 (de) * 1999-06-18 2001-01-04 Bosch Gmbh Robert Vorrichtung und Verfahren zum Hochratenätzen eines Substrates mit einer Plasmaätzanlage und Vorrichtung und Verfahren zum Zünden eines Plasmas und Hochregeln oder Pulsen der Plasmaleistung
FR2797997B1 (fr) * 1999-08-26 2002-04-05 Cit Alcatel Procede et dispositif pour le traitement de substrat sous vide par plasma
US6291357B1 (en) 1999-10-06 2001-09-18 Applied Materials, Inc. Method and apparatus for etching a substrate with reduced microloading
US20020185226A1 (en) * 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6593244B1 (en) 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US6712983B2 (en) * 2001-04-12 2004-03-30 Memsic, Inc. Method of etching a deep trench in a substrate and method of fabricating on-chip devices and micro-machined structures using the same
US6818562B2 (en) 2002-04-19 2004-11-16 Applied Materials Inc Method and apparatus for tuning an RF matching network in a plasma enhanced semiconductor wafer processing system
US6759340B2 (en) * 2002-05-09 2004-07-06 Padmapani C. Nallan Method of etching a trench in a silicon-on-insulator (SOI) structure
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
US6924235B2 (en) * 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) * 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
US6982175B2 (en) * 2003-02-14 2006-01-03 Unaxis Usa Inc. End point detection in time division multiplexed etch processes
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US20060006139A1 (en) * 2003-05-09 2006-01-12 David Johnson Selection of wavelengths for end point in a time division multiplexed process
WO2004102642A2 (en) * 2003-05-09 2004-11-25 Unaxis Usa Inc. Envelope follower end point detection in time division multiplexed processes
US7521000B2 (en) 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US7879510B2 (en) 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7790334B2 (en) 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US8187483B2 (en) * 2006-08-11 2012-05-29 Jason Plumhoff Method to minimize CD etch bias
US7786019B2 (en) 2006-12-18 2010-08-31 Applied Materials, Inc. Multi-step photomask etching with chlorine for uniformity control
US20090043646A1 (en) * 2007-08-06 2009-02-12 International Business Machines Corporation System and Method for the Automated Capture and Clustering of User Activities
JP2009076711A (ja) * 2007-09-21 2009-04-09 Hitachi High-Technologies Corp 半導体装置の製造方法
US8518282B2 (en) * 2007-11-21 2013-08-27 Lam Research Corporation Method of controlling etch microloading for a tungsten-containing layer
US8609546B2 (en) 2007-11-29 2013-12-17 Lam Research Corporation Pulsed bias plasma process to control microloading
US9059116B2 (en) 2007-11-29 2015-06-16 Lam Research Corporation Etch with pulsed bias
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8384183B2 (en) * 2010-02-19 2013-02-26 Allegro Microsystems, Inc. Integrated hall effect element having a germanium hall plate
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102737983B (zh) * 2012-07-05 2015-06-17 中微半导体设备(上海)有限公司 半导体结构的形成方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170352574A1 (en) * 2016-06-02 2017-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for treating wafer
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
CN106997023A (zh) * 2017-05-31 2017-08-01 云南电网有限责任公司昆明供电局 一种不同金属材料对sf6局部突发性放电故障分解的影响实验装置及其方法
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
US11358858B2 (en) 2020-01-24 2022-06-14 Panasonic Intellectual Property Management Co., Ltd. Semiconductor device and method of manufacturing thereof
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126835A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd エッチング方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5693344A (en) * 1979-12-26 1981-07-28 Fujitsu Ltd Manufacture of semiconductor device
JPH0622212B2 (ja) * 1983-05-31 1994-03-23 株式会社東芝 ドライエッチング方法
JPS6050923A (ja) * 1983-08-31 1985-03-22 Hitachi Ltd プラズマ表面処理方法
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
JPH0824114B2 (ja) * 1984-11-09 1996-03-06 株式会社日立製作所 プラズマエッチング方法
JPS61187237A (ja) * 1985-02-14 1986-08-20 Toshiba Corp パタ−ン形成方法
JPH0697660B2 (ja) * 1985-03-23 1994-11-30 日本電信電話株式会社 薄膜形成方法
JPS61278146A (ja) * 1985-06-03 1986-12-09 Toshiba Corp 光処理方法
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60126835A (ja) * 1983-12-14 1985-07-06 Hitachi Ltd エッチング方法

Cited By (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02297929A (ja) * 1989-05-11 1990-12-10 Nec Corp マイクロ波プラズマ処理装置
US6333273B1 (en) 1991-04-04 2001-12-25 Hitachi, Ltd. Method and apparatus for dry etching
US5318667A (en) * 1991-04-04 1994-06-07 Hitachi, Ltd. Method and apparatus for dry etching
US5354418A (en) * 1991-04-04 1994-10-11 Hitachi, Ltd. Method for dry etching
US6136721A (en) * 1991-04-04 2000-10-24 Hitachi, Ltd. Method and apparatus for dry etching
US5474650A (en) * 1991-04-04 1995-12-12 Hitachi, Ltd. Method and apparatus for dry etching
US5650038A (en) * 1991-04-04 1997-07-22 Hitachi, Ltd. Method for dry etching
US5795832A (en) * 1991-04-04 1998-08-18 Hitachi, Ltd. Method and apparatus for dry etching
US7071114B2 (en) 1991-04-04 2006-07-04 Hitachi, Ltd. Method and apparatus for dry etching
US6008133A (en) * 1991-04-04 1999-12-28 Hitachi, Ltd. Method and apparatus for dry etching
US5368685A (en) * 1992-03-24 1994-11-29 Hitachi, Ltd. Dry etching apparatus and method
JPH06244370A (ja) * 1993-01-27 1994-09-02 Internatl Business Mach Corp <Ibm> Cmos回路の局部的相互接続および製造方法
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
JP2002538618A (ja) * 1999-03-05 2002-11-12 アプライド マテリアルズ インコーポレイテッド 時間変調プラズマによる種の動的制御
JP2002543611A (ja) * 1999-04-30 2002-12-17 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング 半導体の異方性プラズマエッチング方法
JP2007035929A (ja) * 2005-07-27 2007-02-08 Sumitomo Precision Prod Co Ltd エッチング方法及びエッチング装置
JP2009503882A (ja) * 2005-08-04 2009-01-29 アビザ テクノロジー リミティド 基材の処理方法
KR101238086B1 (ko) * 2005-08-04 2013-02-27 아비자 테크놀로지 리미티드 기판 처리 방법
US8486198B2 (en) 2005-08-04 2013-07-16 Aviza Technology Limited Method of processing substrates
JP2008244294A (ja) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp プロセスガス供給装置および供給方法
US8162439B2 (en) 2007-06-20 2012-04-24 Konica Minolta Holdings, Inc. Method for manufacturing nozzle plate for liquid ejection head, nozzle plate for liquid ejection head and liquid ejection head
JP2009279527A (ja) * 2008-05-23 2009-12-03 National Institute Of Advanced Industrial & Technology 調圧機能付高速ガス切替装置
JP2010245101A (ja) * 2009-04-01 2010-10-28 Hitachi High-Technologies Corp ドライエッチング方法
CN102737984A (zh) * 2012-07-06 2012-10-17 中微半导体设备(上海)有限公司 半导体结构的形成方法
US10522331B2 (en) 2012-07-17 2019-12-31 Hitachi High-Technologies Corporation Plasma processing apparatus
US9514967B2 (en) 2012-07-17 2016-12-06 Hitachi High-Technologies Corporation Plasma processing apparatus
JP2014022482A (ja) * 2012-07-17 2014-02-03 Hitachi High-Technologies Corp プラズマ処理装置
US9139901B2 (en) 2013-09-24 2015-09-22 Tokyo Electron Limited Plasma processing method
JP2016051846A (ja) * 2014-09-01 2016-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20160056257A (ko) 2014-11-11 2016-05-19 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리 장치 및 플라즈마 처리 방법
US10699909B2 (en) 2014-11-11 2020-06-30 Hitach High-Tech Corporation Plasma processing apparatus and plasma processing method
JP2017174538A (ja) * 2016-03-22 2017-09-28 東京エレクトロン株式会社 プラズマ処理方法
CN110211870A (zh) * 2019-06-18 2019-09-06 北京北方华创微电子装备有限公司 晶圆减薄方法
KR20200145644A (ko) 2019-06-20 2020-12-30 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
US11387110B2 (en) 2019-06-20 2022-07-12 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
KR20230169925A (ko) 2022-06-07 2023-12-18 주식회사 히타치하이테크 플라스마 처리 장치

Also Published As

Publication number Publication date
KR900007066A (ko) 1990-05-09
JP2918892B2 (ja) 1999-07-12
EP0363982A3 (en) 1990-06-13
US4985114A (en) 1991-01-15
DE68927699D1 (de) 1997-03-06
KR970000417B1 (ko) 1997-01-09
EP0363982B1 (en) 1997-01-22
DE68927699T2 (de) 1997-09-11
EP0363982A2 (en) 1990-04-18

Similar Documents

Publication Publication Date Title
JPH02105413A (ja) プラズマエッチング処理方法
US5368685A (en) Dry etching apparatus and method
US4705595A (en) Method for microwave plasma processing
KR101742324B1 (ko) 반도체 장치의 제조 방법 및 플라즈마 에칭 장치
KR100756737B1 (ko) 반도체 웨이퍼 프로세싱의 일부 동안 펄스형 플라즈마를 제공하는 방법
US7138067B2 (en) Methods and apparatus for tuning a set of plasma processing steps
KR100843018B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
JPH04326726A (ja) ドライエッチング方法
JP2003023000A (ja) 半導体装置の製造方法
US7578945B2 (en) Method and apparatus for tuning a set of plasma processing steps
JP3011018B2 (ja) プラズマエッチング方法
JPH05267226A (ja) ドライエッチング装置およびドライエッチング方法
JP3018462B2 (ja) ドライエッチング方法
JPS60120525A (ja) 反応性イオンエツチング方法
JP3516741B2 (ja) プラズマ処理方法
JP4061691B2 (ja) 表面加工方法
JPH04298035A (ja) プラズマエッチング方法
KR20020047003A (ko) 플라즈마 처리 방법
JP2000012529A (ja) 表面加工装置
JP3038984B2 (ja) ドライエッチング方法
JPH05144773A (ja) プラズマエツチング装置
JPH06291087A (ja) 半導体集積回路装置の製造方法および製造装置
KR100270258B1 (ko) 반도체장치의 제조방법
JP4436463B2 (ja) 3つの独立制御電極を具備したエッチングチャンバ装置
JPH05347279A (ja) プラズマエッチング方法及び装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080423

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090423

Year of fee payment: 10

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090423

Year of fee payment: 10