JP2002538618A - 時間変調プラズマによる種の動的制御 - Google Patents

時間変調プラズマによる種の動的制御

Info

Publication number
JP2002538618A
JP2002538618A JP2000603070A JP2000603070A JP2002538618A JP 2002538618 A JP2002538618 A JP 2002538618A JP 2000603070 A JP2000603070 A JP 2000603070A JP 2000603070 A JP2000603070 A JP 2000603070A JP 2002538618 A JP2002538618 A JP 2002538618A
Authority
JP
Japan
Prior art keywords
reactor
plasma
antenna
time
planar
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000603070A
Other languages
English (en)
Inventor
ケニス エス コリンズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2002538618A publication Critical patent/JP2002538618A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32422Arrangement for selecting ions or species in the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】 時間変調を用いてプラズマ反応器内に生成された種の密度をアクティブに制御する方法及び装置が開示されている。本発明に係る方法は、誘導結合プラズマ反応器を準備すること、プロセスガスを照射すること、そして、RFアプリケータからのエネルギー放射を時間変調することを含んで、プラズマ内に所望密度の種を達成する。時間変調は、変調信号のオンタイム及びオフタイムを変動することを含む。更に、短いオンタイム及び長いオフタイムは解離の度合が最少化させる場合にはより好ましい。先の方法が実行され得る本発明に係る装置は、信号変調器を有する全て半導体であるチャンバーを含む。更には、この装置はソレノイド型アンテナ及び信号変調器の様々な実施例を有する反応器を含む。

Description

【発明の詳細な説明】
【0001】 関連出願の相互参照 以下の同時係属中の米国出願は本願と関連主題を開示しており、それら全開示
は引用することでここに合体する。 (a)Dimitris P. Lymberopoulos等の「Meth
od and Apparatus for Improving Proce
ssing and Reducing Charge Damage in
an Inductively Coupled Plasma Reacto
r」と題された米国特許出願第09/049,722号(1998年3月27日
出願); (b)Kenneth S. Collins等の「Inductively
Coupled RF Plasma Reactor Having an
Overhead Solenoidal Antenna」と題された米国
特許出願第08/648,254号(1996年5月13日出願)であり、これ
は以下の一部継続出願である、 (1)1991年6月27日出願の米国特許出願第07/722,340号
の継続出願である1993年4月1日出願の米国特許出願08/041,796
号の継続出願である、Kenneth S. Collins等の1995年1
2月20日出願の米国特許出願第08/580,026号; (2)1993年10月15日出願の米国特許出願第08/138,060
号の分割出願であるMichael Rice等の1995年7月18日出願の
米国特許出願第08/503,467号; (3)1992年12月1日出願の米国特許出願第07/984,045号
(既に放棄済み)の継続出願である1994年8月11日出願の米国特許第08
/289,336号の一部継続出願である1995年8月31日出願の米国特許
出願第08/521,668号(既に放棄済み)の一部継続出願である、Ken
neth S. Collinsの1996年2月2日出願の米国特許出願第0
8/597,577号; (C)Kenneth S. Collins等の「Plasma Reac
tor with Heated Source of a Polymer-
Hardening Precursor Material」と題された19
96年5月13日出願の米国特許出願第08/648,256号。
【0002】 発明の背景 1.発明の分野 本発明は一般にはRFアプリケータを有するプラズマリアクタ(プラズマ反応
器)に関し、より詳細には時間変調(時変調)を用いてそうしたプラズマ反応器
内で生成される種(化学種)の密度をアクティブ(動的)に制御する方法及び装
置に関する。
【0003】 2.背景技術 プラズマ(即ち「ドライ」)エッチ処理は世界における最大の製造業界の幾つ
かにとってきわめて重要である。特にプラズマエッチは、半導体、集積回路、並
びにマイクロエレクトロニクス装置の製造において必要不可欠である。これらの
製品は、コンピュータ、エレクトロニクス、バイオメディカル、並びに、宇宙産
業を含む様々な業界にとって必須である。
【0004】 プラズマエッチ処理は加工片の表面特性を変更すべく使用される。例えば半導
体製造において、加工片としては半導体ウェハーであり、プラズマエッチ・プロ
セスはパターン化された材料をそのウェハーの表面から除去する。エッチ深さ等
のエッチ要件は、例えばホール深さに依存してウェハー間で変動し得る。こうし
て1つのパターンを有するウェハーは、通常、別のパターンを有する別のウェハ
ーとは異なるエッチ要件を有する。
【0005】 これらの異なる要件は、プロセスガス化学、温度、圧力、流量、並びに、電力
等の処理パラメータもエッチ・プロセス毎に異なることを指図する。更には、各
エッチ・プロセスに対するこれら処理パラメータは、通常、精密である。従って
、各エッチ・プロセスは、典型的には、狭いプロセス・ウィンドウを有する。事
実上、幾つかの処理パラメータの特殊化された「秘訣(recipes)」がエッチ・プ
ロセス用に存在するが、これら秘訣の決定には大量の時間及びコストを消費し得
る。それ故にこれら狭いプロセス・ウィンドウを広げる必要性がある。
【0006】 これらプロセス・ウィンドウがそのように狭い1つの理由は、プラズマ内での
競合するエッチ及び堆積の機構のためである。プロセス・ウィンドウは一方の極
限での不充分なエッチ選択性と、他方の極限での不充分なエッチ停止深さとによ
って制限されている。一方の極限であるエッチ選択性が意味することは、エッチ
・プロセスがあるタイプの物質を除去する一方で、他の物質に影響することなく
残存させることである。この選択性は、フォトレジスト或は下方に横たわる基板
に対する選択性等の任意の物質に対するものであり得る。エッチ選択性は、エッ
チされるべきことが意図されていない表面がエッチされる際には不適当である。
更には不充分なエッチ選択性は、ホール深さ或はパターンの過剰エッジや、歩留
まりの低減を引き起こし得る。
【0007】 エッチ選択性は、しばしば、プロセスガス内にポリマー前駆物質を導入するこ
とによって向上させられる。一般に、ポリマー前駆物質はプロセスガス内に含有
されており、そのポリマーは酸素が何等抜け出ていない状態の任意の表面上に堆
積される。逆に酸素が表面から抜け出ている状態の際、酸素がポリマーの正味の
堆積を防止する傾向がある。よって、ポリマーは非酸素含有物質の表面上に堆積
し酸素含有物質の表面に堆積しない。
【0008】 他方の極限で、プロセス・ウィンドウは過剰堆積或は不充分なエッチ停止深さ
によって制限されている。もし余りにも大量のポリマー先駆物質が非酸素物質の
表面に堆積することが許容されれば、ポリマー堆積は酸素含有物質の上部で生じ
得て、エッチ停止を生じ得る。エッチ停止は完全エッチングに先行するエッチン
グの中断であり、通常、加工片におけるホール内におけるポリマーの過剰堆積に
よる該ホールのエッチング中に生ずる。エッチ停止が生ずる深さはエッチ停止深
さと呼称される。不充分なエッチ停止深さは、そのエッチ停止深さが所望エッチ
深さよりも常に小さいことを意味する。
【0009】 これら2つの極限の間で、エッチ・プロセスは充分なエッチ選択性及び充分な
エッチ停止深さを有する。言い換えれば、加工片は非酸素含有表面上にポリマー
の充分な層を有することとなって、該表面がエッチングから充分に保護され、加
工片を所望深さまでエッチする充分なエッチ速度がある。しかしながら、プロセ
ス・ウィンドウは非常に狭いので、そのウィンドウからそれ易い。余りにも少な
いポリマーで不充分なエッチ選択性が生ずる。余りにも大きなポリマー堆積で不
充分なエッチ停止深さが生ずる。
【0010】 狭いプロセス・ウィンドウを伴うエッチ・プロセスの一例は自己整合接触(S
AC)エッチ・プロセスである。典型的には、フォトレジスト・マスクがエッチ
されるべき酸化層上に配置される。その酸化物はBPSG、アンドープド珪酸塩
ガラス(USG)、或は、他の何等かの酸化物であり得、そして、変動する酸化
物の様々な層を有し得る。更には、シリコン基板であり、該シリコン基板からポ
リ線導体を絶縁するためにそのシリコン基板上に被覆されたボトム窒化層を具備
するシリコン基板がある。そのポリ線は絶縁された基板上に配置され、絶縁窒化
層でキャップされている。加えて、そのポリ線上には他の様々な層があり得る。
ポリ線を被覆する窒化層の厚みは400オングストロームと薄くすることが可能
である一方、ポリ線絶縁層の全厚みは1ミクロンから2ミクロンの範囲未満であ
ることが可能である。
【0011】 SACエッチ・プロセスが必要とすることは、ホールが酸化層内から基板まで
下ってエッチされることである。しかしながら、ホールが基板に近づくと、ポリ
線がそのホールの一部を占有し得る。窒化層や潜在的には他の物質及び各種層を
含むポリ線絶縁層はエッチ停止層である。このエッチ停止層の目的は、エッチャ
ントがそのポリ線導体に吹き抜けてそれを露出させるように保持することである
。その後、導電性材がそのホール内に堆積され、ポリ線(ポリライン)ではなく
基板との接触を取らなければならない。
【0012】 ポリ線上の窒化層はエッチングを防ぐためにポリマーで保護されなければなら
ないが、酸化物はエッチされる必要性があるためにそうした酸化物は保護される
必要性がない。これを達成するために、処理パラメータは相対的に低いソース電
力及びプロセスガス流量を要求する。例えば、C48のプロセスガスの場合、流
量は毎分12から14標準立方センチメートル(SCCM)の範囲である。プロ
セス・ウィンドウのサイズはほんの1SCCMである。もし流量が2SCCMだ
け増大すれば、エッチ停止が生じ、そして、もし流量が2SCCMだけ減少すれ
ば、過剰エッチングが生ずることになる。よって、プロセス・ウィンドウは非常
に狭いので、約10%未満のガス流量そしてほんの1SCCMの変化がそのガス
流量をプロセス・ウィンドウ外に為すことになる。
【0013】 狭いプロセス・ウィンドウを伴うエッチ・プロセスの他の例は高アスペクト比
のエッチ・プロセスである。高アスペクト比エッチ・プロセスにおいて、アスペ
クト比、即ちホール深さ対ホール径の比は大きい。一般に、現行の技術で達成可
能な最も大きなアスペクト比は、エッチ停止がより高いアスペクト比で生ずる傾
向があるので、5:1及び6:1の間である。
【0014】 例えば、もしプロセスガスがポリマー先駆物質(例えば、フルオロカーボン及
びフルオロハイドロカーボン)であり、その化学反応が「薄く(lean up)」され
て、より低いカーボン(C)対フッ素(F)比となれば、これはより少ないポリ
マーを形成する傾向がある。これが意味することは、より深いホール及びより高
いアスペクト比のホールは加工片内にエッチされ得ることである。しかしながら
問題は、より高いアスペクト比ではフォトレジスト・マスクが浸蝕されホールの
「破裂(blowing out)」に至る。
【0015】 もしこの問題を回避するために流量を増大することによってプロセスガス化学
反応をより「濃く(rich)」して、より大量のカーボンでの濃い化学反応と為すと
共により高い圧力に為せば、薄いポリマー層がフォトレジスト上に形成される。
これはフォトレジストのより良好な不動態化を可能とする。しかしながら、より
大量のポリマーはホール内にも堆積され、ある地点ではこのポリマーの過剰堆積
がホールの漸減及びエッチ停止を生じさせる。典型的には、もしソース電力が1
0〜20%低ければ、エッチ停止が生じ、そして、もし電力が10〜20%高け
れば、エッチ選択性が酷く劣化されることになる。この狭いプロセス・ウィンド
ウはガス流量及び圧力等の他のプロセス・パラメータにも適用される。
【0016】 これらプロセス・ウィンドウを拡張するために、誘導結合プラズマ(ICP)
反応器或は容量結合プラズマ(CCP)反応器がしばしば使用される。一般に、
これら2つのタイプのプラズマ反応器における共通要素は、反応器チャンバーと
該チャンバー内の加工片支持体とを含む。加工片は支持体上に配置され、プロセ
スガスがそのチャンバー内へ導入される。RFアプリケータ(例えば誘導RFア
ンテナ)を用いて、電磁エネルギー・ソース等からのエネルギー放射でプロセス
ガスを照射することでプラズマが作り出され、プラズマを点火しそれを反応器チ
ャンバー内に持続又は維持する。このエネルギー放射はプロセスガスを幾つかの
化学反応性の種に分解又は解離する。更には、これら種の内の幾つかはイオン化
して、それらに正味の電荷を付与し、加工片に印加されたバイアス信号からの電
場によってそれらにチャンバー内での機動性を与える。この機動性は、例えば、
加工片の表面に直交する角度で破片を衝突させることによって、該加工片に対し
てほぼ完全な垂直方向エッチを可能とする。
【0017】 典型的には、ICP反応器は高エッチ速度プラズマが必要な際に用いられる。
これは、ICP反応器が一般にはより高いイオン密度を有することでより高いエ
ッチ速度を有するからである。しかしながら一般に、エッチ選択性はより高いエ
ッチ速度で劣化される。よって、ICP反応器はより高いプラズマ密度及びより
高いエッチ速度を有する傾向があるが、エッチ選択性は容量結合反応器において
より良好である傾向がある。更にCCP反応器は、通常、より良好なエッチ選択
性を有するが、プラズマ密度及びエッチ速度はICP反応器と比較して非常に低
い傾向がある。
【0018】 誘導結合反応器及び容量結合反応器の間でのエッチ選択性に関するこの違いに
対する1つの理由は、プラズマ内での解離量である。特にICP反応器のプラズ
マは典型的にはCCP反応器より多くの解離を為す。解離が意味することは、プ
ロセスガスの分子を2つ或はそれ以上のその分子の構成部分に分離させることで
あり、一般的には電子との非弾性的な衝突による。これら構成部分即ち種は、解
離の結果として形成され、原子、イオン、或は、遊離基を含み得る。プロセスガ
スの化学反応、ソース電力、並びに、チャンバー圧力を含む幾つかの処理パラメ
ータに依存して、形成された種はより大きな種或はより小さな種となり得る。一
般に、より大きな種が意味することは、その種がより少なく解離された種であり
、より小さな種が意味することは、その種がより多く解離された種であることで
ある。
【0019】 先に述べたように、誘導結合反応器及び容量結合反応器のプラズマは異なる解
離を為す。詳細には、ICP反応器におけるプラズマは相対的に小さな種に解離
する傾向がある。更にこれら小さな種は選択性に対して逆の効果を有し得る。例
えば遊離フッ素(F)は、それが殆どの物質をエッチする傾向があって、エッチ
選択性を劣化するために通常は望ましくない小さい種である。他方、CCP反応
器におけるプラズマはより大きな種に解離する傾向がある。それ故に一般にCC
P反応器において、より大きな種のより大きな密度があり、ICP反応器ではよ
り小さな種のより大きな密度がある。これがエッチ選択性に関して通常CCP反
応器においてより良好である理由の1つである。
【0020】 例えばCHF3のプロセスガスを伴うICP反応器において、照射されたプロ
セスガスはC,CH,F,C2,CF,CHF,CF2,及びCHF2を含む幾つ
かの種に解離する。より大きいか或はより少なくかの何れかで解離された種は、
CHF,CF2,及びCHF2を含む一方、より小さいか或はより多くかの何れか
で解離した種はC,F,CH,CF,及びC2を含む。よって、より大きな種は
プロセスガスの分子構造により類似し、そして、より小さな種はプロセスガスの
原子構成要素により類似している。
【0021】 図1Aは誘導結合反応器におけるCHF3プロセスガスに対する崩壊又は分解
を図示している。最も大きなパーセンテージ(46%)は比較的小さな種CF、
第2の最も大きなパーセンテージ(38%)は比較的大きな種CHF2、そして
残部が7%C、6%CF2、並びに、3%の種々雑多な要素である。比としての
CHF2/CF=.83はより大きな種対より小さな種の比を表している。よっ
てより小さな種CFは支配的な種である。
【0022】 事実上、プロセスガスが誘導結合プラズマ反応器におけるCF4,C26,或
は、C48等のフルオロカーボンである場合、全てが解離して、CFが通常支配
的な種となる。遊離F及び他の種の量が僅かに変化するが、より大きな種対より
小さな種の比は殆ど変化しない。それ故に、必要とされていることはプラズマ内
で生成されるより大きな種とより小さな種の密度をアクティブ即ち動的に制御す
る方法及び装置であって、それら2つの比は増減され得る。勿論、プロセスガス
の原子構成は生成され得る種を制限するが、各種の密度を制御することはその比
に影響することになる。その比を増大することはより良好なエッチ選択性を付与
する傾向があり、その比を減少することはより良好なエッチ停止深さを付与する
ことになる。
【0023】 プラズマ内で生成する種の密度を制御するための幾つかのアプローチが、プロ
セス・ウィンドウを拡張するために試みられたが、単に限られた成功に止まって
いる。例えば、プロセスガスの化学反応を変えることは僅かな成功をもたらした
が、重大な飛躍はなかった。更に、ソース電力、バイアス電力、バイアス周波数
等の他の処理パラメータに関する調整は動作点をシフトしたが、プロセス・ウィ
ンドウを抜本的に拡張しなかった。
【0024】 1つの見込みある技術は、プラズマをパルス、即ち時間変調することである。
この明細書において、時間変調とパルシング(pulsing)(即ち脈動)とは互換性
ある使い方がされている。図1Bは図1Aと同一条件下でのCHF3プロセスガ
スの解離を図示し、図1Aでは連続波(CW型)プラズマ或は非パルス(型)プ
ラズマであり、図1Bでは時間変調(型)プラズマ即ちパルス(型)プラズマで
ある。ソース電力は10マイクロ秒でオンされ且つ10マイクロ秒でオフされて
パルス化される。主要な種はCHF2(62%)、次に主要な種はCF(25%
)であり、残部C(8%)、CF2(4%)、並びに、その他の種々雑多な要素
(1%)を伴う。更にはより大きな種対より小さな種の比、即ちCHF2/CF
=2.48が劇的に増大された。
【0025】 プラズマ・パルシング(又はプラズマ脈動)に関して幾つかのアプローチが提
案されてきた。これらアプローチの大多数は容量結合反応器を使用している。先
に述べたように、容量結合反応器に係る1つの問題はそれらが誘導結合反応器の
エッチ速度を有していないことである。結果として、CCP反応器はICP反応
器と同じような深さまで或はICP反応器と同程度の速度でエッチできない短所
を有する。
【0026】 他のパルシング・アプローチは、大きなアンテナ対ウェハ間隙を伴う誘導結合
反応器或は容量結合反応器を使用する。しかしながらそうした大きなアンテナ対
ウェハ間隙に伴う1つの問題は、プラズマ密度が劣化されることである。これは
次いでエッチ速度を劣化する。加えて、殆どのアプローチは金属製のチャンバー
壁を有する反応器を使用している。しかしながら金属製壁は、プラズマがその金
属と接触するとプラズマを汚染する傾向がある。
【0027】 したがって、必要とされていることは、プラズマ内で生成される種をアクティ
ブに制御する方法及び装置である。更には、そうした方法及び装置はICP反応
器に関連された高いプラズマ密度及びエッチ速度と、CCP反応器に関連された
高いエッチ選択性とを有することが望ましい。そうした方法及び装置は加工片内
に比較的に深いホールをエッチさせて、加工片処理時間を短縮又は低減すること
を可能とする。
【0028】 更に必要とされることは、SAC等のエッチ・プロセスや高アスペクト比エッ
チ・プロセスの狭いプロセス・ウィンドウを拡張する方法及び装置である。詳細
には、それら方法及び装置はプラズマ内で生成される種の密度のアクティブな制
御を可能として、エッチ選択性がエッチ停止深さと切り離されることになること
である。これは、一方が増大されるが他方が減少するのではなく、エッチ選択性
及びエッチ停止深さ双方が増大されることを可能とする。より広いプロセス・ウ
ィンドウはプラズマ・エッチ処理に対するコスト及び時間を節約して、プロセス
をより早期に行使させる。
【0029】 更に必要とされていることは、プラズマ内で生成される種の密度にわたる制御
を可能として、その種の密度と解離とが容量結合反応器のものから誘導結合反応
器のものまで変動されるように為すような方法及び装置である。これはユーザが
特定のエッチ選択性を選択することを可能とする。例えば、先に議論されたSA
Cエッチ・プロセスにおいて、エッチ選択性はホール・エッチの初期段階で高い
が、ホールの深さが増大するに連れて漸次増大されることになる。よって、エッ
チ停止は回避することが出来、また処理パラメータを変更する必要性があるよう
な任意の遅延やシャットダウンも回避し得る。
【0030】 加えて更に必要とされていることは、反応器がアンテナ対加工片の小さな間隙
を有する場合、プラズマ内で生成される種の密度を制御する方法及び装置である
。この低減された間隙又はギャップは加工片の表面でのプラズマ密度を向上させ
る。更に必要とされていることは、反応器チャンバーが非金属製壁を有すること
になる方法及び装置である。これは、プラズマとの相互作用を為す金属によるプ
ラズマの汚染を削除することになる。
【0031】 プラズマ内で生成される種をアクティブに制御する現行の方法及びプラズマ反
応器のメリットがどのようであろうが、それは本発明の利益を達成しない。
【0032】 発明の概要 先に説明した先行技術における制限や、本明細書を読んで理解するに及んで明
らかとなる他の制限を克服するために、本発明は時間変調を用いてプラズマ反応
器内で生成される種の密度をアクティブ又は動的に制御する方法及び装置を含む
【0033】 本発明の方法及び装置は、プラズマ内で生成された種の密度をアクティブに制
御することによってプロセスガスの解離を制御することを可能としている。特に
本発明は時間変調又はパルシング(脈動)を用いて、充分なエッチ停止、プラズ
マ密度、或は、エッチ速度を犠牲にすることなしに、この制御を達成する。更に
は、生成された種の密度に対する制御はユーザが容量結合反応器のものから誘導
結合反応器のものまでの範囲にわたるエッチ選択性を選択することを可能として
いる。この発明はエッチ選択性及びエッチ停止深さの競合する機構を切離し、幾
つかのタイプのエッチ・プロセスのプロセス・ウィンドウを拡張する。よって、
本発明は処理時間を短縮又は低減し、現行技術と比べて歩留まりエッチ精度を増
大する。
【0034】 好適実施例において、本発明は非金属製反応器チャンバーを有する装置を含む
。特に反応器は内側面を含む反応器チャンバーと非金属材から成る壁とを有する
。更に反応器は、反応器チャンバー内に加工片を保持する加工片支持体、加工片
支持体上方の天井、並びに、電力ソースから反応器チャンバーへ電力を結合する
RFアプリケータを有する。信号変調器(モジュレータ)は電力ソース、バイア
ス・ソース、或は、それら双方と結合され得て、変調のための手段を提供する。
【0035】 本発明の方法はこの反応器内の加工片を処理する方法を含む。この方法は、R
Fアプリケータからのエネルギー放射でプロセスガスに照射することによって、
反応器チャンバー内にプラズマを生成することを含む。加えてこの方法は、エネ
ルギー放射を変調することでプラズマ内に生成された種の密度を制御する。
【0036】 別の好適実施例はソレノイド型誘導アンテナを有する反応器を含む。この反応
器は、反応器チャンバー、支持平面を有する加工片支持体、反応器エンクロジャ
ー、並びに、前記反応器エンクロジャーに隣接する非平面誘導アンテナを含む。
この非平面誘導アンテナは、支持平面に対して非平面的に空間的に分布された複
数の誘導要素を含む。更には反応器が、変調手段として、電力ソース、バイアス
・ソース、或は、それら双方に結合している信号変調器を含む。
【0037】 この発明の方法は、ソレノイド型誘導アンテナを有する反応器を提供すること
を含む。更にこの方法は非平面誘導アンテナからのエネルギー放射でプロセスガ
スを照射することでプラズマを生成することを含む。この方法は、更に、プラズ
マ内で生成される種の密度を制御するためにエネルギー放射を変調することを含
む。好ましくは、種の制御は変調のオンタイム(オン時間)及びオフタイム(オ
フ時間)を変動することで達成される。
【0038】 本発明の他の局面及び長所は、この発明のより完全な理解と共に、本発明の原
理の例示目的で図示されている添付図面と組み合わせて解釈される以下の詳細な
説明から明らかとなるであろう。更には本発明の範囲は、特許請求の範囲によっ
て制限されることが意図されると共に、発明の概要或は以下の詳細な説明によっ
て制限されないことが意図されている。
【0039】 次に、全体にわたって同様参照番号が対応するパーツを表している図面が参照
する。
【0040】 好適実施例の詳細な説明 好適実施例の以下の詳細な説明において、本発明が実施され得ることになる特
定の実施例を例示的に示している添付図面が参照される。理解して頂きたいこと
は、他の実施例も利用され得て、構造的及び機能的な変更が本発明の範囲から逸
脱すること無しに為され得ることである。
【0041】 I. 序論 図示の目的のための図面に示されるように、本発明は時間変調を用いることで
プラズマ反応器内に生成される種の密度をアクティブ又は動的に制御する方法及
び装置に具体化される。本方法は、変調信号のオンタイム及びオフタイムを調整
することを含んで、誘導アンテナのエネルギー放射が時間変調される。この変調
は、プラズマ内の解離量を容量結合反応器のものからCW型誘導結合反応器のも
のまで変動する。更に本発明はこの方法を誘導結合反応器に利用する装置に関す
る。
【0042】 本発明は現行の技術を凌ぐ数個の長所を有する。そうした長所の内の幾らかは
、第1に、時間変調が、プラズマ密度からプラズマの解離を本質的に切離すこと
である。よって、プラズマ密度及びエッチ速度を高に保持しながら、(プラズマ
内種の密度を変更することによって)解離量を変更することが可能である。更に
は、解離及びプラズマ密度を相互に切離すことによって、プロセス・ウィンドウ
が拡張される。このより広いプロセス・ウィンドウはプラズマ・エッチ処理の時
間及びコストの双方を短縮又は低減する。
【0043】 第2として本発明は、誘導結合反応器のエッチ速度及びプラズマ密度と、容量
結合反応器の高エッチ選択性とを有する。換言すれば、本発明は両タイプの反応
器における最良の特徴を単一反応器に組み入れるものである。第3として本発明
は、プラズマ内での解離量を制御することで、エッチ選択性をユーザによって選
択させることを可能としている。特により大きな種対より小さな種の比を制御す
ることによって、エッチ選択性の量が決定可能となる。
【0044】 最後に本発明の様々な実施例が、小さなアンテナ対加工片間隙、非金属性反応
器チャンバーを具備する反応器チャンバー、並びに、ソレノイド型アンテナを具
備する反応器を含む。これら様々な実施例は加工片において均一なプラズマ分布
を提供し、プラズマ・エッチ処理性能を向上している。逆に、現行のパルス型プ
ラズマ反応器は、低プラズマ密度、反応器チャンバー内での金属面との相互作用
によるプラズマの汚染、並びに、加工片にわたる不均一プラズマ密度分布を含む
幾つかの短所を有している。
【0045】 先に述べたように、本発明の方法及び装置はプラズマの解離をプラズマ密度か
ら切離す補助を為す。換言すれば、プラズマの解離はプラズマ密度における著し
い劣化無しに低減され得る。更には幾つかのタイプのエッチ・プロセスにおいて
固有の狭いプロセス・ウィンドウが著しく拡張される。プラズマの解離をプラズ
マ密度から切離すことによって、充分なエッチ選択性及び充分なエッチ停止深さ
の間の限界が拡幅される。
【0046】 典型的には、プラズマの解離は厳然としてプラズマ密度と結びついている。例
えば、CCP反応器は低解離を達成するが、低いエッチ速度及びプラズマ密度を
も有する。他方、ICP反応器は高解離を有するが、高いエッチ速度及びプラズ
マ密度を有する。よってICP反応器における解離をかなりの程度に変更すると
、プラズマ密度及びエッチ速度に悪影響を与える。
【0047】 A. 時間変調されたプラズマの効能 プラズマの時間変調の効能を調査するために、テストが様々なプロセスガスを
用いてテスト反応器内で行われた。このテスト反応器は、2.02MHzの固定
周波数で駆動される25センチメートル径のアンテナを具備するICP反応器で
あった。5KW、1.8〜2.2MHzのENI社製固体RF電力発生器が増幅
器として動作しWavetek社製RF信号発生器によって駆動された。RF信
号発生器は外部供給された変調信号での100%振幅変調で動作した。この変調
信号は、RF信号発生器へのAM入力を飽和するように計画的に選択されたレベ
ルでLeCroy社製信号発生器によって供給される50%デューティサイクル
方形パルス波であった。ENI社製電力発生器の変調されたRF出力は標準の固
定されたプラズマ・ソースの「ローカルマッチングネットワーク」へ(50オー
ムZO伝送線を介して)印加された。アンテナ電流はアンテナ入力におけるPe
arson社製電流変換器を用いてモニタされた。商業的RF発生器からのバイ
アス電力がセラミックESCへのインピーダンスマッチングネットワークによっ
て印加された。バイアス電力が全てのテスト稼働に使用されて、接地に対して幾
らかの増大されたプラズマ電位を提供し、それがソースのみの動作の場合よりも
遙かにより安定したイオン測定を為した。電力測定はENI社製RF電力発生器
に対する内部メータを用いて為された。CW(非パルス)及びパルスモードにお
いて、前方及び反射電力の読みの間における差は、アンテナ電流に基づく(時間
平均)正味電力を示すように現れた。
【0048】 バルクのプラズマは、質量選択或はエネルギー選択を、内部イオン化ソースを
伴って或はそれを伴うこと無しに可能とするHiden製質量/エネルギー分析
器を用いてサンプリングされた。Hiden製分析器は正イオン・モード(イオ
ン化の内部ソース無し)は差動ターボポンプ作用を受けて、プロセス領域内で数
10ミリトルの圧力にわたって動作することを可能とされる。加えてこの分析器
は電気的に接地されて、RFバイアスされた電極ではなく、大量のプラズマから
のイオン・エネルギーを測定する。
【0049】 1. ICP反応器内の様々なプロセスガスの解離 図1乃至図8において、ICP反応器内の質量選択された種の各々に対する統
合されたイオン・エネルギー分布が図示されている。分布は統合され、エネルギ
ー対フラックスの曲線下方の面積が各種に対して合計されている。更には、図1
乃至図8は共通して次の条件:(1)10ミリトルの圧力でのプロセスガスの1
00cc、そして(2)150Wのバイアス電力での1000Wの平均ソース電
力を有する。
【0050】 再度図1Aで参照されるように、プロセスガスはCHF3であり、CW(非パ
ルス)型プラズマは様々な種に解離された。特に種の38%はCHF2であり、
より大きな(より少なく解離された)種であった。更に種46%はCFであり、
より小さな(より多く解離された)種であった。種の残りは、7%C及び6%C
2を含む相当により小さな密度の種であった。
【0051】 図1Bは、プラズマが時間変調されたことを除いて、図1Aと同一条件の下で
のプラズマの解離を図示している。変調信号は、10μsのオンタイム及び10
μsオフタイムを有する50%デューティサイクルであった。パルス化されたプ
ラズマは解離されて、種の62%がCHF2であり、種の25%がCFであり、
そして残りの種が他の種のより小さいな密度であった。よって、プラズマをパル
ス化することがCHF2の密度を、CW型プラズマでの38%からパルス型プラ
ズマでの62%まで増大した。更にCFの密度はCW型プラズマでの46%から
パルス型プラズマでの25%まで低減された。
【0052】 図2A及び図2Bは、CF4をプロセスガスとして用いた、CW及びパルス型
プラズマでの統合されたイオン・エネルギー分布を図示している。図2AはCW
型プラズマに対する種密度を示している。特に種密度として、CFに対する57
%、CF3に対する34%、そして、他の種に対するより小さな密度であった。
【0053】 図2Bは10μsオンタイム及び10μsオフタイムでの50%デューティサ
イクルでプラズマをパルス化させた結果を示している。CFの密度は44%まで
縮小され、CF3の密度は50%まで増大された。よって、CF3はプラズマがパ
ルス化された際にプラズマを支配し始める。同時に、CFの密度は低減される。
【0054】 図3A及び図3Bでは、プロセスガスがC26であることを除いて、先行する
図と同一条件の下でのイオン・エネルギー分布が示されている。この場合、プロ
セスガスがCF4である際、プラズマがCFの更に一層より大きな密度に解離さ
れる。図3AはCW型プラズマに対する種の分布を示している。即ち、CFの7
4%、CF3の18%、並びに、他の種のより小さな密度であった。
【0055】 図3Bはパルス化されたプラズマに対する分布を示している。密度CFは59
%まで低減され、CF3は33%まで増大された。脈動パルスにおけるCFの密
度は依然高いが、図3A及び図3Bはプラズマをパルス化することはCF3対C
Fの比を改善することを示している。
【0056】 図4A及び4Bは、C48のプロセスガスに対するイオン・エネルギー分布を
示している。テスト条件は先行する図のものと同一である。図4AはCFの82
%、CF3の8%、残部が様々な種のより小さな密度を示している。CFの密度
は、CWでのC26プラズマに対するものよりもCWでのC48プラズマにおい
て更に一層大きい。図4Bはパルス化されたC48プラズマの分布を示している
。CF種は79%まで僅かに低減され、CF3は13%までほぼ倍加された。
【0057】 図1乃至図8は、幾つかのプロセスガスにおける解離がパルスを用いることで
低減され得ることを図示している。脈動即ちパルシングはいくつかの化学反応に
おいてより大きな効果を有する傾向があるが、主要な点はテストされた全てのプ
ロセスガス化学反応において、脈動又はパルシングが解離を低減することである
。特に、以上の結果が示したことは、より大きな種対より小さな種の比はパルシ
ング即ち脈動で増大され得て、それによってプラズマ内の全体的な解離を低減す
ることである。
【0058】 2. CCP反応器内での解離 純粋な容量結合反応器を誘導結合反応器と比較するために、同一テスト・チャ
ンバーがCCP反応器として使用された。図5及び図6Aはその結果を示してい
る。テスト条件は、バイアス電力1000WでのCHF3の100ccとアルゴ
ン(Ar)の100ccであり、バイアス周波数は13.56MHz、そしてC
HF3がプロセスガスであった。これら場合の何れもがパルス型プラズマではな
かった。
【0059】 図5は、10ミリトルのチャンバー圧力での容量結合反応器に対する統合され
たイオン・エネルギー分布を示している。最も大きな密度はCHF3の25%で
あり、次いで、CHF2の23%、CFの22%、並びに、他の種のより低い密
度であった。より大きな種対より小さな種の比、即ちCHF2/CF≒1であっ
た。
【0060】 しかしながら典型的には、CCP反応器はそうしたより低い圧力で使用されず
、その理由はエッチ選択性が殆どなく、エッチ速度が殆どないからである。従っ
て、10ミリトルの圧力でのICP反応器と同一圧力でのCCP反応器を比較す
ることは実際的ではない。そうしたCCP反応器は、通常、50及び100ミリ
トルの間の圧力で稼働される。
【0061】 図6Aは、50ミリトルの共通チャンバー圧力でのCCP反応器に対する統合
されたイオン・エネルギー分布を表している。種の最も大きな密度はCHF2
51%であり、CFが24%であり、残部が他の種の集まりであった。よって、
50ミリトルのこの優遇された動作圧力で、容量結合反応器はCHF2/CF(
より大きな種対より小さな種)≒2での比較的低い解離を示す。
【0062】 3. ICP反応器及びCCP反応器間の比較 図6B及び図6Cは、パルス化されたICP及びCWのICPの各反応器に対
する統合されたイオン・エネルギー分布を示している。有効な比較を為すために
、テスト条件の殆どは図6AのCCP反応器に対するテスト条件と同等であった
。特にプロセスガスは同一であるCHF3の100ccとArの100ccであ
った。CCP反応器と異なったICP反応器に対する条件は、平均ソース電力が
1.6MHzで150Wのバイアス電力での2MHzで1000Wであり、圧力
が先の説明された50ミリトルの代わりの10ミリトルであったことである。脈
動パルスの場合、デューティサイクルが10μsオンタイム及び10μsオフタ
イムでの50%であった。
【0063】 図6Bはパルス化されたICP反応器に対する結果を示している。特に、分布
が、47%のCHF2、32%のCFであり、CHF2/CF≒1.5であった。
図6Cは、34%のCHF2と39%のCFを伴うCWのICP反応器に対する
分布を示している。これはCHF2/CF≒.87を付与する。
【0064】 CCP反応器、パルス型ICP反応器、並びに、CW型ICP反応器間のこの
比較が示すことは、ICP反応器におけるイオン・エネルギー分布がパルスによ
ってCCP反応器におけるイオン・エネルギー分布と非常に類似させられている
ことである。それ故にこれらテストが示すことは、パルスがICP反応器の解離
を改善又は低減するために使用可能であることである。しかしながら別のゴール
は、プラズマの解離をアクティブ又は動的に制御することができて、解離が良好
なCCPプロセス(図6A9からCW型ICPプロセス(図6C)へ、そして何
れの間においても変動させ得ることである。
【0065】 II. 本発明の方法 本発明の方法は、ユーザがプラズマの解離をアクティブに制御することを可能
とさせて、解離がCCP反応器とCW型ICP反応器の間で変動させられるよう
に為す。更には解離が、これら2つの極限の間における何れへにも調整可能であ
る。加えて、解離が改善されている状態で、ICP反応器におけるプラズマ密度
及びエッチ速度は維持される。このようにして、本発明の方法はCCP反応器に
関連する低い解離を可能とすると共に、ICP反応器に関連する高いプラズマ密
度及びエッチ速度を可能とする。
【0066】 図7は、本発明の方法のフロープロセス概略図である。図7で参照されるよう
に、第1手順410は本方法を行い得るICP反応器を準備することである。理
想的には、高いエッチ速度及びプラズマ密度を提供することに加えて、このIC
P反応器は高度に均一化されたプラズマ密度分布を提供すべきである。更にこの
ICP反応器は小さなアンテナ対加工片間隙を提供して、プラズマ密度を高く維
持し、反応器チャンバー内にプラズマを汚染する金属材を有しないように為すべ
きである。プラズマ反応器のこうした要件は以下の「本発明の構造」の項で更に
議論される。
【0067】 手順420はプロセスガスをエネルギー放射で照射することである。プロセス
ガスは反応器チャンバー内で或は該チャンバー内へ導入される前のある地点でエ
ネルギー放射によって照射され得る。このエネルギー放射は、誘導アンテナ、バ
イアス電力ソース、或は、エネルギー放射を付与する他の任意の装置から可能で
ある。好ましくは、エネルギー放射はRFアンテナ及びRFバイアス・ソースか
ら為される。エネルギー放射がRFバイアス・ソースから為されると、該エネル
ギー放射は電場となる。更にエネルギー放射は、ソース電力、アンテナ電流、或
は、アンテナ電流の変化率を含み得る。よって、これらソース電力、アンテナ電
流、或は、アンテナ電流の変化率はパルシング或はエネルギー放射の時間変調の
定義内で誘導される。
【0068】 手順430は時間変調即ちパルシング手順である。特にエネルギー放射のパル
シング(脈動)は解離の所望度が達成されるまで変動される。勿論、解離の度合
はCCP反応器とCW型ICP反応器の解離間だけで変動し得る。しかしながら
エネルギー放射のパルシングを変動することによって、これら2つの限界間の解
離度合は選択され得る。
【0069】 エネルギー放射のパルシングを変動又は変更する主要な方法は、変調信号のオ
ンタイム及びオフタイムを変更することである。変調信号はエネルギー放射と通
信し、該エネルギー放射のオンタイム及びオフタイムを制御する。オンタイム及
びオフタイムの長さを変動することによって、解離の所望度合が選択され得る。
【0070】 図8は、「オンタイム」及び「オフタイム」の概念を図示している。図8が示
すことは、オンタイム510及びオフタイム520を含む方形波変調信号500
である。この例において、オンタイム510及びオフタイム520は同等である
。よって、図8は50%のデューティサイクルを表している。しかしながら以下
に更に説明されるように、オンタイム510及びオフタイム520は相互に異な
らせるることができて、プラズマ内の解離のより大きな制御をもたらす。
【0071】 理想的には、エネルギー放射出力は方形波変調信号に正確に従うことになる。
しかしながら現実的には、オンタイム中、エネルギー放射は電力設定までの途中
でその信号に遅れる傾向があり、オフタイム中、そのより低いレベル電力設定ま
での途中で遅れる傾向がある。図8に示されているように時間550での変調信
号は「オン」であり、破線560で描かれているエネルギー放射は、漸次、所望
の電力設定へ降下する。しかしながらもしオンタイム510が充分に短ければ、
エネルギー放射は所望電力設定に到達し得ない。同様に、もしオフタイム520
は充分に短ければ、エネルギー放射は再び上昇する前にゼロ電力に完全に到達し
得ない。よって、エネルギー放射が「オン」であろうが「オフ」であろうがに拘
わらず、全時間で全体的にクリアとなり得ない。それ故に不明瞭を回避するため
に、典型的にはエネルギー放射は、もしエネルギー放射が所望の電力設定の約7
5%より大きければ「オン」と規定され、そしてもし所望電力設定の約25%よ
り小さければ「オフ」と規定される。しかしながらこれらの値は一例として使用
されるのみであり、ユーザの所望に応じて規定され得る。
【0072】 加えて、エネルギー放射が「オフ」タイム中にゼロまで完全に行く必要性がな
い。事実上、オフタイム中、エネルギー放射はオンタイム中にエネルギー放射未
満であるがゼロより大きい非ゼロ値であり得る。オフタイム中のこの非ゼロのエ
ネルギー放射は、プラズマがオフタイム中により高い濃度で維持されることを可
能とする。オフタイム中にこのより高い濃度のプラズマでの1つの長所はオフタ
イムがより長くなり得ることである。
【0073】 図9A乃至図9Dは、デューティサイクルが変動されると共に、プラズマの解
離がどのように変化するかを図示している。特にオンタイムが一定に保持される
一方、オフタイム期間140が変動されている。これら図の各々において、統合
イオン分布が各種に対して測定されている。テスト条件は、10ミリトルでのC
HF3の100ccとArの100cc、2MHzでの1000W平均のソース
電力、並びに、1.6MHzでの150Wのバイアス電力である。エネルギー放
射は、オフタイムを変動しながら、増幅器の最小限界である10μsのオンタイ
ムでパルス化即ち脈動された。
【0074】 種CHF2のフラックスは各稼働に対して測定されて、プラズマ密度に対する
パルスの効果を求めた。これは重要なパラメータであり、その理由は先に示され
たように解離の度合は制御され得るがプラズマ密度を高く保持することも重要で
ある。よって、CHF2フラックスは、解離度合が変化されている間にプラズマ
密度がどの程度良好かの印象を付与する。
【0075】 図9Aは、CW型(非パルス型)プラズマ、即ち100%デューティサイクル
のプラズマに対する結果を示している。CHF2の密度が41%であり、CFの
密度が38%であり、CHF2/CF≒1.06の比をもたらす。更にCHF2
ラックス≒9.12E5である。
【0076】 図9Bは、10μsのオンタイム及び5μsのオフタイムでの67%デューテ
ィサイクルに対する結果を示している。この短いオフタイムはプラズマに非常に
短いクーリング時間を付与した。加えて、1000Wの平均ソース電力を保持す
るために、ピーク・ソース電力は1500Wであった。CHF2の密度は45%
であり、CFが37%であり、CHF2/CF≒1.24の比をもたらした。C
HF2フラックス≒11.0E5であった。よってプラズマの解離は該プラズマ
の密度が実際に僅かに増大されている一方で低減された。
【0077】 図9Cは、10μsのオンタイム及び10μsのオフタイムでの50%デュー
ティサイクルに対する結果を図示している。この稼働に対するピーク・ソース電
力は2000Wであった。CHF2の密度は54%であり、CFが25%であり
、CHF2/CF≒2.17の比をもたらし、CHF2フラックス≒9.82E5
であった。よってより大きな種対より小さな種の比、即ちCHF2はCW型プラ
ズマを凌ぐ2倍以上を有する。更にCHF2フラックス、即ちプラズマ密度は実
験誤差範囲内でほぼ同一に停滞する。
【0078】 図9Dは、10μsオンタイム及び20μsオフタイムでの33%デューティ
サイクルの結果を示している。これらの条件で、1000Wの平均電力を保持す
るために、ピーク電力は3000Wであり、それは装置のほぼ限界である。33
%デューティサイクルで、CHF2の密度は60%であり、CFは26%であり
、CHF2/CF比≒2.27であり、そしてCHF2フラックス≒10.4E5
であった。このデューティサイクルで、CHF2/CFの比は飽和に近接してい
る。しかしながら、傾向はその比そしてそれ故の解離度合は依然改善している。
【0079】 図10A乃至図10Cにおいて、デューティサイクルは50%で保持され(オ
ンタイム及びオフタイム期間が同等)、パルスサイクル時間の変動の効果が試さ
れた。パルスサイクル時間は、それが繰り返されるべきサイクルをどの程度長く
費やすかであり、オンタイム期間及びオフタイム期間を加算することで決定され
る。例えば、10μsオンタイム及び20μsオフタイムでのパルスは30μs
のパルスサイクル時間を有する。テスト条件は図9A乃至図9Dのものと同等で
あった。
【0080】 図10Aは、20μsのパルスサイクル時間(10μsオンタイム及び10μ
sオフタイム)に対する解離の度合(統合されたイオン密度分布)を示している
。CHF2の密度は50%であり、CFが30%であり、CHF2/CFの比≒1
.69であった。更にCHF2フラックス≒7.52E5であった。
【0081】 図10Bは、40μsのパルスサイクル時間(20μsオンタイム及び20μ
sオフタイム)に対する結果を図示している。CHF2の密度は50%であり、
CFが35%であり、CHF2/CFの比≒1.40であり、CHF2フラックス
≒6.94E5であった。
【0082】 図10Cは、60μsのパルスサイクル時間(30μsオンタイム及び30μ
sオフタイム)に対する結果を示している。CHF2の密度は48%であり、C
Fが35%であり、CHF2/CFの比≒1.36であり、CHF2フラックス≒
6.83E5であった。
【0083】 図10A乃至図10Cから明らかなことは、より短いパルスサイクル時間を用
いることで長所があることである。図9A乃至9D及び図10A乃至図10Cか
らの情報を組み合わせての結論は、最小の度合の解離がより短いオンタイム(少
なくとも10マイクロ秒まで)及び長いオフタイムで生じることである。しかし
ながら長いオフタイムはプラズマ密度が過剰に低く降下する必要がないという制
約によって制限されている。それ故に現実的には、解離に関する最も大きな低減
は、5〜15マイクロ秒のオンタイムと30マイクロ秒以上のオフタイムで生ず
ることになる。
【0084】 再び図7を参照すると、時間変調手順430がエネルギー放射をパルス化する
変調信号のオンタイム及びオフタイム双方を変動することによって実行される。
もしCCP反応器の解離特性(即ち、低い解離)が所望されれば、オンタイムは
短く且つオフタイムは長くすべきである。同様にもし、CW型ICP反応器等内
で)高エッチ速度である一方で低エッチ選択性が所望されれば、パルスは停止さ
れ得る。更には、エネルギー放射のオンタイム及びオフタイムを変動することに
よって解離の度合はこれら2つの限界の間における何れにも設定され得る。更に
は、プラズマをパルス化することは解離をプラズマ密度から係合解除し、プラズ
マ密度に関する著しい変動無しに解離を変化させることを可能とする。
【0085】 好適実施例において、本発明の方法は電場を生成するバイアス・ソースを伴う
ICP反応器を提供することを含む。更には、本方法は変調信号を用いてその電
場をパルス化することを含む。この変調信号は、先に説明されたエネルギー放射
を変調すべく使用された信号と同一であっても或は異なっていてもよい。加えて
、この方法はバイアスをパルス化し且つエネルギー放射をパルス化する多数の変
動を含む。
【0086】 バイアス・ソースの電場をパルス化することの長所は、エネルギー放射をパル
ス化する利益が向上されることである。特に、電子密度は電子温度よりも相当に
小さく変動する。バイアスのパルス無しにそしてエネルギー放射をパルス化する
のみで、バイアス包絡線はソース電力包絡線の殆ど逆比例である。
【0087】 たとえもしバイアス・ソースがパルス化されなくとも、エネルギー放射をパル
ス化することはバイアスに対するパルス効果を有する。これはバイアス電力が同
一に維持されていても真である。よってバイアス電圧はプラズマが強力で且つ電
子温度が高い(パルスエネルギー放射オンタイム)時により低くなり、そしてバ
イアス電圧は電子温度が低く且つプラズマが弱い時により高く成る。
【0088】 好適実施例において、バイアス・ソースは何等かの方法でパルスエネルギー放
射と同調される。例えば、バイアス・ソースはパルス化され得て、バイアスのオ
フタイムはエネルギー放射のオンタイムで生じ、バイアスのオンタイムはエネル
ギー放射のオフタイムで生ずる。これはプラズマ中により冷えた種がある最中に
、加工片のアクティブなエッチングと成る。加えて、バイアス変調及びエネルギ
ー放射変調は位相遅延されるか、或は、完全に同調される。
【0089】 III. 本発明の構造 上述の方法は本発明のICP反応器において実行され得る。一般に、ICP反
応器はより大きなプラズマ及びエッチ速度を達成し、よってCCP反応器よりも
好ましい。更に本発明の方法を用いて、ICP反応器に固有の解離の度合は、プ
ラズマ密度及びエッチ速度を著しく劣化すること無しに、プラズマを時間変調す
ることで著しく低減され得る。
【0090】 A. 非金属製チャンバーを具備するICP反応器 ICP反応器が反応器チャンバーの内面に既存を有する場合、プラズマ及び金
属の相互作用はプラズマを汚染し得る。この問題を回避するために、プラズマ・
エッチ処理に対しては非金属製反応器チャンバーであることが望ましい。
【0091】 従って図11は、非金属製反応器チャンバー610を有するICP反応器60
0の好適実施例を示している。特に反応器チャンバー610は非金属材料で形成
された内面620を含む。この材料は半導体材料、より好ましくはシリコンであ
ることが可能である。先に述べたように、全半導体チャンバーを用いることの長
所は、プラズマが金属面との接触で生ずる汚染から解放されることである。
【0092】 反応器600は加工片640を保持する加工片支持体630と、該加工片支持
体の上方に横たわる天井650とを更に含む。アンテナ660は天井250の上
方に横たわって、電力ソース670と結合されている。好ましくは、電力ソース
670はRF電力発生器である。バイアス・ソース680、より好ましくはRF
電力発生器は加工片支持体630と結合されている。信号変調器(モジュレータ
)99は電力ソース670を制御すべく接続され、アンテナ660からのエネル
ギー放射をパルス化するために必要な手段を提供する。信号変調器690はバイ
アス・ソース680にも接続され得て、バイアス・ソース680の電場をパルス
化する。これら信号変調器690は、プラズマをパルス化する際に一緒に或は個
別に用いられ得る。
【0093】 B. ソレノイド型アンテナを具備するICP反応器 ICP反応器における別の所望特徴は高圧で動作する能力である。更に小さな
アンテナ対加工片間隙を有する長所である。特により高い圧力で動作することは
エッチ選択性を増大する。これは、上方に横たわる酸素含有層(例えば二酸化シ
リコン)層のエッチング中に、下方に横たわる非酸素含有(例えば、シリコン、
ポリシリコン、或は、フォトレジスト)層を保護すべく、高密度プラズマ・エッ
チ反応器で典型的に採用される重合化プロセスが低圧よりも高いチャンバー圧力
(例えば、約20〜500ミリトル以上)でより効率的であることによる。チャ
ンバー内のポリマー先駆物質ガスは、非酸素含有面上で、特により高いチャンバ
ー圧力で強力に重合化しようとし、酸素含有面上ではほんの僅かに重合化しよう
とする。よって、非酸素含有面はエッチングに対して比較的良好に保護される一
方、酸素含有面は比較的保護されず、エッチされる。そうした重合化プロセスは
より高いチャンバー圧力で良好に酸素対シリコンのエッチ選択性を向上するが、
これは重合化速度が100ミリトル等のより高い圧力でより高くなるからである
。それ故に、望まれることは、非酸素含有層上方の酸素含有層をプラズマ・エッ
チングしている際に比較的高いチャンバー圧力で動作することである。
【0094】 小さなアンテナ対加工片間隙を提供することはそうすることで、プラズマ密度
及びエッチ速度を増大するので有利である。しかしながらより高い圧力及び小ア
ンテナ対加工片間隙の双方に伴う問題は、加工片の表面にわたるプラズマ密度が
不均一になることである。特にヌル(null)領域が加工片の中心上に作り出されて
、電子がその領域に何等提供されなくなる。更に他の領域に提供された電子は、
一般に、加工片中心領域へ拡散できず、その理由はガス粒子及びチャンバー面と
の再結合である。最終的には小さなアンテナ対加工片距離で中心ヌルを形成し、
許容できないプラズマ均一性をもたらす。
【0095】 本発明は、プラズマ密度分布の均一性を増大するための、先に参照されたKe
nneth S. Collins等の「Inductively Coupl
ed RF Plasma Reactor having an Overh
ead Solenoidal Antenna」と題された米国特許出願番号
第08/648,254号に開示されたアプローチを用いて、これら問題を克服
する。特に本発明は、加工片の表面にわたるプラズマ密度分布を構築するために
そうしたプロセス・パラメータを調整する手段を含む。より詳細には、その調整
手段は、アンテナ対加工片間隙が小さい場合に経験されるヌル(null)を補償すべ
くソレノイド型RF誘導アンテナを用いることを含む。よって、プラズマ密度は
アンテナ対加工片間隙を短縮することによって増大され得る一方、加工片の表面
にわたるより大きなプラズマ密度の均一性を可能としている。
【0096】 図12Aは、短いアンテナ対加工片間隙を有する誘導結合RFプラズマ反応器
の単一ソレノイド型実施例を図示しており、誘導場の浸透深さ(skin depth)はお
よそ間隙長であることを意味している。この明細書で理解されるように、およそ
間隙長である浸透深さは、間隙長の10倍(即ち、約1/10と10倍との間)
内である。
【0097】 以下の実施例の各々はRF電力供給源68と接続された信号変調器199を含
む。加えて、信号変調器199はRFバイアス電力供給源70とも接続されて、
該RFバイアス電力供給源70の電場をパルス化する。この信号変調器199は
プラズマをパルス化する際に一緒に或は個別に使用され得て、RFバイアス電力
供給源70の電場とRF電力供給源68のエネルギー放射が個別に或は同時に使
用され得る。
【0098】 図13は誘導結合RFプラズマ反応器の二重ソレノイドの実施例を図示してお
り、本発明の一好適実施例である。二重ソレノイドの特徴を除いて、図12A及
び図13の実施例の反応器構造はほぼ同一であり、図12Aを参照して以下に説
明される。この反応器は図11と同様な円筒状チャンバー40を含み、図12A
の反応器がアンテナ対称軸線46付近に非平面的に密に集中して巻き線44を有
する非平面状コイル・アンテナ42を有すること除く。図示の実施例における巻
き線44は対称的であり且つそれらの対称軸線46はチャンバーの中心軸線と一
致しており、本発明は異なるように実行される。例えば、巻き線は対称的ではな
いか、或は、それらの対称の軸線は一致しないかの何れでもよい。しかしながら
対称アンテナの場合、そのアンテナはチャンバーの中心或は加工片中心と一致す
るその対称軸線46付近に放射パターン・ヌルを有する。中心軸線46回りの巻
き線44の密な集中はこのヌルを補償し、巻き線44をソレノイドのように垂直
方向に積み重ねることによって達成されて、それは各々チャンバー軸線46から
最小距離となる。これは電流(I)及びコイル巻数(N)の積、即ち、短いアン
テナ対加工片高さのためにプラズマ・イオン密度が最も弱くなったチャンバー中
心軸線46付近での電流(I)及びコイル巻数(N)の積を増大する。その結果
、非平面コイル・アンテナ42に印加されたRF電力は、ウェハー中心で、即ち
アンテナ対称軸線46で(周辺領域と比べて)、より大きな誘導[d/dt][
NCI]を作り出し、その結果としてのプラズマ・イオン密度は、小さなアンテ
ナ対加工片間隙にも拘わらず、より略均一となる。よって本発明は、プロセス均
一性を犠牲にすること無しに、向上されたプラズマ・プロセス性能に対する天井
高さを低減する方法を提供する。
【0099】 図12Bは、図12A及び図13の実施例に採用された巻き線の好適な具現化
例を最も明示している。巻き線44が加工片56の平面と少なくとも略平行であ
るために、それは通常の螺旋状に巻回されず、その代わりに、各個別ターン(巻
き)が、(1つの水平平面から次の水平平面までの)ターン間のステップ或は遷
移44aであることを除いて、加工片56の平面(水平平面)と平行になるよう
に好ましくは巻回される。
【0100】 円筒状チャンバー40は円筒状側壁50と該側壁50と一体的に形成された円
形天井52とから構成されて、それら側壁50及び天井52はシリコン等の材料
から成る一体物を構成している。しかしながら本発明は、この明細書の後で説明
されるように、個別ピースとして形成された側壁50及び天井52によって達成
され得る。円形天井52は任意の適切な断面形状であることが可能であり、例え
ば平面状(図12A)、ドーム状(図12C)、円錐状(図12D)、切頭円錐
状(図12E)、円筒状、或は、そうした形状の任意の組み合わせ若しくは回転
曲線状が可能である。そうした組み合わせはこの明細書の後で議論される。一般
に、ソレノイド42の垂直方向ピッチ(即ち、その水平幅によって割った垂直高
さ)は天井52の垂直ピッチを超えており、しかも天井がドーム、円錐、切頭円
錐等々の3次元ピッチを規定しているためである。少なくともこの好適実施例に
おけるこの目的は、アンテナ対称軸線付近にアンテナの誘導を集中することであ
る。天井52のピッチを超えているピッチを有するソレノイドは、ここでは、非
合致ソレノイドと呼称され、一般にはその形状が天井の形状とは合致しないこと
、そしてより詳細にはその垂直ピッチが天井の垂直ピッチを超えていることを意
味している。2次元或は平坦天井はゼロの垂直ピッチを有する一方、3次元天応
は非ゼロの垂直ピッチを有する。
【0101】 チャンバー40の底部における加工片支持体54は、処理中、加工片支持体平
面内に平面状加工片56を支持する。加工片56は、典型的には、半導体ウェハ
ーであり、加工片支持体平面はウェハー或は加工片56の略平面である。チャン
バー40はポンプ(図に示されていない)によって環状通路58を通ってチャン
バー40の下方部を取り囲んでいるポンピング環帯60へ真空引きされる。この
ポンピング環帯の内部は入れ替え可能な金属ライナー60aで裏打ちされ得る。
環状通路58は円筒状側壁50の底部縁50aと、加工片支持体54を取り囲ん
でいる平面状リング62とで画成されている。プロセスガスは様々なガス供給路
の任意の1つ或はそれらの全てを介してチャンバー40内へ供給される。加工片
中心付近のプロセスガス流量を制御するために、中心ガス供給路64aは加工片
56の中心(或は加工片支持体平面の中心)に向かって天井52の中心を通って
下方へ延出できる。加工片周辺(或は加工片支持体平面の周辺付近)のガス流量
を制御するために、中心ガス供給路64aとは独立して制御可能な複数の放射状
ガス供給路64bが側壁50から加工片周辺(或は加工片支持体平面周辺)へ向
けて径方向内側へ延出し、或は、ベース軸線ガス供給路64は加工片支持体54
付近から加工片周辺へ向かって上方へ延出、或は、天井軸線ガス供給路64dが
天井52から加工片周辺へ向かって下方へ延出できる。加工片中心及び周辺での
エッチ速度は相互に独立して調整可能であり、加工片中心及び周辺へ、それぞれ
中心ガス供給路64aと外側ガス供給路64b−dの内の任意の1つを介して、
向かうプロセスガス流量を制御することによって加工片にわたる径方向により均
一なエッチ速度分布を達成する。本発明のこの特徴は中心ガス供給路64aと周
辺ガス供給路64b−dの内のただ1つとで実行され得る。
【0102】 ソレノイド型コイル・アンテナ42は中心ガス供給路64aを取り囲んでいる
ハウジング66回りに巻回されている。プラズマ・ソースRF電力供給源68は
コイル・アンテナ42にわたって接続され、バイアスRF電力供給源70は加工
片支持体54に接続されている。
【0103】 オーバーヘッド・コイル・アンテナ42の天井52の中央領域への閉じ込めは
、天井52の上面の大きな部分を未占拠状態のままとして残し、そしてそれ故に
、例えば、タングステン・ハロゲン・ランプ等の複数の放射ヒータ72や、冷媒
通路74aが内部的に延在する例えば銅或はアルミニウムで形成され得る水冷コ
ールドプレート(cold plate)74を含む温度制御装置と直接接触が
有効である。好ましくは、冷媒通路74aは高い熱伝導性を有するが低い電気伝
導性である公知の様々な冷媒を含み、アンテナ或はソレノイド42を電気的に重
荷を負わせることを回避する。コールドプレート74は天井52の一定の冷却を
もたらす一方、放射ヒータ72の最大電力は圧倒することができるように選択さ
れ、もし必要であれば、コールドプレート74による冷却は天井52の反応的及
び安定した温度制御を促進する。コールドプレート74によって照射された大き
な天井領域は温度制御のより大きな均一性及び効率をもたらす。留意して頂きた
いことは、放射ヒータは本発明の実行に関して必ずしも必要とされておらず、当
業者であればこの明細書の後で説明されるように電気的加熱要素を採用すること
を選択し得る。もし天井52が、Kenneth S. Collins等の同
時係属中の米国特許出願第08/597,577号(1996年2月2日出願)
に開示されているようにシリコンであれば、天井にわたる温度制御の均一性及び
効率をこのようにして増大することで獲得されるべき重大な長所がある。特にポ
リマー先駆物質及びエッチャント先駆物質プロセスガス(例えば、フルオロカー
ボン・ガス)が採用され且つエッチャント(例えば、フッ素)が掃気されなけれ
ばならない場合、天井52全体にわたってのポリマー堆積速度、及び/或は、天
井52がプラズマ内へフッ素エッチャント洗浄物質(シリコン)を供給する速度
は、天井52の温度制御ヒータ72との接触面積を増大することでより良好に制
御される。ソレノイド型アンテナ42は天井52の有効な接触面積を増大するが
、その理由は、ソレノイド型巻き線44が天井52の中央軸線に集中されている
からである。
【0104】 熱的接触に対する天井52上の有効面積に関する増大は高い熱伝導性のトーラ
ス75によって好適具現化例において役立っている。このトーラス75は、窒化
アルミニウム、酸化アルミニウム、或は、窒化シリコン等のセラミック製、或は
、軽くドープされたか或は未ドープかのシリコン等の非セラミック製である。
トーラス75の底面が天井部52の上に置かれ、その上面が冷却板74を支える
。トーラス75の1つの特徴は、冷却板74をソレノイド42の上部よりもかな
り上に移すことである。この特徴は、ソレノイド43とプラズマとの間の電磁結
合が減少するのを実質的に和らげるか、もしくはほぼ抑える。さもなければ冷却
板74の導電面がソレノイド42に近接することによってそのような減衰が生ず
る。そのような電磁結合の減少を防ぐために、冷却板74とソレノイド42の上
部巻線との間の距離がソレノイド42の全高さの少なくとも相当の部分(例えば
、1/2)であることが好ましい。トーラス75を貫く軸方向の複数の開口部7
5aが2つの同心円に沿って離間し、天井部52を直接照射するために複数の放
射加熱器即ちランプ72を保持する。最も良好なランプ効率を得るために、開口
部の内面が反射層(例えばアルミ)に沿って並んでいる。図12Aの中央ガス供
給64aを、特定の反応器設計及びプロセス条件に応じて、放射加熱器72と置
換してもよい(図13に示すように)。天井部温度は、ランプ加熱器72によっ
ては占められていない開口部75aの1つに延在する熱電対76等のセンサによ
って感知される。良好な熱的接触のために、窒化硼素を含むシリコンゴム等、熱
伝導性の高いエラストマー73をセラミック製のトーラス75と銅製の冷却板7
4との間、及びセラミック製トーラス75とシリコン製天井部52との間に設置
する。
【0105】 上記の同時係属出願に開示したように、チェンバ40は全体が半導体からなる
チェンバであってもよく、この場合、天井部52及び側壁50は共にシリコン等
の半導体物質である。上記の同時係属出願に開示したように、天井部52又は壁
50のいずれかの温度及びそれらのいずれかに印加されるRFバイアス電源を制
御することで、それがプラズマ内へフッ素スカベンジャー前駆物質(シリコン)
を供給する限度、又はポリマーに被覆される範囲を制御する。天井部52の材料
はシリコンに限定されるものではなく、その代わりとして、シリコンカーバイド
、二酸化珪素(石英)、窒化珪素、又はセラミックであってもよい。
【0106】 上記の同時係属出願に記載したように、チェンバの壁又は天井部50、52は
、フッ素スカベンジャー物質の供給源として使用される必要はない。その代わり
、使い捨てのシリコン部材をチェンバ40の内側に設置し、十分に高い温度で維
持することで重合体の濃縮を防ぎ、そこからシリコン物質が離脱してフッ素スカ
ベンジャーとしてプラズマに入るのを可能とする。この場合、壁50及び天井部
52は、シリコンである必要はなく、又はもしそれらがシリコンであるならば、
消費されない用にプラズマからのポリマーによって被覆されるように、ポリマー
濃縮温度(及び/又はポリマー濃縮RFバイアス閾値)近傍又は未満の温度(及
び/又はRFバイアス)に維持する。一方、使い捨てシリコン部材は、任意の適
当な形態を取ることが可能で、図12Aの実施形態では、使い捨てシリコン部材
は、母材支持体54を取り囲む円環62である。好ましくは、円環62は高純度
シリコンであり、その電気的又は光学的性質を変更するためにドープされたもの
であってもよい。プラズマプロセスでの好ましいパーティシペーションが確実と
なるように十分な温度でシリコン環62を維持するために(例えば、フッ素スカ
ベンジャーのためのプラズマへのシリコン物質のその貢献)、円環62の下のサ
ークルに配置した複数の放射(例えば、タングステンハロゲンランプ)加熱器7
7で石英窓78を介してシリコン環62を加熱する。上記の同時係属出願に記載
したように、加熱器77は光高温計又は蛍光光学プローブ等のリモートセンサで
あってもよい温度センサ79によって感知されたシリコン環62の測定温度にも
とづいて制御される。センサ79は、環62のたいへん深い開口部の中に部分的
に延在したものであってもく、該開口部の深さ及び狭さはシリコン環62の熱放
射率の温度変化に依存した変動を少なくとも部分的にマスクする傾向にあり、よ
り信頼性の高い温度測定用の灰色放射体のように作用する。
【0107】 既に説明したように、また上記参照の米国特許一連番号第08/597,57
7号で説明されたように、全半導体チェンバの利点は、例えば金属等の夾雑物を
生ずる物質とプラズマが接触することがないということである。この目的のため
に、環状開口部58に隣接したプラズマ閉じこめ磁石80、82がポンピング環
60へのプラズマ流入を防ぐか、もしくは減少させる。任意のポリマー前駆体及
び/又は活性種が有る程度ポンピング環60へ入り込むことに成功し、交換可能
な内側ライナー60a上の任意の結果として得られるポリマー又は、参照した同
時係属出願に開示されているように、夾雑物堆積がポリマー濃縮温度よりも著し
く低い温度でライナー60aを保つことによってプラズマチャンバ40に再び入
るのを防ぐことができる。
【0108】 ポンピング環60の外壁を通るウェハスリットバルブ84は、ウェハ進入及び
進出に適応する。チェンバ40とポンピング環60との間の環状開口部58は、
ウェハスリットバルブ84近傍でより大きく、反対側でより小さくなっており、
それは非対称ポンプポート位置とよりいっそう対称的なチェンバ圧力分布が作ら
れるように円筒形の側壁50の底縁50aの傾斜による。 チェンバの中心軸46近傍の最大インダクタンスは、垂直方向に積層されたソ
レノイド巻線44によって達成される。図12Aの実施形態では、別の巻線45
を垂直方向に積層された巻線44の外側かつ底部ソレノイド巻線44bの水平方
向に加えることもでき、追加の巻線45は底部ソレノイド巻線44bに近接する
【0109】 図13の好ましい二重ソレノイド実施形態をここで特に参照すると、外側の位
置(すなわち、熱伝導製トーラス75の外側周面に対して)で巻線92第2の外
側垂直方向積層又はソレノイド90がソレノイド巻線44の内側垂直方向スタッ
クか径方向距離δRで位置がずれている。ここで注目すべきことは、図13にお
いて内側ソレノイドアンテナから中心へまた外側ソレノイドアンテナ90から周
囲への閉じ込めは、図12Aにあるような温度制御装置72、74、75と直接
接触することが可能な天井部52の表面の大部分を離す。利点は、天井部52と
温度制御装置との間のより大きな表面面積接触がより効率的で、かつより均一な
天井部52の温度制御が提供される。
【0110】 側壁50及び天井部52が、例えば、内径が12.6インチ(32cm)の一
片のシリコンからなる反応器に関して、アンテナと母材との間のギャップは、3
インチ(7.5cm)であり、内側ソレノイドの平均直径は11.75インチ(
29.3cm)であり、0.03インチ厚のテフロン(登録商標)絶縁層によっ
て覆われた3/16インチで中空の銅製筒状材料を用い、各ソレノイドは4回巻
かれ、高さが1インチ(2.54cm)である。外側スタック又はソレノイド9
0は、第2の別個に制御可能なプラズマソースRF電源96によって出力が印加
される。目的は、ウェハ面を横切る非均一性の周知の処理に対して代償を許容す
るために、母材又はウェハ56に関連した異なる径方向位置で印加されるユーザ
が選択可能なプラズマ供給電源レベルを可能とすることで、著しく有利である。
個々に制御可能な中心ガス供給路64a及び周辺ガス供給路64b〜dを組み合
わせでは、母材の中心でのエッチング性能は、外側ソレノイド90に対して印加
されたものに関連して内側ソレノイド42に印加されたRF電源を調整すること
によって、また外側ガス供給路64b〜dを通る流速に関連して中心ガス供給路
64aを通るガスの流速を調整することで、縁でのエッチング性能に関連させて
調整することができる。
【0111】 本発明は既に記載したように、インダクタンス場での中心ヌル又は浸漬の問題
を解決又は少なくとも改善する一方で、他のプラズマ処理非均一性問題があり、
それらは内側及び外側アンテナ42、90に印加された相対的なRF出力を調整
することで図13の可変的実施形態で補償することができる。より簡便にこの目
的を達成させるために、内側及び外側ソレノイド42、90のための各々のRF
電源68、96は共通の電源97a及び出力スプリッタ97bによって置き換え
ることができ、それによって内側及び外側ソレノイド42、90の電界の間の固
定された位相関係を保ちながら内側及び外側ソレノイド42,90の間の出力の
相対的割り当てのユーザによる変更を可能とする。このことは、2つのソレノイ
ド42、90が同一の頻度でRF出力をどこで受けるかが特に重要である。さも
なければ、もし2つの独立した電源68、96が用いられるならば、それらは、
異なるRF周波数で出力し、この場合、2つのソレノイド間のカップリングから
のオフ周波数フィードバックを避けるために、各電源68、06の出力側にRF
フィルタを設けることが好ましい。この場合、周波数の違いは、2つのソレノイ
ド間の時間平均アウトカップリングに十分なものでなければならなず、さらにR
Fフィルタの領域バンド幅を上回るものでなければならない。
【0112】 別のオプションは、各々のソレノイドに個別に共鳴的に一致した各周波数を作
ることであり、また各周波数は従来のインピーダンスマッチング技術の代わりに
プラズマインピーダンス(したがって維持共鳴)における変化をフォローするた
めに変化する。すなわち、アンテナに印加されたRF周波数は、チェンバ内のプ
ラズマのインピーダンスによってロードしたようなアンテナの共鳴周波数に合わ
せて作られている。そのような実施形態では、2つのソレノイドの周波数範囲は
相互に排他的であるべきである。しかし、好ましくは、2つのソレノイドは同一
RF周波数で駆動され、この場合、例えば2つの間のフェーズ関係が該2つのソ
レノイド電場のコンストラクティブな相互作用又は重ね合わせであることが好ま
しい。一般に、この要求は、信号が共に同一の意味であるならば2つのソレノイ
ドに印加される信号間のゼロ位相角度によって応じるであろう。さもなければ、
もしそれらが反対方向に巻かれているならば、位相角度は好ましくは180Eで
ある。いずれにせよ、この明細書で後述するように、内側及び外側ソレノイド4
2、90間の相対的に大きな空間を持つことによって、内側ソレノイドと外側ソ
レノイドとの間のカップリングが最小限となるか排除される。 そのような調整によって達成可能な範囲の増加は、外側ソレノイド90の半径
を大きくして内側及び外側ソレノイド42、90間の空間を増加させることでな
され、それによって2つのソレノイド42、90の効果が母材中心及び縁部に対
してそれぞれよりいっそう信頼性が高くなる。このことによって、2つのソレノ
イド42、90の効果を重ね合わせる点でより大きな制御範囲を可能とする。例
えば、内側ソレノイド42の半径は、母材の半径の約半分よりも大きくなっては
ならず、好ましくはその約1/3未満である。内側ソレノイド42の最小半径は
、部分的にソレノイド42を形成する導体の直径によって影響され、また部分的
にインダクタンスを生ずるための弓状の、例えば円形の電流経路のための有限の
ノン・ゼロ環境の提供に対する要求によって影響される。外側コイル90の半径
は、少なくとも母材の半径と等しくすべきであり、好ましくは母材の半径の1.
5倍以上とすべきである。そのような構成によって、内側及び外側ソレノイド4
2、90の各々の中心及び縁効果が顕著となるもので、内側ソレノイドに対する
電力の増加によって、チャンバ圧力が数百mtorrに増加し、一方で均一なプ
ラズマが与えられ、さらに外側ソレノイド90に対する電力を増加することによ
って、チャンバ圧力が0.01mTのオーダーまで減少し、一方で均一なプラズ
マがプラズマが提供される。外側ソレノイド90のそのような大きな径の別の利
点は、内側及び外側ソレノイド42、90の間のカップリングを最小限にするこ
とである。
【0113】 図13は、第3のソレノイド94をオプションで加えても良いことを破線によ
って示されており、チャンバの直径がたいへん大きな場合に必要である。
【0114】 図14は、外側ソレノイド90は平面巻線100によって置き換わる図13の
実施形態の変形例を示す。
【0115】 図15Aは、図12Aの実施形態の変形例を示すもので、中心ソレノイド巻線
は巻線44の垂直方向スタック42のみならず、2つのスタックが二重巻線ソレ
ノイド106を構成するようにして第1のスタック42に近接した巻線104の
第2の垂直方向スタック103が加わる。図15Bによれば、二重に巻かれたソ
レノイド106は2つの独立して巻かれた単一ソレノイド42、102からなる
ものであってもよく、内側ソレノイド42は巻線44a、44b等から構成され
、外側ソレノイド102は巻線104a、104b等から構成される。或いは、
図15Cによれば、二重に巻かれたソレノイド106は少なくとも略共面巻線の
少なくとも垂直方向に積み重ねられた対から構成されるものであってもよい。図
15Cの別の例では、略共面巻線の各々の対(例えば、対44a、104a、又
は対44b、104b)は螺旋状に単一の導電体を巻くことによって形成するこ
とができる。ここで使用した「二重巻」という用語は、図15B又は15Cのい
ずれかに示すタイプの巻線に言及するものである。また、ソレノイド巻線は、単
に二重に巻かれたものだけではなく、三重、又はそれ以上に巻かれたものであっ
てもよく、一般に対称軸に沿った各面で複数の巻線から構成することができる。
そのような多重巻線ソレノイドは、図13の二重ソレノイド実施形態の内側及び
外側ソレノイド42、90の一方又は両方のいずれかに用いることができる。
【0116】 図16は、図15Aの実施形態の変形例を示すもので、内側に巻かれたソレノ
イド106と同心的である外側に二重に巻かれたソレノイド110が内側ソレノ
イド106から半径方向にδR離れたところに置かれる。
【0117】 図17は、図16の実施形態の変形例を示すもので、外側に二重に巻かれたソ
レノイド110が図13の実施形態で用いられた外側ソレノイドに一致する通常
の外側ソレノイド112によって置き換わる。
【0118】 図18は、別の好ましい実施形態を示すもので、図13のソレノイド42は中
心ガス供給路ハウジング66から半径方向に距離δR離間した位置に置かれる。
図12に示す実施形態では、δRはゼロであり、その胃法で図18の実施形態で
はδRは円筒状側壁50の半径の有意な部分である。図18に示した範囲までδ
Rを増加させることで、既に説明したプラズマイオン密度における通常の中心ヌ
ルに加えて非均一性に関して補償するための図12A、13、15A、及び16
の実施形態に対する別の例として有用である。同様に、図18の実施形態は、中
心近傍のプラズマイオン密度における通常の浸漬を過補正することに関する限り
、またチェンバの中心軸46から最小距離のところにソレノイド42を置換する
ことがプラズマプロセス作用でさらに別の非均一性を生成することに関する限り
、ソレノイド42をチェンバの中心軸46(図12にあるように)から最小限の
距離に置くことで有用であろう。そのような場合、図18に示す実施形態が好ま
しく、δRはプラズマイオン密度の均一性を最も高くする最適値として選択され
る。この場合、理想的にδRは、プラズマイオン密度における通常の中心浸漬に
対して訂正不足又は訂正過剰を避けるために選択される。δRの最適値の決定は
、ソレノイド42を異なる半径方向位置に置き、各工程におけるプラズマイオン
密度の半径方向プロファイルを決定するために従来の技術を用いるトライアル及
びエラー工程によって当業者により実施される。
【0119】 図19はソレノイドが逆円錐形を有している実施形態を示すもので、一方、図
12はソレノイド42が上向きの円錐形状を有する実施形態を示す。
【0120】 図21は、ソレノイド42が平面螺旋状巻線120に結合している実施形態で
ある。平面螺旋巻線は、ソレノイド巻線42が中心から幾分離れたRF電力の有
る程度を分布することで母材の中心近傍の誘導電場を濃縮する強さを弱める効果
を有する。この特徴は、通常の中心ヌルの過補正を避けるためにそれが必要な場
合に有用である。中心から離れた誘導電場のそのような分割の範囲は、平面螺旋
巻線の半径に一致する。図22は、図21の実施形態の変形例を示すもので、ソ
レノイド42が図10に示すような逆円錐形状を有する。図23は、図21の実
施形態の別の変形例を示すもので、ソレノイド42は図20の実施形態に示すよ
うな上向きの円錐形状を有する。
【0121】 例えば天井部上でのポリマー体積を防ぐために、チェンバの他の電極に対して
その有効容量性の電極領域(例えば、母材及び側壁)を減少させることで、天井
部52上のRF電位が増加してもよい。図24は、このことが外側の環200上
のより小さな面積の天井部52’を支持することによってどのように達成するこ
とができるかを示すもので、外側の環200によってより小さな面積の天井部5
2’が絶縁される。環200は天井部52’と同様の材料(例えば、シリコン)
で形成してもよく、また切頭円錐形状(実線で示す)であってもよく、或いは切
頭ドーム形(破線で示す)であってもよい。分離RF電源205を環200に接
続してもよく、それによって母材中心対縁のプロセスの調整をよりいっそう可能
とする。
【0122】 図25は、図13の実施形態の変形例を示すもので、天井部52及び側壁が互
いに「絶縁された複数の半導体(例えばシリコン)断片を分離するもので、それ
らは中心エッチ速度及び縁に関連した選択性の制御を高めるために各々のRF電
源210、212からそれらに印加される別々に制御されたRFバイアス電力を
有する。ケネス・S・コリン(Kenneth S. Collin)他によって1996年2月2
日に出願された上記参照の米国特許一連番号第08/597,577号により詳
細に記載されているように、印加されたRFバイアス電力をチャンバ40内に容
量的にカップリングした電極として、また同時にソレノイド42に印加されたR
F電力がチェンバ40に誘導結合される窓として作用するように、ドープされた
複数の天井部52は半導体(例えばシリコン)材料であってもよい。そのような
窓・電極の利点は、RF電位がウェハ56(例えば、イオンエネルギーを制御す
るために)上に直接確立されてもよく、一方で同時にウェハ56上に直接RF電
力を誘導結合される。この後者の特徴は、別々に制御された内側及び外側ソレノ
イド42、90と中心及び周辺ガス供給路64a、64b〜dと組み合わせて、
最適な均一性を達成するために母材縁部に関連して母材中心で、種々のプラズマ
プロセスパラメータ、例えばイオン密度、イオンエネルギー、エッチング速度及
びエッチング選択性を調整するための能力が大きく高められる。この組み合わせ
では、個々のガス供給路を通る各々のガス流速は、個々に、かつ別々に制御され
てプラズマプロセスパラメータのそのような最適な均一を達成する。
【0123】 図25Aは、どのようにしてランプ加熱器72が電気加熱部材72’によって
置換することができるかを示す。図12Aの実施形態に示すように、使い捨ての
シリコン部材は母材支持体54を取り巻く円環62である。
【0124】 図25Bは別の変形例を示すもので、ここでは天井部52それ自体が内側ディ
スク52aと外側環52bとに分けられてもよく、それらは互いに電気的に絶縁
され、また単一の差動的に制御されたRF電源の別々の出力であってもい個々の
RF電源214、216によって分離されていてもよい。
【0125】 別の実施形態に基づくと、図25A及び25Bに示したユーザアクセス可能な
中心制御装置300は、従来のマイクロコンピュータ及びメモリを含むもので、
中心ガス供給路64a及び周辺ガス供給路64b〜dを介して同時にガス流速、
内側及び外側アンテナ49、90に印加されたRFプラズマ源電力レベル、及び
天井部52及び側壁50のそれぞれに印加されたRFバイアス電力レベル(図2
5A)、及び内側及び外側天井部部分52a、52bに印加されたRFバイアス
電力レベル(図25B)、天井部52の温度及びシリコン環62の温度を制御す
るために接続されている。天井部温度制御装置218は、電源220によって加
熱器72’に印加された電力を天井部温度センサ76によって測定された温度と
制御装置300によって知られている所望の温度と比較することによって管理す
る。環温度制御装置222は、加熱器電源224によってシリコン環62に向い
ている加熱器ランプ77に印加された電力を環センサ79によって測定された環
温度と制御装置222によって知られている格納された所望の環温度と比較する
ことによって制御する。主制御装置300は、温度制御装置218及び222の
所望温度、ソレノイド電源68、96のRF電力レベル、バイアス電源210、
212(図25A)又は214、216(図25B)のRF電力レベル、RF電
力源70によって印加されたウェハバイアスレベル、ガス注入口64a〜dに対
して種々のガス供給源(又は別々のバルブ)によって供給されたガス流速を管理
する。ウェハバイアスレベルを制御するための鍵は、母材支持体54と天井部5
2との間の電位差である。したがって、母材支持体RF電源70又は天井部RF
電源210のいずれか一方は単にRFアースへの短絡であってもよい。そのよう
なプログラム可能集中制御装置によって、母材の表面を均一に横切る最も大きい
中心・縁間プロセス(例えば、各速度及びエッチング選択性の均一な半径方向分
布)を達成するために、ユーザは容易に母材中心と周囲との間のRF電源、RF
バイアス電力、及びガス流速の配分を最適化する。また、母材支持体54と天井
部52との間のRF電力差に関連してソレノイド42,90に印加されたRF電
力を調整(制御装置300を介して)、ユーザは主に誘導結合モード又は主に容
量結合モードで反応器を操作することができる。
【0126】 図25Aでソレノイド42、90、天井部52、側壁50(又は図25Bにあ
るような内側及び外側天井部部分に結合した種々の電源がRF周波数で動作する
ように記載されている一方で、本発明は周波数の任意の特定範囲に制限されず、
RF以外の周波数は本発明を実施する上で当業者が選択するものであってもよい
。 本発明の好ましい実施形態では、高温伝導スペーサ75、天井部52、及び側
壁50が一片の結晶シリコンから一緒に統合的に形成される。
【0127】 本発明をいくつかの別々のRF源によって実行するものとして説明したが、こ
こに示したRF源のいくつか、又は全てが別々のRFジェネレータからの出力又
は共通のRFジェネレータからの出力を、適当に、種々の電力デバイダ、周波数
マルチプライヤ及び/又は相デレイによって合成された異なるRF電力レベル、
周波数、及び相で、誘導するものであってもよい。さらに、本発明をいくつかの
別々のプロセスガス供給源を用いて実施するものとして説明したが、いくつかの
、又は全てのプロセスガス供給源を共通のプロセスガス供給源から誘導されたも
のとしてもよく、該共通のガス供給源は複数の別々に制御されたガス注入口64
の間で分割される。
【0128】 本発明の好ましい実施形態の前述の説明は、例示及び説明を目的としたもので
ある。それは本発明を開示した詳細な形態に余すことなく含めるものでも、それ
に制限することを意図したものでもない。多くの修飾及び変形が上記の教示から
可能である。本発明の範囲はこの詳細な説明によって制限されるものではなく、
むしろ添付した特許請求の範囲によって制限されることを意図している。
【図面の簡単な説明】
【図1A】 図1Aは、非パルス型反応器内での解離種の分布を図示する。
【図1B】 図1Bは、ソース電力がパルス化されていることを除いて、図1Aと同一条件
及び同一反応器の下での解離種の分布を図示する。
【図2A】 図2Aは、CF4がプロセスガスの場合の図1Aと同一条件の下での解離種の
分布を示す。
【図2B】 図2Bは、ソース電力がパルス化されていることを除いて、図2Aと同一条件
及び同一プロセスガスの下での解離種の分布を示す。
【図3A】 図3Aは、C26がプロセスガスの場合の図1Aと同一条件の下での解離種の
分布を図示する。
【図3B】 図3Bは、ソース電力がパルス化されていることを除いて、図3Aと同一条件
及び同一プロセスガスの下での解離種の分布を図示する。
【図4A】 図4Aは、C48がプロセスガスの場合の図1Aと同一条件の下での解離種の
分布を表す。
【図4B】 図4Bは、ソース電力がパルス化されていることを除いて、図4Aと同一条件
及び同一プロセスガスの下での解離種の分布を表す。
【図5】 図5は、10ミリトルの圧力での容量結合反応器内における解離種の分布を図
示する。
【図6A】 図6Aは、50ミリトルの圧力を除いて、図5と同一条件の下での解離種の分
布を図示する。
【図6B】 図6Bは、図6Aと同一プロセスガスを有するパルス型誘導結合プラズマ反応
器に対する解離種の分布を図示する。
【図6C】 図6Bと同一条件での非パルス型ICP反応器に対する解離種の分布を図示す
る。
【図7】 図7は、本発明の方法でのフロープロセス概略図である。
【図8】 図8は、本発明に対する脈動(パルシング)手段を提供するために使用される
脈動(パルシング)信号を示す。
【図9A】 図9Aは、100%のデューティサイクル(非パルス)を有するICP反応器
における解離種の分布を表す。
【図9B】 図9Bは、67%のデューティサイクルを除いて、図9Aと同一条件の下での
解離種の分布を表す。
【図9C】 図9Cは、50%のデューティサイクルを除いて、図9A及び図9Bと同一条
件の下での解離種の分布を表す。
【図9D】 図9Dは、33%のデューティサイクルを除いて、図9A、図9B、並びに、
図9Cと同一条件の下での解離種の分布を表す。
【図10A】 図10Aは、10μsオンタイム及び10μsオフタイムを有する、図9と同
一条件の下での解離種の分布を図示する。
【図10B】 図10Bは、20μsオンタイム及び20μsオフタイムを有するが、図10
Aと同一条件の下での解離種の分布を図示する。
【図10C】 図10Cは、30μsオンタイム及び30μsオフタイムを有するが、図10
A及び10Bと同一条件での解離種の分布を図示する。
【図11】 図11は、非金属チャンバーを有する、本発明に係るプラズマ・反応器のカッ
タウェイ断面図である。
【図12A】 図12Aは、単一の3次元中心非平面ソレノイド型巻き線を採用している、本
発明に係るプラズマ反応器に従ったプラズマ反応器のカッタウェイ断面図である
【図12B】 図12Bは、前記ソレノイド型巻き線を巻回する好適方法を図示する、図12
Aの反応器における一部の拡大図である。
【図12C】 図12Cは、ドーム形状天井を有するが、図12Aと一致するプラズマ反応器
の剪断側面図である。
【図12D】 図12Dは、円錐天井を有するが、図12Aと一致するプラズマ反応器の剪断
側面図である。
【図12E】 図12Eは、切頭円錐天井を有するが、図12Dと一致するプラズマ反応器の
カッタウェイ断面図である。
【図13】 図13は、内側及び外側垂直ソレノイド型巻き線を採用している、本発明に係
る代替実施例に従ったプラズマ反応器のカッタウェイ断面図である。
【図14】 図14は、外側巻き線が平坦である図13と一致する本発明の第2代替実施例
に従ったプラズマ反応器のカッタウェイ断面図である。
【図15A】 図15Aは、中心ソレノイド型巻き線が複数の直立円筒状巻き線から構成され
ている、図12Aと一致する本発明の第3代替実施例に従ったプラズマ反応器の
カッタウェイ断面図である。
【図15B】 図15Bは、図15Aの実施例における第1具現化例の詳細図である。
【図15C】 図15Cは、図15Aの実施例における第2具現化例の詳細図である。
【図16】 図16は、内側及び外側の両巻き線が複数の直立円筒状巻き線から構成されて
いる、図13と一致する本発明の第4代替実施例に従ったプラズマ反応器のカッ
タウェイ断面図である。
【図17】 図17は、内側巻き線が複数の直立円筒状巻き線から構成され且つ外側巻き線
が単一の直立円筒状巻き線から構成されている、図13と一致する本発明の第5
代替実施例に従ったプラズマ反応器のカッタウェイ断面図である。
【図18】 図18は、最大限のプラズマ・イオン密度均一性のために単一ソレノイド型巻
き線が最適径方向位置に配置されている、本発明の第6代替実施例に従ったプラ
ズマ反応器のカッタウェイ断面図である。
【図19】 図19は、ソレノイド型巻き線が逆転円錐形状である、図12Aと一致する本
発明の第7代替実施例に従ったプラズマ反応器のカッタウェイ断面図である。
【図20】 図20は、ソレノイド型巻き線が直立円錐形状である、図12Aと一致する本
発明の第8代替実施例に従ったプラズマ反応器のカッタウェイ断面図である。
【図21】 図21は、ソレノイド型巻き線が内側直立円筒状部と外側平坦部とから構成さ
れている、図12Aと一致する本発明の第9代替実施例に従ったプラズマ反応器
のカッタウェイ断面図である。
【図22】 図22は、ソレノイド型巻き線が逆転円錐部及び平坦部の双方を含んでいる、
図18と一致する本発明の第10代替実施例に従ったプラズマ反応器のカッタウ
ェイ断面図である。
【図23】 図23は、ソレノイド型巻き線が直立部及び平坦部の双方を含んでいる、図2
0と一致する本発明の第11代替実施例に従ったプラズマ反応器のカッタウェイ
断面図である。
【図24】 図24は、平面形状、円錐形状、並びに、ドーム形状の天井要素の組み合わせ
を採用している本発明の別の実施例を図示する。
【図25A】 図25Aは、個別にバイアスされたシリコン側壁及び天井を採用すると共に電
気ヒータを採用している、本発明の代替実施例を図示する。
【図25B】 図25Bは、個別にバイアスされた内側及び外側のシリコン天井部を採用する
と共に電気ヒータを採用している、本発明の代替実施例を図示する。

Claims (145)

    【特許請求の範囲】
  1. 【請求項1】 加工片を処理するための方法において、 (a)内面及び反応器壁部を有する反応器チェンバ、前記加工片を保持するため
    の加工片支持体、前記加工片支持体上に横たわり、かつ反応壁部に隣接した天井
    部、及び電源から前記反応器チェンバへの結合電力に適応したRFアプリケータ
    からなり、前記反応器チェンバの内面と前記天井部が非金属材料からなる誘導結
    合プラズマ反応器を設ける工程と、 (b)種を含むプラズマを生成するために前記RFアプリケータからのエネルギ
    ー放射によって前記反応質チェンバ内にプロセスガスを照射する工程と、 (c)生成された前記種の密度を制御するように第一の変調信号によって前記エ
    ネルギー放射を変調する工程と、 を有することを特徴とする方法。
  2. 【請求項2】 生成された前記種の密度は、より小さな種に対するより大きな種
    の比率によって表される請求項1に記載の方法。
  3. 【請求項3】 前記より小さな種に対する前記より大きな種の前記比率は、約0
    .25と5.0との間であることを特徴とする請求項2に記載の方法。
  4. 【請求項4】 前記非金属材料は、半導体物質を含むことを特徴とする請求項1
    に記載の方法。
  5. 【請求項5】 前記半導体物質は、シリコンを含むことを特徴とする請求項4に
    記載の方法。
  6. 【請求項6】 前記第1の変調信号は、第1のオンタイム領域と第1のオフタイ
    ム領域とを有することを特徴とする請求項1に記載の方法。
  7. 【請求項7】 前記エネルギー放射を変調する工程は、(a)前記第1のオンタ
    イム領域、(b)前記第1のオフタイム領域の少なくとも1つの持続時間を調整
    する工程が含まれることを特徴とする請求項6に記載の方法。
  8. 【請求項8】 前記エネルギー放射を変調する工程は、さらに(a)前記電源、
    (b)前記非平面誘導アンテナの電流、(c)前記非平面アンテナからの前記電
    流の変化率の少なくとも1つを含む工程を特徴とする請求項7に記載の方法。
  9. 【請求項9】 前記エネルギー放射は、前記オフタイム持続時間の間、ゼロであ
    ることを特徴とする請求項8に記載の方法。
  10. 【請求項10】 前記オフタイム持続時間の間の前記エネルギー放射は、ゼロよ
    りも大きく、かつ前記オンタイム持続時間の間の前記エネルギー放射よりも少な
    いことを特徴とする請求項8に記載の方法。
  11. 【請求項11】 さらに変調する工程は、前記電源の平均電力が一定となるよう
    に、前記電源を変調し、前記電源の電力レベルを調整する工程が含まれることを
    特徴とする請求項8に記載の方法。
  12. 【請求項12】 さらに、前記エネルギー放射を変調する一方で、プラズマ密度
    をほぼ一定に保つ工程が含まれることを特徴とする請求項11に記載の方法。
  13. 【請求項13】 前記電源は、前記誘導アンテナに結合したRF電力供給源を有
    することを特徴とする請求項7に記載の方法。
  14. 【請求項14】 前記誘導アンテナ及び前記加工片支持体は、前記誘導アンテナ
    の誘導場の前記プラズマの浸透深さの程度の距離によって分離されていることを
    特徴とする請求項7に記載の方法。
  15. 【請求項15】 さらに、前記加工片支持体を横切り、前記加工片支持体近傍に
    電場を形成するバイアス源をさらに含むプラズマ反応器を設ける工程と、 第2の変調信号によって前記電場を変調する工程とをさらに有することを特徴
    とする請求項7に記載の方法。
  16. 【請求項16】 前記第2の変調信号は、第2のオンタイム領域と第2のオフタ
    イム領域とを有することを特徴とする請求項15に記載の方法。
  17. 【請求項17】 前記電場を変調する工程は、(a)第2のオンタイム領域、(
    b)第2のオフタイム領域の少なくとも1つの持続時間を変調する工程を含むこ
    とを特徴とする請求項16に記載の方法。
  18. 【請求項18】 前記電場を変調する工程は、(a)前記バイアス源の電力、(
    b)前記バイアスの電圧、(c)前記バイアス源の電流、(d)前記バイアス源
    の前記電流の変化率の少なくとも1つを変調する工程をさらに有することを特徴
    とする請求項17に記載の方法。
  19. 【請求項19】 第1の変調信号と第2の変調信号とを、前記第1のオフタイム
    領域が一時的に前記第2のオフタイム領域と一致し、前記第1のオフタイム領域
    が一時的に前記第2のオフタイム領域と一致するように、同期させる工程をさら
    に有することを特徴とする請求項17に記載の方法。
  20. 【請求項20】 前記第1の変調信号と前記第2の変調信号とを、 前記第1のオフタイム領域と前記第2のオンタイム領域とが前記プラズマがそ
    の実質的に最も冷い温度にある場合に生ずるように、また 前記第1のオンタイム領域と前記第2のオフタイム領域とが前記プラズマがそ
    の実質的に最も温かい温度にある場合に生ずるように、 同期させることを特徴とする請求項17に記載の方法。
  21. 【請求項21】 さらに、前記エネルギー放射及び前記電場を変調する一方で、
    前記プラズマ密度をほぼ一定に保つ工程を有することを特徴とする請求項20に
    記載の方法。
  22. 【請求項22】 加工片を加工する方法において、 (a)反応器チェンバと、前記反応チェンバ内の支持体平面近傍に前記加工片
    を保持するための加工片支持体と、前記加工片支持体に対向した反応器エンクロ
    ージャ部分と、前記反応器エンクロージャ部分に隣接した非平面誘導アンテナと
    を含み、また前記反応器エンクロージャ部分と非相似の形状を有し、前記非平面
    誘導アンテナが前記支持体平面に関連した非平面的様式で空間的に分布した誘導
    素子を含み、前記誘導アンテナが電源から前記反応チェンバへの電力を結合する
    のに適している誘導結合プラズマ反応器を設ける工程と、 (b)種を含むプラズマを生成するために前記非平面誘導アンテナからのエネ
    ルギー放射によって前記反応質チェンバ内にプロセスガスを照射する工程と、 (c)生成された前記種の密度を制御するように第一の変調信号によって前記エ
    ネルギー放射を変調する工程と、 を有することを特徴とする方法。
  23. 【請求項23】 前記第1の変調信号は、第1のオンタイム領域と第1のオフタ
    イム領域とを有することを特徴とする請求項22に記載の方法。
  24. 【請求項24】 前記エネルギー放射を変調する工程は、(a)前記第1のオン
    タイム領域、(b)前記第1のオフタイム領域の少なくとも1つの持続時間を調
    整する工程が含まれることを特徴とする請求項23に記載の方法。
  25. 【請求項25】 前記エネルギー放射を変調する工程は、さらに(a)前記電源
    、(b)前記非平面誘導アンテナの電流、(c)前記非平面アンテナからの前記
    電流の変化率の少なくとも1つを含む工程を特徴とする請求項24に記載の方法
  26. 【請求項26】 前記エネルギー放射は、前記オフタイム持続時間の間、ゼロで
    あることを特徴とする請求項25に記載の方法。
  27. 【請求項27】 前記オフタイム持続時間の間の前記エネルギー放射は、ゼロよ
    りも大きく、かつ前記オンタイム持続時間の間の前記エネルギー放射よりも少な
    いことを特徴とする請求項25に記載の方法。
  28. 【請求項28】 さらに変調する工程は、前記電源の平均電力が一定となるよう
    に、前記電源を変調し、前記電源の電力レベルを調整する工程が含まれることを
    特徴とする請求項25に記載の方法。
  29. 【請求項29】 さらに、前記エネルギー放射を変調する一方で、プラズマ密度
    をほぼ一定に保つ工程が含まれることを特徴とする請求項28に記載の方法。
  30. 【請求項30】 前記誘導素子は、前記非平面誘導アンテナの照射パターンがヌ
    ルに対して補正するように空間的に分布することを特徴とする請求項24に記載
    の方法。
  31. 【請求項31】 前記非平面誘導アンテナは、ソレノイド様アンテナを有するこ
    とを特徴とする請求項30に記載の方法。
  32. 【請求項32】 前記誘導素子は、導電性巻線からなる垂直方向スタックを有す
    ることを特徴とする請求項31に記載の方法。
  33. 【請求項33】 前記非平面誘導アンテナは対称軸を有し、また前記巻線は前記
    対称軸から最小の半径距離を有することを特徴とする請求項32に記載の方法。
  34. 【請求項34】 前記巻線は、前記反応チェンバの半径の実質的部分に一致する
    半径方向の位置にあることを特徴とする請求項32に記載の方法。
  35. 【請求項35】 さらに、 前記ソレノイド様アンテナに関連した外側半径方向の位置にある前記反応器エ
    ンクロージャ部分に隣接した第2の誘導アンテナを有することを特徴とする請求
    項33に記載の方法。
  36. 【請求項36】 さらに、 第2の誘導アンテナに結合した第2の電源を有することを特徴とする請求項3
    5に記載の方法。
  37. 【請求項37】 前記第2の誘導アンテナは、第2の非平面誘導アンテナを有す
    ることを特徴とする請求項36に記載の方法。
  38. 【請求項38】 前記第2の非平面誘導アンテナは、ソレノイド様アンテナを有
    することを特徴とする請求項37に記載の方法。
  39. 【請求項39】 前記ソレノイド様アンテナは、二重に巻かれたソレノイド巻線
    を有することを特徴とする請求項31に記載の方法。
  40. 【請求項40】 前記二重に巻かれたソレノイド巻線は、1対の同心的な単一ソ
    レノイド巻線を有することを特徴とする請求項39に記載の方法。
  41. 【請求項41】 前記二重に巻かれたソレノイド巻線は、少なくともほぼ平面な
    対からなる巻線の垂直方向スタックを有することを特徴とする請求項39に記載
    の方法。
  42. 【請求項42】 さらに、 前記二重に巻かれたソレノイド巻線に関連した外側半径方向の位置にある前記
    反応器エンクロージャ部分に隣接した第2のソレノイド巻線を有することを特徴
    とする請求項39に記載の方法。
  43. 【請求項43】 さらに、 前記第2のソレノイド巻線に結合した第2の電源を有することを特徴とする請
    求項42に記載の方法。
  44. 【請求項44】 前記第2のソレノイド巻線は、二重に巻かれたソレノイド巻線
    を有することを特徴とする請求項42に記載の方法。
  45. 【請求項45】 前記導電性巻線の垂直方向スタックは、正円筒形状を有するこ
    とを特徴とする請求項32に記載の方法。
  46. 【請求項46】 前記導電性巻線の垂直方向スタックは、上向円錐形状を有する
    ことを特徴とする請求項32に記載の方法。
  47. 【請求項47】 前記導電性巻線の垂直方向スタックは、逆円錐形状を有するこ
    とを特徴とする請求項32に記載の方法。
  48. 【請求項48】 さらに、 前記導電性巻線の垂直方向スタックの底部巻線から半径方向外側に延びる平面
    コイル導電体を有することを特徴とする請求項32に記載の方法。
  49. 【請求項49】 前記導電性巻線の垂直方向スタックは、上向き円錐形状を有す
    ることを特徴とする請求項48に記載の方法。
  50. 【請求項50】 前記導電性巻線の垂直方向スタックは、逆円錐形状を有するこ
    とを特徴とする請求項48に記載の方法。
  51. 【請求項51】 前記電源は、前記非平面誘導アンテナに結合したRF電源を有
    することを特徴とする請求項24に記載の方法。
  52. 【請求項52】 前記非平面誘導アンテナの非相似形は、前記反応器エンクロー
    ジャ部分の勾配を超える前記支持体面から遠ざかる勾配を有することを特徴とす
    る請求項24に記載の方法。
  53. 【請求項53】 前記反応器エンクロージャ部分は、前記加工片支持体に被さる
    前記反応器チェンバの天井部を有し、また 前記非平面誘導アンテナの前記非相似形状を前記天井部の垂直方向の勾配を超
    える垂直方向の勾配を有することを特徴とする請求項52に記載の方法。
  54. 【請求項54】 前記天井部は、(a)平面、(b)ドーム、(c)円錐、(d
    )切頭円錐のうちの1つからなる形状を有することを特徴とする請求項53に記
    載の方法。
  55. 【請求項55】 前記非平面誘導アンテナと前記加工片支持体とが前記非平面ア
    ンテナの誘導場の前記プラズマにおける浸透度の程度の距離に分かれていること
    を特徴とする請求項24に記載の方法。
  56. 【請求項56】 さらに、 前記加工片支持体を横切り、前記加工片支持体近傍に電場を形成するバイアス
    源をさらに含むプラズマ反応器を設ける工程と、 第2の変調信号によって前記電場を変調する工程とをさらに有することを特徴
    とする請求項24に記載の方法。
  57. 【請求項57】 前記第2の変調信号は、第2のオンタイム領域と第2のオフタ
    イム領域とを有することを特徴とする請求項56に記載の方法。
  58. 【請求項58】 前記電場を変調する工程は、(a)第2のオンタイム領域、(
    b)第2のオフタイム領域の少なくとも1つの持続時間を変調する工程を含むこ
    とを特徴とする請求項57に記載の方法。
  59. 【請求項59】 前記電場を変調する工程は、(a)前記バイアス源の電力、(
    b)前記バイアスの電圧、(c)前記バイアス源の電流、(d)前記バイアス源
    の前記電流の変化率の少なくとも1つを変調する工程をさらに有することを特徴
    とする請求項58に記載の方法。
  60. 【請求項60】 第1の変調信号と第2の変調信号とを、前記第1のオフタイム
    領域が一時的に前記第2のオフタイム領域と一致し、前記第1のオフタイム領域
    が一時的に前記第2のオフタイム領域と一致するように、同期させる工程をさら
    に有することを特徴とする請求項58に記載の方法。
  61. 【請求項61】 前記第1の変調信号と前記第2の変調信号とを、 前記第1のオフタイム領域と前記第2のオンタイム領域とが前記プラズマがそ
    の実質的に最も冷い温度にある場合に生ずるように、また 前記第1のオンタイム領域と前記第2のオフタイム領域とが前記プラズマがそ
    の実質的に最も温かい温度にある場合に生ずるように、 同期させることを特徴とする請求項58に記載の方法。
  62. 【請求項62】 さらに、前記エネルギー放射及び前記電場を変調する一方で、
    前記プラズマ密度をほぼ一定に保つ工程を有することを特徴とする請求項61に
    記載の方法。
  63. 【請求項63】 加工片を加工する方法において、 (a)反応器チェンバと、加工中、前記反応チェンバ内の支持体平面近傍に前
    記加工片を保持するための加工片支持体と、前記加工片支持体に対向した反応器
    エンクロージャ部分と、前記反応器エンクロージャ部分に隣接した非平面誘導ア
    ンテナとを含み、また前記反応器エンクロージャ部分と非相似の形状を有し、前
    記非平面誘導アンテナが前記支持体平面に関連した非平面的様式で空間的に分布
    した誘導素子を含み、前記誘導アンテナが電源から前記反応チェンバへの電力を
    結合するのに適している誘導結合プラズマ反応器を設ける工程と、 (b)照射ガスを生成するために前記非平面誘導アンテナからのエネルギー放
    射によって前記反応質チェンバ内にプロセスガスを照射する工程と、 (c)時間が経つにつれて前記エネルギー放射が変化する工程と、 を有することを特徴とする方法。
  64. 【請求項64】 第1のオンタイム領域と第2のオフタイム領域とを有する第1
    の変調信号を用いて前記エネルギー放射が時間経過にともなって変化することを
    特徴とする請求項63に記載の方法。
  65. 【請求項65】 前記エネルギー放射を変調する工程は、(a)前記第1のオン
    タイム領域、(b)前記第1のオフタイム領域の少なくとも1つの持続時間を調
    整する工程が含まれることを特徴とする請求項64に記載の方法。
  66. 【請求項66】 前記エネルギー放射を変調する工程は、さらに(a)前記電源
    、(b)前記非平面誘導アンテナの電流、(c)前記非平面アンテナからの前記
    電流の変化率の少なくとも1つを含む工程を特徴とする請求項65に記載の方法
  67. 【請求項67】 前記エネルギー放射は、前記オフタイム持続時間の間、ゼロで
    あることを特徴とする請求項66に記載の方法。
  68. 【請求項68】 前記オフタイム持続時間の間の前記エネルギー放射は、ゼロよ
    りも大きく、かつ前記オンタイム持続時間の間の前記エネルギー放射よりも少な
    いことを特徴とする請求項66に記載の方法。
  69. 【請求項69】 さらに変調する工程は、前記電源の平均電力が一定となるよう
    に、前記電源を変調し、前記電源の電力レベルを調整する工程が含まれることを
    特徴とする請求項66に記載の方法。
  70. 【請求項70】 前記照射ガスはプラズマであることを特徴とする請求項65に
    記載の方法。
  71. 【請求項71】 さらに、前記エネルギー放射を変調する一方で、プラズマ密度
    をほぼ一定に保つ工程が含まれることを特徴とする請求項70に記載の方法。
  72. 【請求項72】 前記誘導素子は、前記非平面誘導アンテナの照射パターンがヌ
    ルに対して補正するように空間的に分布することを特徴とする請求項65に記載
    の方法。
  73. 【請求項73】 前記非平面誘導アンテナは、ソレノイド様アンテナを有するこ
    とを特徴とする請求項72に記載の方法。
  74. 【請求項74】 前記誘導素子は、導電性巻線からなる垂直方向スタックを有す
    ることを特徴とする請求項73に記載の方法。
  75. 【請求項75】 前記非平面誘導アンテナは対称軸を有し、また前記巻線は前記
    対称軸から最小の半径距離を有することを特徴とする請求項74に記載の方法。
  76. 【請求項76】 前記巻線は、前記反応チェンバの半径の実質的部分に一致する
    半径方向の位置にあることを特徴とする請求項74に記載の方法。
  77. 【請求項77】 さらに、 前記ソレノイド様アンテナに関連した外側半径方向の位置にある前記反応器エ
    ンクロージャ部分に隣接した第2の誘導アンテナを有することを特徴とする請求
    項75に記載の方法。
  78. 【請求項78】 さらに、 第2の誘導アンテナに結合した第2の電源を有することを特徴とする請求項7
    7に記載の方法。
  79. 【請求項79】 前記第2の誘導アンテナは、第2の非平面誘導アンテナを有す
    ることを特徴とする請求項78に記載の方法。
  80. 【請求項80】 前記第2の非平面誘導アンテナは、ソレノイド様アンテナを有
    することを特徴とする請求項79に記載の方法。
  81. 【請求項81】 前記ソレノイド様アンテナは、二重に巻かれたソレノイド巻線
    を有することを特徴とする請求項73に記載の方法。
  82. 【請求項82】 前記二重に巻かれたソレノイド巻線は、1対の同心的な単一ソ
    レノイド巻線を有することを特徴とする請求項81に記載の方法。
  83. 【請求項83】 前記二重に巻かれたソレノイド巻線は、少なくともほぼ平面な
    対からなる巻線の垂直方向スタックを有することを特徴とする請求項81に記載
    の方法。
  84. 【請求項84】 さらに、 前記二重に巻かれたソレノイド巻線に関連した外側半径方向の位置にある前記
    反応器エンクロージャ部分に隣接した第2のソレノイド巻線を有することを特徴
    とする請求項81に記載の方法。
  85. 【請求項85】 さらに、 前記第2のソレノイド巻線に結合した第2の電源を有することを特徴とする請
    求項84に記載の方法。
  86. 【請求項86】 前記第2のソレノイド巻線は、二重に巻かれたソレノイド巻線
    を有することを特徴とする請求項84に記載の方法。
  87. 【請求項87】 前記導電性巻線の垂直方向スタックは、正円筒形状を有するこ
    とを特徴とする請求項74に記載の方法。
  88. 【請求項88】 前記導電性巻線の垂直方向スタックは、上向円錐形状を有する
    ことを特徴とする請求項74に記載の方法。
  89. 【請求項89】 前記導電性巻線の垂直方向スタックは、逆円錐形状を有するこ
    とを特徴とする請求項74に記載の方法。
  90. 【請求項90】 さらに、 前記導電性巻線の垂直方向スタックの底部巻線から半径方向外側に延びる平面
    コイル導電体を有することを特徴とする請求項74に記載の方法。
  91. 【請求項91】 前記導電性巻線の垂直方向スタックは、上向き円錐形状を有す
    ることを特徴とする請求項90に記載の方法。
  92. 【請求項92】 前記導電性巻線の垂直方向スタックは、逆円錐形状を有するこ
    とを特徴とする請求項90に記載の方法。
  93. 【請求項93】 前記電源は、前記非平面誘導アンテナに結合したRF電源を有
    することを特徴とする請求項65に記載の方法。
  94. 【請求項94】 前記非平面誘導アンテナの非相似形は、前記反応器エンクロー
    ジャ部分の勾配を超える前記支持体面から遠ざかる勾配を有することを特徴とす
    る請求項65に記載の方法。
  95. 【請求項95】 前記反応器エンクロージャ部分は、前記加工片支持体に被さる
    前記反応器チェンバの天井部を有し、また 前記非平面誘導アンテナの前記非相似形状を前記天井部の垂直方向の勾配を超
    える垂直方向の勾配を有することを特徴とする請求項94に記載の方法。
  96. 【請求項96】 前記天井部は、(a)平面、(b)ドーム、(c)円錐、(d
    )切頭円錐のうちの1つからなる形状を有することを特徴とする請求項95に記
    載の方法。
  97. 【請求項97】 前記非平面誘導アンテナと前記加工片支持体とが前記非平面ア
    ンテナの誘導場の前記プラズマにおける浸透度の程度の距離に分かれていること
    を特徴とする請求項65に記載の方法。
  98. 【請求項98】 さらに、 前記加工片支持体を横切り、前記加工片支持体近傍に電場を形成するバイアス
    源をさらに含むプラズマ反応器を設ける工程と、 第2の変調信号によって前記電場を変調する工程とをさらに有することを特徴
    とする請求項65に記載の方法。
  99. 【請求項99】 前記第2の変調信号は、第2のオンタイム領域と第2のオフタ
    イム領域とを有することを特徴とする請求項98に記載の方法。
  100. 【請求項100】 前記電場を変調する工程は、(a)第2のオンタイム領域、
    (b)第2のオフタイム領域の少なくとも1つの持続時間を変調する工程を含む
    ことを特徴とする請求項99に記載の方法。
  101. 【請求項101】 前記電場を変調する工程は、(a)前記バイアス源の電力、
    (b)前記バイアスの電圧、(c)前記バイアス源の電流、(d)前記バイアス
    源の前記電流の変化率の少なくとも1つを変調する工程をさらに有することを特
    徴とする請求項100に記載の方法。
  102. 【請求項102】 第1の変調信号と第2の変調信号とを、前記第1のオフタイ
    ム領域が一時的に前記第2のオフタイム領域と一致し、前記第1のオフタイム領
    域が一時的に前記第2のオフタイム領域と一致するように、同期させる工程をさ
    らに有することを特徴とする請求項100に記載の方法。
  103. 【請求項103】 プラズマであり、かつ種を含む照射ガスをさらに有し、 前記第1の変調信号と前記第2の変調信号とを、 前記第1のオフタイム領域と前記第2のオンタイム領域とが前記プラズマがそ
    の実質的に最も冷い温度にある場合に生ずるように、また 前記第1のオンタイム領域と前記第2のオフタイム領域とが前記プラズマがそ
    の実質的に最も温かい温度にある場合に生ずるように、 同期させることを特徴とする請求項100に記載の方法。
  104. 【請求項104】 さらに、前記エネルギー放射及び前記電場を変調する一方で
    、前記プラズマ密度をほぼ一定に保つ工程を有することを特徴とする請求項10
    3に記載の方法。
  105. 【請求項105】 誘導結合プラズマ反応器において、 反応器壁部及び内面部とを有する反応器チェンバと、 加工片を保持するための加工片支持体と、 前記加工片支持体を被い、かつ前記反応器壁部に隣接する天井部と、 電源から前記反応チェンバへ電力を結合するのに適した誘導アンテナと、 前記誘導アンテナと通信し、かつ第1の変調信号を持つ信号変調器とを備え、 前記反応器チェンバの前記内面及び前記天井部が非金属材料からなることを特
    徴とする誘導結合プラズマ反応器。
  106. 【請求項106】 前記非金属材料は、半導体材料を含むことを特徴とする請求
    項105に記載の反応器。
  107. 【請求項107】 前記半導体材料は、シリコンを含むことを特徴とする請求項
    106に記載の反応器。
  108. 【請求項108】 前記第1の変調信号は、第1のオンタイム領域及び第1のオ
    フタイム領域を有することを特徴とする請求項105に記載の反応器。
  109. 【請求項109】 前記電源は、前記誘導アンテナに結合したRF電源を有する
    ことを特徴とする請求項108に記載の反応器。
  110. 【請求項110】 前記天井部は、(a)平面、(b)ドーム、(c)円錐、(
    d)切頭円錐のうちの1つからなる形状を有することを特徴とする請求項108
    に記載の反応器。
  111. 【請求項111】 前記非平面誘導アンテナと前記加工片支持体とが前記非平面
    アンテナの誘導場の前記プラズマにおける浸透度の程度の距離に分かれているこ
    とを特徴とする請求項108に記載の反応器。
  112. 【請求項112】 さらに、 前記加工片支持体を横切るバイアス源と、 前記加工片支持対を横切る第2の源をさらに有し、前記バイアス源が前記加工
    片支持体近傍に電場を形成する信号変調器とを備え、また 第2の変調信号によって前記電場を変調することを特徴とする請求項108に
    記載の反応器。
  113. 【請求項113】 前記第2の変調信号は、第2のオンタイム領域と第2のオフ
    タイム領域とを有することを特徴とする請求項113に記載の反応器。
  114. 【請求項114】 前記第1の変調信号及び前記第2の変調信号は、前記第1の
    オフタイム領域が一時的に前記第2のオフタイム領域と一致し、前記第1のオフ
    タイム領域が一時的に前記第2のオフタイム領域と一致するようになっているこ
    とを特徴とする請求項113に記載の反応器。
  115. 【請求項115】 誘導結合プラズマ反応器において、 反応器チェンバと、 処理の間、前記反応チェンバ内の支持体面近傍に加工片を保持するための加工
    片支持体と、 前記加工片支持体に対向した反応器エンクロージャ部分と、 前記反応器部分と非相似形の形状を有し、前記支持体面に関して非平面的なか
    たちで空間的に分布した誘導素子を有し、さらに前記反応器チェンバに電源から
    の電力を結合させるのに適合している非平面誘導アンテナと、 前記非平面誘導アンテナと通信し、かつ第1の変調信号を有する信号変調器と
    、 を備えることを特徴とする誘導結合プラズマ反応器。
  116. 【請求項116】 前記第1の変調信号は、第1のオンタイム領域と第1のオフ
    タイム領域とを有することを特徴とする請求項115に記載の反応器。
  117. 【請求項117】 前記誘導素子は、前記非平面誘導アンテナの照射パターンが
    ヌルに対して補正するように空間的に分布することを特徴とする請求項116に
    記載の反応器。
  118. 【請求項118】 前記非平面誘導アンテナは、ソレノイド様アンテナを有する
    ことを特徴とする請求117に記載の反応器。
  119. 【請求項119】 前記誘導素子は、導電性巻線からなる垂直方向スタックを有
    することを特徴とする請求項118に記載の反応器。
  120. 【請求項120】 前記非平面誘導アンテナは対称軸を有し、また前記巻線は前
    記対称軸から最小の半径距離を有することを特徴とする請求項119に記載の反
    応器。
  121. 【請求項121】 前記巻線は、前記反応チェンバの半径の実質的部分に一致す
    る半径方向の位置にあることを特徴とする請求項119に記載の反応器。
  122. 【請求項122】 さらに、 前記ソレノイド様アンテナに関連した外側半径方向の位置にある前記反応器エ
    ンクロージャ部分に隣接した第2の誘導アンテナを有することを特徴とする請求
    項120に記載の反応器。
  123. 【請求項123】 さらに、 第2の誘導アンテナに結合した第2の電源を有することを特徴とする請求項1
    22に記載の反応器。
  124. 【請求項124】 前記第2の誘導アンテナは、第2の非平面誘導アンテナを有
    することを特徴とする請求項123に記載の反応器。
  125. 【請求項125】 前記第2の非平面誘導アンテナは、ソレノイド様アンテナを
    有することを特徴とする請求項124に記載の反応器。
  126. 【請求項126】 前記ソレノイド様アンテナは、二重に巻かれたソレノイド巻
    線を有することを特徴とする請求項118に記載の反応器。
  127. 【請求項127】 前記二重に巻かれたソレノイド巻線は、1対の同心的な単一
    ソレノイド巻線を有することを特徴とする請求項126に記載の反応器。
  128. 【請求項128】 前記二重に巻かれたソレノイド巻線は、少なくともほぼ平面
    な対からなる巻線の垂直方向スタックを有することを特徴とする請求項126に
    記載の反応器。
  129. 【請求項129】 さらに、 前記二重に巻かれたソレノイド巻線に関連した外側半径方向の位置にある前記
    反応器エンクロージャ部分に隣接した第2のソレノイド巻線を有することを特徴
    とする請求項126に記載の反応器。
  130. 【請求項130】 さらに、 前記第2のソレノイド巻線に結合した第2の電源を有することを特徴とする請
    求項129に記載の反応器。
  131. 【請求項131】 前記第2のソレノイド巻線は、二重に巻かれたソレノイド巻
    線を有することを特徴とする請求項129に記載の反応器。
  132. 【請求項132】 前記導電性巻線の垂直方向スタックは、正円筒形状を有する
    ことを特徴とする請求項119に記載の反応器。
  133. 【請求項133】 前記導電性巻線の垂直方向スタックは、上向円錐形状を有す
    ることを特徴とする請求項119に記載の反応器。
  134. 【請求項134】 前記導電性巻線の垂直方向スタックは、逆円錐形状を有する
    ことを特徴とする請求項119に記載の反応器。
  135. 【請求項135】 さらに、 前記導電性巻線の垂直方向スタックの底部巻線から半径方向外側に延びる平面
    コイル導電体を有することを特徴とする請求項119に記載の反応器。
  136. 【請求項136】 前記導電性巻線の垂直方向スタックは、上向き円錐形状を有
    することを特徴とする請求項135に記載の反応器。
  137. 【請求項137】 前記導電性巻線の垂直方向スタックは、逆円錐形状を有する
    ことを特徴とする請求項135に記載の反応器。
  138. 【請求項138】 前記電源は、前記非平面誘導アンテナに結合したRF電源を
    有することを特徴とする請求項116に記載の反応器。
  139. 【請求項139】 前記非平面誘導アンテナの非相似形は、前記反応器エンクロ
    ージャ部分の勾配を超える前記支持体面から遠ざかる勾配を有することを特徴と
    する請求項116に記載の反応器。
  140. 【請求項140】 前記反応器エンクロージャ部分は、前記加工片支持体に被さ
    る前記反応器チェンバの天井部を有し、また 前記非平面誘導アンテナの前記非相似形状を前記天井部の垂直方向の勾配を超
    える垂直方向の勾配を有することを特徴とする請求項139に記載の反応器。
  141. 【請求項141】 前記天井部は、(a)平面、(b)ドーム、(c)円錐、(
    d)切頭円錐のうちの1つからなる形状を有することを特徴とする請求項140
    に記載の反応器。
  142. 【請求項142】 前記非平面誘導アンテナと前記加工片支持体とが前記非平面
    アンテナの誘導場の前記プラズマにおける浸透度の程度の距離に分かれているこ
    とを特徴とする請求項116に記載の反応器。
  143. 【請求項143】 さらに、 前記加工片支持体を横切るバイアス源と、 前記バイアス源と通信する第2の変調信号をさらに有する信号変調器とを備え
    ることを特徴とする請求項116に記載の反応器。
  144. 【請求項144】 前記第2の変調信号は、第2のオンタイム領域と第2のオフ
    タイム領域とを有することを特徴とする請求項143に記載の反応器。
  145. 【請求項145】 前記第1の変調信号及び前記第2の変調信号は、前記第1の
    オフタイム領域が一時的に前記第2のオフタイム領域と一致し、前記第1のオフ
    タイム領域が一時的に前記第2のオフタイム領域と一致するようになっているこ
    とを特徴とする請求項144に記載の反応器。
JP2000603070A 1999-03-05 2000-03-06 時間変調プラズマによる種の動的制御 Pending JP2002538618A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/262,945 US6589437B1 (en) 1999-03-05 1999-03-05 Active species control with time-modulated plasma
US09/262,945 1999-03-05
PCT/US2000/005784 WO2000052732A2 (en) 1999-03-05 2000-03-06 Active species control with time-modulated plasma

Publications (1)

Publication Number Publication Date
JP2002538618A true JP2002538618A (ja) 2002-11-12

Family

ID=22999739

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000603070A Pending JP2002538618A (ja) 1999-03-05 2000-03-06 時間変調プラズマによる種の動的制御

Country Status (3)

Country Link
US (1) US6589437B1 (ja)
JP (1) JP2002538618A (ja)
WO (1) WO2000052732A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4932942B2 (ja) * 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
JP2016004983A (ja) * 2014-06-19 2016-01-12 東京エレクトロン株式会社 絶縁膜をエッチングする方法

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3764594B2 (ja) * 1998-10-12 2006-04-12 株式会社日立製作所 プラズマ処理方法
US6544895B1 (en) * 2000-08-17 2003-04-08 Micron Technology, Inc. Methods for use of pulsed voltage in a plasma reactor
US6485572B1 (en) * 2000-08-28 2002-11-26 Micron Technology, Inc. Use of pulsed grounding source in a plasma reactor
US6562190B1 (en) * 2000-10-06 2003-05-13 Lam Research Corporation System, apparatus, and method for processing wafer using single frequency RF power in plasma processing chamber
US6920312B1 (en) * 2001-05-31 2005-07-19 Lam Research Corporation RF generating system with fast loop control
US7199328B2 (en) * 2001-08-29 2007-04-03 Tokyo Electron Limited Apparatus and method for plasma processing
TW567394B (en) * 2001-10-22 2003-12-21 Unaxis Usa Inc Apparatus for processing a photomask, method for processing a substrate, and method of employing a plasma reactor to etch a thin film upon a substrate
US6700090B2 (en) 2002-04-26 2004-03-02 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7250373B2 (en) * 2004-08-27 2007-07-31 Applied Materials, Inc. Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7648914B2 (en) * 2004-10-07 2010-01-19 Applied Materials, Inc. Method for etching having a controlled distribution of process results
CN101133682B (zh) * 2005-03-03 2011-07-20 应用材料股份有限公司 用于蚀刻具有受控制的制程结果分配的方法
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20070137576A1 (en) * 2005-12-19 2007-06-21 Varian Semiconductor Equipment Associates, Inc. Technique for providing an inductively coupled radio frequency plasma flood gun
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US20090004873A1 (en) * 2007-06-26 2009-01-01 Intevac, Inc. Hybrid etch chamber with decoupled plasma controls
US9123509B2 (en) 2007-06-29 2015-09-01 Varian Semiconductor Equipment Associates, Inc. Techniques for plasma processing a substrate
US20090004836A1 (en) 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
US20090139963A1 (en) * 2007-11-30 2009-06-04 Theodoros Panagopoulos Multiple frequency pulsing of multiple coil source to control plasma ion density radial distribution
US20090159213A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Plasma reactor gas distribution plate having a path splitting manifold immersed within a showerhead
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
US20090162261A1 (en) * 2007-12-19 2009-06-25 Kallol Baera Plasma reactor gas distribution plate having a vertically stacked path splitting manifold
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090166555A1 (en) * 2007-12-28 2009-07-02 Olson Joseph C RF electron source for ionizing gas clusters
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US20090294275A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of a source power or bias power rf generator
US20090297404A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Plasma reactor with high speed plasma impedance tuning by modulation of source power or bias power
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
US8324525B2 (en) * 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
WO2009146439A1 (en) 2008-05-30 2009-12-03 Colorado State University Research Foundation System, method and apparatus for generating plasma
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
WO2011123124A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
EP2552340A4 (en) 2010-03-31 2015-10-14 Univ Colorado State Res Found PLASMA DEVICE WITH LIQUID GAS INTERFACE
US8471476B2 (en) 2010-10-08 2013-06-25 Varian Semiconductor Equipment Associates, Inc. Inductively coupled plasma flood gun using an immersed low inductance FR coil and multicusp magnetic arrangement
US8692467B2 (en) 2011-07-06 2014-04-08 Lam Research Corporation Synchronized and shortened master-slave RF pulsing in a plasma processing chamber
DE102011078942A1 (de) * 2011-07-11 2013-01-17 Evonik Degussa Gmbh Verfahren zur Herstellung höherer Silane mit verbesserter Ausbeute
TWI581304B (zh) 2011-07-27 2017-05-01 日立全球先端科技股份有限公司 Plasma etching apparatus and dry etching method
US8735291B2 (en) * 2011-08-25 2014-05-27 Tokyo Electron Limited Method for etching high-k dielectric using pulsed bias power
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10645763B2 (en) * 2013-02-19 2020-05-05 Illinois Tool Works Inc. Induction heating head
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US20140263181A1 (en) * 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
JP6035606B2 (ja) * 2013-04-09 2016-11-30 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber
US9401263B2 (en) * 2013-09-19 2016-07-26 Globalfoundries Inc. Feature etching using varying supply of power pulses
KR101798384B1 (ko) * 2016-05-03 2017-11-17 (주)브이앤아이솔루션 유도결합 플라즈마 처리장치의 rf 안테나 구조
TW202147444A (zh) * 2020-05-14 2021-12-16 日商東京威力科創股份有限公司 電漿處理方法及電漿處理裝置
TW202147445A (zh) * 2020-05-14 2021-12-16 日商東京威力科創股份有限公司 電漿處理裝置
CN114501764B (zh) * 2022-01-26 2024-02-09 江苏神州半导体科技有限公司 基于多线圈耦合的气体解离电路控制装置及系统
FR3135731B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Procédé de dépôt de couches atomiques assisté par plasma à couplage capacitif
FR3135732B1 (fr) * 2022-05-17 2024-04-12 Univ Grenoble Alpes Réacteur de dépôt assisté par plasma

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6473620A (en) * 1987-09-14 1989-03-17 Mitsubishi Electric Corp Plasma applying device
JPH02105413A (ja) * 1988-10-14 1990-04-18 Hitachi Ltd プラズマエッチング処理方法
JPH07503815A (ja) * 1992-12-05 1995-04-20 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング ケイ素の異方性エッチング法
JPH0883776A (ja) * 1994-09-13 1996-03-26 Aneruba Kk 表面処理装置
JPH09185999A (ja) * 1996-08-05 1997-07-15 Univ Nagoya ラジカルの制御方法
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JPH10154599A (ja) * 1996-10-21 1998-06-09 Applied Materials Inc Rfプラズマリアクタ用熱制御装置
JPH10261498A (ja) * 1996-03-01 1998-09-29 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH10321399A (ja) * 1997-05-22 1998-12-04 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JPH1126433A (ja) * 1997-07-02 1999-01-29 Matsushita Electron Corp プラズマ処理方法

Family Cites Families (74)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1550853A (en) 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
JPS55154582A (en) 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS6191377A (ja) 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
CA1247757A (en) 1985-05-03 1988-12-28 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS6212129A (ja) 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS62254428A (ja) 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4756810A (en) 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
DE58904540D1 (de) 1988-03-24 1993-07-08 Siemens Ag Verfahren und vorrichtung zum herstellen von aus amorphen silizium-germanium-legierungen bestehenden halbleiterschichten nach der glimmentladungstechnik, insbesondere fuer solarzellen.
US4918031A (en) 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
JP2972227B2 (ja) * 1989-05-29 1999-11-08 株式会社日立製作所 プラズマ処理方法及び装置
US4990229A (en) 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5203956A (en) 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5258824A (en) 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
US5477975A (en) 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US5187454A (en) 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US5392018A (en) 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
KR100255703B1 (ko) 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5164945A (en) 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
US5249251A (en) 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
JP3221025B2 (ja) 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
EP0552490A1 (en) 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
DE69226253T2 (de) 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5241245A (en) 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
KR100264445B1 (ko) 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5414246A (en) 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5468341A (en) 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5399237A (en) 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
DE69531880T2 (de) 1994-04-28 2004-09-09 Applied Materials, Inc., Santa Clara Verfahren zum Betreiben eines CVD-Reaktors hoher Plasma-Dichte mit kombinierter induktiver und kapazitiver Einkopplung
US5514246A (en) 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
JPH0845903A (ja) * 1994-07-27 1996-02-16 Hitachi Ltd プラズマエッチング方法
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5779925A (en) * 1994-10-14 1998-07-14 Fujitsu Limited Plasma processing with less damage
US5683538A (en) * 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5710486A (en) 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US6255221B1 (en) * 1998-12-17 2001-07-03 Lam Research Corporation Methods for running a high density plasma etcher to achieve reduced transistor device damage

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6473620A (en) * 1987-09-14 1989-03-17 Mitsubishi Electric Corp Plasma applying device
JPH02105413A (ja) * 1988-10-14 1990-04-18 Hitachi Ltd プラズマエッチング処理方法
JPH07503815A (ja) * 1992-12-05 1995-04-20 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング ケイ素の異方性エッチング法
JPH0883776A (ja) * 1994-09-13 1996-03-26 Aneruba Kk 表面処理装置
JPH10261498A (ja) * 1996-03-01 1998-09-29 Hitachi Ltd プラズマ処理装置及びプラズマ処理方法
JPH09185999A (ja) * 1996-08-05 1997-07-15 Univ Nagoya ラジカルの制御方法
JPH1079372A (ja) * 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JPH10154599A (ja) * 1996-10-21 1998-06-09 Applied Materials Inc Rfプラズマリアクタ用熱制御装置
JPH10321399A (ja) * 1997-05-22 1998-12-04 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JPH1126433A (ja) * 1997-07-02 1999-01-29 Matsushita Electron Corp プラズマ処理方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4932942B2 (ja) * 2009-08-07 2012-05-16 株式会社京三製作所 パルス変調高周波電力制御方法およびパルス変調高周波電源装置
US8704607B2 (en) 2009-08-07 2014-04-22 Kyosan Electric Mfg. Co., Ltd. Pulse modulated RF power control method and pulse modulated RF power supply device
JP2016004983A (ja) * 2014-06-19 2016-01-12 東京エレクトロン株式会社 絶縁膜をエッチングする方法

Also Published As

Publication number Publication date
WO2000052732A3 (en) 2001-01-04
WO2000052732A2 (en) 2000-09-08
US6589437B1 (en) 2003-07-08

Similar Documents

Publication Publication Date Title
JP2002538618A (ja) 時間変調プラズマによる種の動的制御
US6238588B1 (en) High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6572732B2 (en) Parallel-plate electrode plasma reactor having an inductive antenna coupling power through a parallel plate electrode
US6348158B1 (en) Plasma processing with energy supplied
US6036878A (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US20080023443A1 (en) Alternating asymmetrical plasma generation in a process chamber
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
US6524432B1 (en) Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
US6063233A (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US7645357B2 (en) Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20080193673A1 (en) Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
JP5931063B2 (ja) プラズマ処理装置及びプラズマ処理方法
US6887341B2 (en) Plasma processing apparatus for spatial control of dissociation and ionization
EP0802560A1 (en) Process and electromagnetically coupled plasma apparatus for etching oxides
US20070246162A1 (en) Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
KR19980033074A (ko) 폴리머 경화 선구 물질의 가열된 소스를 가진 플라즈마 반응기
JPH10228997A (ja) プラズマトーチ生成用装置及び方法
JP2004502318A (ja) 切換式均一性制御
US20070245960A1 (en) Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
KR20180118693A (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US6248206B1 (en) Apparatus for sidewall profile control during an etch process

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100121

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100420

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100427

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101202

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110209