KR19980033074A - 폴리머 경화 선구 물질의 가열된 소스를 가진 플라즈마 반응기 - Google Patents

폴리머 경화 선구 물질의 가열된 소스를 가진 플라즈마 반응기 Download PDF

Info

Publication number
KR19980033074A
KR19980033074A KR1019970054308A KR19970054308A KR19980033074A KR 19980033074 A KR19980033074 A KR 19980033074A KR 1019970054308 A KR1019970054308 A KR 1019970054308A KR 19970054308 A KR19970054308 A KR 19970054308A KR 19980033074 A KR19980033074 A KR 19980033074A
Authority
KR
South Korea
Prior art keywords
chamber
ceiling
plasma reactor
plasma
polymer
Prior art date
Application number
KR1019970054308A
Other languages
English (en)
Other versions
KR100515122B1 (ko
Inventor
케네쓰 콜린스
마이클 라이스
데이비드 그로겔
제럴드 제야오 인
존 몬
크레이그 로더릭
더글라스 버치버거
찬-론 양
제리 왕
제프리 마크스
피터 케스위크
Original Assignee
조셉제이.스위니
어플라이드머티어리얼스,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉제이.스위니, 어플라이드머티어리얼스,인코포레이티드 filed Critical 조셉제이.스위니
Publication of KR19980033074A publication Critical patent/KR19980033074A/ko
Application granted granted Critical
Publication of KR100515122B1 publication Critical patent/KR100515122B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces
    • H01J2237/3382Polymerising

Abstract

본 발명의 일반적인 방법은 탄화 불소 또는 탄화수소-불소 가스로서 에칭 처리 동안에 상기 반응기 챔버내에서 (실리콘, 탄소, 실리콘 탄소 또는 실리콘 질화물, 그러나 바람직하게 실리콘과 같은) 폴리머 경화 선구 물질 피이스를 제공하고, 산화물에 대한 실리콘 에칭 선택성에서 바람직한 증가를 충분히 이룩하기 위하여 상기 폴리머화 온도 이상에서 상기 폴리머 경화 선구 물질 피이스를 가열하는 것이다. 일반적으로, 이러한 폴리머 경화 선구 물질 또는 실리콘 피이스는 상기 반응기 챔버 벽 및/또는 천장의 통합된 부분 또는 분리되고 연장가능하고 빨리 제거가능한 피이스의 통합된 부분일 수 있고, 상기 가열/냉각 장치는 상기 실리콘 피이스를 도전적으로 또는 원격으로 가열하는 장치를 포함하는 어떤 적절한 형태일 수 있다.

Description

폴리머 경화 선구 물질의 가열된 소스를 가진 플라즈마 반응기
본 발명은, 케네스 에스. 콜린즈 등에 의해 1996년 5월 13일 출원된 미국 제 08/648,256호의 연속 출원(cIP)이며, 상기 특허는 다음의 공동 계류 중인 미국 특허의 연속 출원(cIP)이며, 상기 내용은 참조로 본 명세서에 삽입된다. 상기 특허는,
(a) 1991년 6월 27일에 출원된 제 07/722, 340호의 연속 출원인 1993년 4월 1일 출원된 제 08041,796호의 연속 출원인 케네스, 에스.콜린 등의 1995년 12월 20일 출원된 제 08/580,026호;
(b) 1993년 10월 15일에 출원된 제 08/138, 060호의 분할 출원인 마이클 라이스 등의 1995년 7월 18일 출원된 제 08/503,467호;
(c) 1992년 12월 1일 출원된 제 07/984,045호의 연속 출원인 1994년 8월 11일 출원된 제 08/289,336호의 연속 출원이고, 1995년 8월 31일 출원된 제 08/521,668호의 연속 출원(지금은 포기됨)인 케네스에, 에스.콜린 등의 1996년 2월 2일 출원된 제 08/597,577호 등이다. 부가적으로, 오버헤드 솔레노이드 안테나를 가지는 유도적으로 결합된 RF 플라즈마 반응기로 명명되어 케네스, 에스.콜린 등에 의해 1996년 5월 13일에 출원된 미국 특허 출원 제 08/648,254호의 특허는 관련된 주요 문제를 기술한다.
본 발명은 폴리머 선구 물질 가스와 같은 에칭 선택성 강화 선구 물질 재료를 이용하여 처리하는 반도체 웨이퍼와 같은 워크피스를 처리하기 위한 플라즈마 반응기에 관련된 것이다. 실리콘 이산화 층을 통하여 아래에 배치된 폴리실리콘 도전체 층 및/또는 반도체 웨이퍼의 상기 실리콘 기판까지 접촉 개구부를 에칭하기 위한 고밀도 RF 플라즈마 반응기는 상기 언급한 콜린스드에 의한 출원에 기술되어 있다. 이상적으로, 그러한 반응기는 접촉 개구부가 수행될 때마다 아래에 있는 실리콘 이산화 층을 즉시 에칭하는 에칭 처리를 수행하고, 그러나 상기 처리가 높은 산화층에 대한 실리콘 에칭 선택성을 가지도록 상기 아래에 배치된 폴리실리콘 또는 실리콘 재료(또는 실리콘 질화물과 같은 산소를 포함하지 않는 재료)가 노출될 때마다 그리고 노출되자 마자 중지된다. 그러한 반응기는 전형적으로 진공 챔버, 상기 챔버내의 웨이퍼 지지체, 상기 챔버로의 처리 가스 흐름 공급부, 플라즈마 소스 파워를 제공하는 RF 파워 소스에 접속된 상기 챔버에 인접한 플라즈마 소스 코일 및 플라즈마 바이어스 파워를 제공하기 위하여 상기 웨이퍼 지지체에 접속된 다른 RF 파워 소스를 포함한다. 실리콘 산화층 에칭 처리에 대하여, 불소를 포함하는 물질과 같은 에천트를 포함하는 처리 가스는 기판으로 도입된다. 상기 에칭 처리는 접촉 개구부가 에칭되는 상기 실리콘 산화층 뿐만 아니라 상기 아래에 배치된 폴리실리콘 또는 실리콘 재료가 에칭 처리에 의하여 노출되자 마자 상기 재료를 공격하도록 상기 처리 가스의 불소는 아래의 전형적인 상태와 연관이 있다. 그래서 그러한 반응기에 의하여 수행되는 전형적인 에칭 처리는 요구된 이상적인 처리가 아니고 보다 낮은 산화물에 대한 실리콘 에칭 선택성을 가진다. 이러한 명세서에 기술된 바와 같이, 용어 에칭 선택성은 실리콘 산화물 및 (크리스탈 실리콘 또는 후에 폴리실리콘으로서 언급되는 폴리크리스탈린 실리콘 중 어느 하나인) 실리콘과 같은 2개의 다른 재료의 에칭 율 사이에서의 비율을 언급한다. 낮은 에칭 선택성은 펀치 쓰루(punch through)를 야기할 수 있다. 얕은 접촉 개구부를 중간 폴리실리콘 층까지 에칭하는 반면 동시적으로 깊은 접촉 개구부를 아래에 배치된 실리콘 기판까지 에칭하는 동안, 상기 에칭 처리는 상기 실리콘 기판에 도달하기 전에 상기 중간 폴리실리콘 층을 통하여 제일 먼저 도달하여 펀치 쓰루될 것이다. 상기 실리콘 기판의 깊이 및 상기 실리콘 산화물을 통과하는 상기 중간 실리콘 층 사이의 비율에 의존하여, 상기 펀치 쓰루를 막기 위하여 매우 높은 산화층에 대한 실리콘 에칭 선택성이 필요하다. 예를들면, 만약 (a) 상기 산화층을 통하여 상기 기판까지의 깊은 접촉 개구부는 1.0마이크론 깊이이며, 50%오버에칭 되고, (b) 상기 중간 폴리실리콘 층이 (산화층의 상부 아래로) 0.4마이크론 깊이이고, (c) 만약 상기 중간 폴리실리콘 층의 단지 0.01마이크론 층이 (펀치-쓰루를 피하기 위하여) 제거된다면, 그러면 적어도 110;1의 산화층에 대한 실리콘 에칭 선택성이 요구된다.
산화층에 대한 실리콘 에칭 선택성은, 실리콘 산화층 또는 다른 산소가 포함된 층상보다는 실리콘 및 폴리실리콘 또는 다른 산소가 포함되지 않는 층상에 보다 쉽게 형성되는 폴리머 막에 의하여 강화된다는 것이 공지되어 있다. 선택성이 강화된 폴리머 막을 형성하기 위하여, 처리 가스내의 불소를 포함하는 물질은 탄화 불소 또는 탄화수소 불소이다. 상기 처리 가스의 불소의 일부는 상기 웨이퍼 상에 상기 실리콘 이산화 층을 화학적으로 에칭하는 데 소비된다. 상기 불소의 다른 부분은 상기 웨이퍼의 표면상에 폴리머를 형성하기 위하여 상기 처리 가스에 포함된 탄소를 포함하는 다른 종류와 반응한다. 이러한 폴리머는 실리콘 이산화층(또는 다른 산소를 포함하는 표면)보다 어떤 노출된 실리콘 및 폴리실리콘 표면(또는 다른 산소를 포함하지 않는 표면)상에 더 빠르고 강하게 형성되므로, 상기 에천트로부터 상기 실리콘 및 폴리실리콘을 보호하고 에칭 선택성을 강화한다. 에칭 선택성은 폴리실리콘 표면상에 형성된 상기 폴리머의 강도를 향상시키므로써 더 개선될 수 있다. 상기 폴리머는 불소에 비례하여 폴리머 내의 탄소의 비율을 증가시킴으로써 강화되며, 이것은 상기 플라즈마의 자유 불소의 량을 감소시키므로써 성취될 수 있다. 이러한 목적을 위하여, 실리콘 피이스와 같은 불소 제거제는 상기 반응 챔버에 제공될 수 있으며, 폴리머로 덮여지는 것을 피하고 실리콘 이온, 래디컬 또는 중립 종이 제거제로부터 제거되어 상기 플라즈마로 주입되는 것을 허용하기 위하여 가열될 수 있다. 상기 제거제로부터 제거된 실리콘 원자는 상기 플라즈마의 어떤 자유 불소와 결합하므로써 폴리머화 하기 위하여 이용될 수 있는 불소의 량을 줄이고 상기 웨이퍼 상에 형성된 상기 폴리머의 탄소 비율을 증가시킨다.
상기 반응 챔버 내의 가열된 실리콘 피이스와 같은 불소 제거제의 사용은 상기 웨이퍼 상에 형성된 상기 폴리머를 강화함으로써 에칭 선택성을 강화시키는 반면에, 심지어 그렇게 강화된 에칭 선택성은 매우 다른 깊은 접촉 홀의 동시적인 에칭과 같은 특정 응용에 대하여 부적절할 수 있다. 그러므로, 상술한 개선된 제거 기술에 의하여 이룩되는 것 이상으로 상기 폴리머 강화를 증가시키는 것이 바람직하다.
다른 문제는 폴리머의 강도에서의 실질적인 증가를 이룩하기 위하여 요구된 상기 제거제 피이스의 제거 비가 너무 커서 상기 실리콘 피이스가 빠르게 소비되어 그것의 대체에 대한 당연한 필요성은 생산성 손실 및 증가된 비용 면에서 비용 상승을 강요한다는 것이다. 전형적으로 상기 제거제 피이스는 상기 반응기 챔버 천장 또는 벽에서의 한 피이스의 실리콘 또는 상기 반응기 챔버 천장 근처에서의 한 피이스의 실리콘이다. 제거제로부터의 실리콘 제거 비율은 RF 바이어스 전위를 상기 실리콘 피이스에 인가하므로써 강화되고 한편 온도는 조심스럽게 제어되어 폴리머 증착을 방지하고 실리콘 제거 비율을 제어한다. 상기 언급한 미국 특허 제 08/542,067호에 기술된 바와 같이, 실리콘은 인가된 RF 바이어스의 결합 및 제거제 피이스의 가열에 의해 상기 플라즈마내에 부가된다. 상기 온도 제어 장치는 실리콘 피이스(예를 들면 실리콘 천장)의 대체가 상대적으로 값비싸기 때문에 상기 실리콘 피이스로 통합된다. 상기 언급한 미국 특허 제 08/597,577호에서, 모든 실리콘 반응 챔버는 상기 벽 및 천장은 실리콘이고, 어떤 불소 제거는 동작에서 비용 증가 및 생산성 감소가 일어나는 어떤 주기 간격에서 대체를 요구하는 상기 실리콘 천장 및 벽을 소비하므로써 이루어지는 모든 실리콘 반응 챔버가 기술되어 있다. 그래서, 폴리머 강도를 증가하는 것이 바람직할 뿐만 아니라 실리콘은 바람직한 에칭 선택성을 증가시키기 위하여 상기 제거제로부터 제거되어야하는 비율을 감소시키는 것이 또한 바람직하다.
본 발명은, 단지 제거제 불소에서 요구된 온도 이상으로, 즉 더 높은 온도 범위로 상기 반응 챔버 내의 실리콘과 같은 폴리머 경화 선구 물질 재료의 온도를 증가시키므로써, 단지 불소만을 제거하므로써 지금까지 가능한 것 보다 에칭에 더 저항력이 있는 여러 가지의 더 지속 가능한 폴리머는 노출된 실리콘 및 폴리실리콘 표면상에 형성된다. 이러한 장점에서, 용어 폴리머 선구 물질 경화는 온도가 상승할 때, 상기 온도 증가에 따라서 상기 웨이퍼상에 형성된 상기 폴리머 에칭에 저항이 증가하는 상기 챔버의 재료를 말한다. 보다 높은 온도 범위에서 상기 폴리머 경화 선구 물질 재료를 유지하므로써 형성된 폴리머는 다른 곳에 형성된 폴리머보다 더 지속가능하고, 산화층에 대한 실리콘 에칭 선택성이 지금까지 얻어진 선택성보다 더 강화되도록 상기 실리콘 및 폴리실리콘을 보호한다. 상기 가열된 폴리머 경화 선구 물질(예를 들면 실리콘) 피이스로부터의 재료는 상기 발생하는 폴리머가 실질적으로 강화되도록 증가된 온도의 함수로서 탄소에 대한 불소, 수소에 대한 불소, 탄소에 대한 불소의 상기 처리 가스 함유 비를 변화시키므로써 상기 폴리머화 처리에 관여한다. 상기 반응기 챔버의 상기 폴리머 경화 선구 물질 피이스가 폴리머화 온도(폴리머 선구 물질 재료가 상기 표면상에 응집될 수 있는 온도) 이상 및 보다 높은 온도 범위로 가열됨에 따라, 상기 에칭 선택성은 온도 증가에 따라 증가된다. 그래서, 본 발명의 일반적인 방법은 에칭이 불소-탄소 또는 불소-탄화수소 가스를 사용한 에칭 처리 동안에 상기 반응기 챔버내에서 (실리콘, 탄소, 실리콘 탄소 또는 실리콘 질화물, 그러나 바람직하게 실리콘과 같은) 폴리머 경화 선구 물질 피이스를 제공하고, 지금까지 얻어진 선택성 이상으로 산화물에 대한 실리콘 에칭 선택성의 바람직한 증가를 이룩하기 위하여, (예를 들면 상기 보다 높은 온도 범위로) 상기 폴리머화 온도 이상으로 상기 폴리머 경화 선구 물질 가스를 가열하는 것이다.
본 출원에서 청구된 실시예에 있어서, 워크피이스의 표면의 처리 균일성은 챔버의 플라즈마 이온 밀도의 방사형 분포를 조절함에 의해 최적화된다. 이러한 것은 본 발명에 과한 아래의 방법중 하나 또는 일부 또는 모든 방법에 의해 달성된다. 첫째, 오버헤드 유도 안테나는 방사상으로 배치된 안테나 부분으로 나뉘어지고, 각 부분의 RF 파워 레벨은 다른 것에 비례하여 변화된다. 둘째, 오버헤드 천장으로의 방사형 온도 분포가 조절된다. 셋째, 챔버의 상이한 방사상 위치에 있는 공급부에서의 가스 흐름율은 다른 것에 비례하여 변화된다.
도 1은 아래에 언급한 공동 계류중인 특허의 제 1하나에 언급된 형태의 플라즈마 반응기의 단순히 절단된 측면도;
도 2는 아래에 언급한 공동 계류중인 특허의 제 2하나에 언급된 형태의 플라즈마 반응기의 단순히 절단된 측면도;
도 3은 아래에 언급한 공동 계류중인 특허의 제 3하나에 언급된 형태의 플라즈마 반응기의 단순히 절단된 측면도;
도 4a는 본 발명의 바람직한 실시예에 따라서 소비 가능한 폴리머 경화 선구 물질 피이스의 유도적인 가열을 이용하는 플라즈마 반응기의 단순히 절단된 측면도;
도 4b는 워크피이스의 다중-층 도전체 구조를 나타내는 도 4a의 실시예의 동작에서 처리된 워크피이스의 확대된 단면도;
도 4c는 광섬유가 삽입된 슬리브 및 카운터 보어를 나타내는 도 4a에 상응하는 확대도;
도 4d는 열 투과 윈도우내에 긴 파장 광학 윈도우를 나타내는 도 4a에 상응하는 확대도;
도 4E는 열 투과 윈도우로부터 분리된 긴 파장 광학 윈도우를 나타내는 도 4a에 상응하는 확대도;
도 5a는 폴리머 경화 선구 물질 링의 온도의 기능으로서 산화물에 대한 실리콘 에칭 선택성을 나타내는 그래프;
도 5b는 각 240℃ 및 500℃의 온도에서 초당 옹스트롱의 폴리실리콘 에칭 율의 방사형 분포를 나타내는 그래프;
도 6은 소비 가능한 폴리머 경화 선구 물질 피이스의 복사 또는 적외선 가열을 이용하는 본 발명의 다른 바람직한 실시예에 따라서 플라즈마 반응기의 절단된 도면;
도 7은 소비가능한 폴리머 경화 선구 물질 피이스가 모든 반도체 반응기 챔버에서 가열되는 본 발명의 바람직한 실시예에 따른 플라즈마 반응기의 절단된 도면;
도 8a는 처리되는 웨이퍼에 상대적으로 분리되는 방사형 위치에서 가열된 폴리머 경화 선구 물질 피이스를 이용하는 바람직한 실시예에 따른 플라즈마 반응기의 절단된 도면;
도 9는 상기 소비 가능한 폴리머 경화 선구 물질 피이스가 상기 원통형 챔버 측벽에 인접하는 제거 가능한 라이너인 본 발명에 따른 실시예를 나타내는 도면;
도 10은 본 발명을 실행하는 반응기에서의 온도 제어 시스템의 예 동작 수행을 나타내는 그래프;
도 11은 수행이 도 10에 기술된 온도 시스템의 폐루프 응답을 나타내는 그래프;
도 12는 도 11의 그래프의 일부분 확대도.
도 13은 돔 형태의 천장을 제외한 도 8a에 해당하는 실시예.
도 14는 돔 형태의 천장을 제외한 도 8b에 해당하는 실시예.
도 15는 돔 형태의 천장을 제외한 도 9에 해당하는 실시예.
도 16은 유도적으로 결합되기 보다는 용량성으로 결합된 플라즈마 소스를 갖는 도 8a에 해당하는 실시예.
도 17은 유도적으로 결합되기 보다는 용량성으로 결합된 플라즈마 소스를 갖는 도 9에 해당하는 실시예.
도 18은 상기 챔버 천장의 상부에 폴리머 경화 선구 물질의 챔버 라이너로 대치된 폴리머 경화 선구 물질의 링을 갖는 도 8a의 실시예의 변형물.
도 19는 내부 및 외부(디스크 또는 환형) 부분으로 방사상으로 분할된 천장을 가지는 도 8b의 특성이 적용된 도 18의 실시예의 변형물.
도 20은 도 3의 실시예중 솔레노이드 안테나 코일을 평평한 안테나 코일로 대체한 도 18의 실시예의 변형예.
도 21은 내부 및 외부(디스크 또는 환형) 부분으로 방사상으로 분할된 천장을 가지는 도 8b의 특성이 적용된 도 20의 실시예의 변형물.
* 도면의 주요 부분에 대한 부호의 설명 *
12 : 실리콘 벽 14 : 실리콘 천장
60 : 링 66 : 센서
68a, 68b : 온도 제어기 90 : 가열장치
도 1에서, 상기 언급한 공동 계류중인 미국 특허 제 08/580,026호는 원통형 측벽(12), 평면 천장(14), 웨이퍼 지지 받침대(16)를 가지는 플라즈마 반응 챔버(10)를 기술하고, 상기 반도체 지지 받침대(16)는 반도체 웨이퍼로서 처리되는 워크피이스(17), 상기 원통형 측벽(12) 및 상기 천장(14)에 결합된 독립적인 RF 전력 소스(20, 22, 24), 상기 받침대(16) 및 상기 유도성 코일(18) 주위를 감는 유도성 측벽 코일(18)을 지지한다. 특히, 콜린스 등의 공동 계류중인 특허는 상기 천장914)는 불소 제거제를 제공하기 위하여 실리콘을 포함할 수 있다는 것을 나타낸다. 이러한 목적으로, RF 전력은 실리콘의 제거를 강화하기 위하여 상기 RF 전력 소스에 의하여 상기 실리콘 천장(14)에 인가된다.
도 2에서, 상기 언급한 라이스 등의 공동 계류중인 특허는 상기 측벽(12)은 석영이고 석영 측벽(12)의 온도 및 상기 실리콘 천장(14)의 온도를 제어하기 위하여 온도 제어 시스템을 제공하는 것을 기술한다. 상기온도 제어 시스템은 냉각 소스(30) 및 상기 석영 측벽(12)애 결합된 가열 소스(32) 및 냉각 소스(34) 및 실리콘 천장(14)에 결합된 가열 소스(36)를 포함한다. 측벽(12) 및 천장(14)에 결합된 온도 센서(38, 40)는 각각 제어기(42, 44)에 의하여 모니터된다. 상기제어기(42)는 석영 측벽(12)의 냉각 소스(30) 및 가열 소스(32)를 제어하고 한편 상기 제어기(44)는 상기 실리콘 천장의 냉각 소스(34) 및 가열 소스(35)를 제어한다. 상기 실리콘 천장(14)의 온도를 제어하기 위한 목적은 적어도 부분적으로, 천장(14)이 상기 플라즈마에 실리콘 제거기를 제공하는 것을 방지하는 천장(14)상에 폴리머 누적을 방지하는 것이다. 그러므로, 제어기(44)는 상기 폴리머 집중(또는 폴리머화) 온도 또는 처리 상태에 따라서 약 170℃이상 어느 정도 상기 천장 온도를 유지한다. 동시에, 상기 RF 전력 소스(20)는 충분한 RF 전력을 실리콘 천장(14)에 제공하고 , 그것은 에칭 선택성을 강화하기 위하여 상기 웨이퍼 상에 형성된 상기 폴리머의 상기 탄소 성분을 강화하기 위하여 요구된 불소의 량을 제거하기 위하여 충분한 율에서 상기 플라즈마에 의하여 상기 천장(14)으로부터 실리콘의 제거를 개선하기 이한 것이다. 사실, 향상된 온도 및 인가된 RF 바이어스의 결합은 상기 플라즈마 및 상기 천장(14) 사이의 상호 반응은 폴리머 증착을 야기하고 상기 상호반응은 상기 천장914)의 에칭을 야기하는 에너지 임계치를 극복한다.
도 3에서, 상기 언급한 공동 계류중인 케네스 에스. 콜린 등의 특허 제 08/597, 577호는 상기 천장(14) 및 상기 측벽(12)은 실리콘과 같은 반도체이고 상기 천장(14) 및 상기 측벽(12)은 상기 플라즈마에 상기 천장(14) 및 상기 측벽(12)자신을 통하여 RF 소스 전력을 유도성 결합을 허용하는 윈도우로서 작용할 수 있다. 이러한 이유로, 하나 또는 상기 측벽(18) 및 오버헤드 유도체(50) 중 어느 하나가 이용될 수 있고 상기 실리콘 측벽(12) 및 상기 실리콘 천장(14)을 통하여 상기 플라즈마에 RF 소스 전력을 결합한다. 미국 특허 출원 제 08/597, 577호는 평면 오버헤드 코일을 기술하고 그러한 것은 본 발명을 수행하는데 적절할 것이다. 그러나 도 3의 실시예의 오버헤드 유도체(50)는 처리 균일성 제어를 편리하게 하기 위하여 독립적인 RF 전력 소스(52a, 52b)에 의하여 분리되게 전력이 인가된 (오버헤드 솔레노이드 안테나를 가지는 유도적으로 결합된 RF 플라즈마 반응기의 명칭으로 케네스 에스.콜린 등의 공동 계류중인 상기 언급한 미국 특허 제 호에 기술된 한 형태의) 내부 및 외부 솔레노이드(50a, 50b)를 포함한다. 더욱이, 상기 천장(14) 및 측벽(12)은 분리 전극으로서 이용될 수 있고, RF 전력이 분리 RF 전력 소스(54)에 의하여 상기 실리콘 측벽(12)에 인가된다. 충분한 RF 전력은 불소를 제거하기 위하여 실리콘의 제거를 향상하기 위하여 상기 실리콘 천장(14) 및 상기 실리콘 측벽(12) 양쪽 다 또는 어느 한쪽에 인가된다. 상기 측벽(12) 및 천장(14)은 실리콘 제거제 선구 물질의 사용을 허용하고 폴리머 및 연관된 오염물 증착을 제거하기 위하여 자주 챔버 청소 동작에 일반적인 요구를 피하기 위하여 상기 폴리머 집중 온도상에 바람직하게 유지된다.
본 발명의 제 1실시예는 상기 탄소-불소 폴리머의 상기 탄소 성분을 강화하지 않는 처리이고, 그러나 아래에 배치된 실리콘, 폴리실리콘 또는 검출될 유사한 산소를 포함하지 않는 표면에 강하게 부착되는 여러 가지 종류의 폴리머를 형성한다. 그 결과는 에칭 선택성의 혁명적인 개선이다. 본 발명은 실리콘, 실리콘 탄화물, 흑연, 실리콘 질화물을 포함하는 종류의 어떤 재료는 보다 높은 온도 범위(예를 들면 상기 폴리머 집중 온도 이상)로 상승되는 것은 상기 폴리머의 화학적인 구조를 변화시킬 때, 종래 기술에 제공된 것보다 에칭에 더 저항력이 있는 폴리머를 야기 하다는 것이다. 상기 처리는 보다 높은 온도 범위(예를 들면 플로팅(floating) 전위 실리콘 선구 물질 재료에 대하여 180℃에서 220℃ 및 어떤 경우에 실질적으로 상기 응용 가능한 폴리머 집중 온도 이상)에서 상기 반응기 챔버내에 폴리머 경화 선구 물질 재료의 온도를 유지하므로써 수행된다. 이것은 보다 높은 온도 범위는 상기 폴리머 경화 선구 물질 재료상에 인가된 RF 바이어스 전위 및 상기 재료 선택 그 자체를 크게 가변할 수 있다.
본 발명의 제 2 실시예에서, 상기 폴리머 경화 선구 물질 재료는 심지어 보다 큰 폴리머 경화가 이룩되는 최대 온도 범위에서 유지된다. 플로팅 전위에서 유지되는 실리콘 선구 물질 재료에 대한 최대 온도 범위는 약 220℃이상이고 바람직하게 약 300℃에서 약 700℃까지의 범위이다. 이러한 최대 온도 범위는 상기 폴리머 경화 선구 물질 재료에 인가된 상기 RF 바이어스로 크게 가변된다. 한편 이러한 명세서에서 특정 이론의 기술이 필요하지 않지만, 전부 필요하지 않지만 어떤 경우에서, 상기 폴리머 경화 선구 물질 재료, 불소를 갖는 상기 플라즈마 밴드에 의하여 제거된 상기 폴리머 경화 선구 물질(예를 들면 실리콘)재료, 상기 재료가 폴리머화 될 때 탄소 및 수소 원자(불소 탄화수소 가스가 이용되는 것을 가정하는)의 최대 온도 범위에서, 상기 재료(예를 들면)는 최적의 온도 저항을 가지는 다른 종류의 폴리머를 에칭에 부가한다. 어떤 경우에, 제 2실시예에서 만들어진 상기 폴리머는 시니 표면에 의하여 구별된다.
보다 높은 온도 범위에서, 상기 가열된 폴리머 경화 선구 물질 재료(예를 들면 본 실시예의 상기 실리콘 천장(14)에서)는 (1) 불소 제거를 상기 플라즈마에 제공하므로써 상기 플라즈마에 자유 불소를 줄이고, (2) 플라즈마의 불소 및 수소에 탄소의 상대적인 농도를 변화하고, (3) 상기 웨이퍼 표면 근처 플라즈마에서 상기 상대적인 에천트 종의 농도 및 폴리머 선구 물질 종을 변화한다. 상기 최대 온도 범위에서, 상기 가열된 폴리머 경화 선구 물질 재료는 (1)-(3) 이상 및 (4) 종래 기술에서 얻어진 에칭에 저항을 가지는 폴리머를 만드는 상기 폴리머에 폴리머 경화 선구 물질(실리콘) 재료를 제공한다.
비록 실리콘에 대한 실리콘 산화 선택도에서의 유사한 개선이 이룩될지라도, 본 발명의 효율성은 본 발명에 의하여 이룩된 포토레지스터 선택성에서 도시된다. 상기 폴리머 경화 선구 물질 재료(실리콘)가 300℃로 가열될 때, 스퍼터링 효과는 포토레지스터에 의하여 덮여진 형태의 상기 포토레지스터 표면 또는 코너에서 관찰되고, 포토레지스터에 대한 상기 산화층의 에칭 선택성은 약 3:1정도이다. 만약 상기 폴리머 경화 선구 물질이 약 430℃로 더 가열된다면, 그러면 상기 포토레지스터 선택성은 상당한 개선인 약 5:1로 상응한다. 만약 상기 폴리머 경화 선구 물질 재료 온도가 약 560℃로 더 상승되면, 그러면 상기 포토레지스터 선택성은 약 6:1로 상승한다.
도 1, 도 2, 도 3의 반응기 챔버에서 본 발명을 수행하는 것은 상기 폴리머 경화 선구 물질 재료로서 상기 실리콘 천장(14) 또는 실리콘 측벽 또는 스커트(12)를 이용하고 도 1, 2 및 도 3의 실리콘 천장(14)( 및/또는 도 3의 실리콘 측벽(12))의 온도를 상기 필수 온도로 상승하므로써 이룩될 수 있다. 본 발명의 상기 보다 높은 온도 범위로 가열될 때, 상기 실리콘 천장(14)(및/또는 실리콘 측벽(12)은 폴리머 경화 선구 물질이 될 수 있다.
불소 제거제 선구 물질로서 상기 실리콘 천장(14)(또는 실리콘 측벽(12)을 사용하는데 한 문제는 상기 실리콘 천장(12)에 결합된 RF 전력의 량에 의하여 적어도 부분적으로 결정된 비율에서 소비되고 대부분 빈번한 간격에서 대체될 수 있어야 한다는 것이다. (RF 전력은 RF 전력 발생기로부터 직접적으로 또는 인가된 RF 전력을 가지는 다른 챔버 표면으로부터 용량적으로 결합에 의하여 간접적인 것 중 어느 하나인 상기 제거제 선구 물질에 결합될 수 있다.)상기 천장(14)( 및/또는 측벽(12)은 상기 언급한 상기 온도 제어 시스템과 통합되기 때문에, 천장의 대체는 천장의 제거 및 대체 하기 위하여 요구된 노동의 량으로 인하여 생산성 손실뿐만 아니라 상기 온도 제어 장치에 접속 가능한 새로운 실리콘 천장(14)을 얻기 위한 비용을 수반한다. 본 발명은 상기 천장(14)에 상기 RF 전력 소스(20)에 의하여 인가된 상기 RF 바이어스를 줄이므로서(또는 도 3의 상기 실리콘 측벽에 상기 RF 전력 소스(22)에 의하여 인가된 상기 RF 전력을 줄이고) 한편 RF 바이어스 전력에서의 감소에 대하여 보상하기 위하여 상기 실리콘 천장(및/또는 측벽) 온도를 더 상승시키는 것을 포함할 수 있다. 이러한 후자 형태의 장점은 실리콘이 상기 천장(14)( 및/또는 측벽(12)으로부터 제거된 상기 비율이 상기 천장에 인가된 RF 전력으로 줄어든다는 것이다. 한 예에서, 상기 RF 전력 소스(20)에 의하여 상기 천장(14)에 인가된 상기 RF 전력은 4개-폴드(four fold)로 줄어들 수 있고 한편 상기 천장(14)의 상기 온도는 약 200℃에서 약 240℃로 적절히 증가된다. 그래서, 본 발명은 (a) 에칭에 폴리머 저항의 혁명적인 개선 (b) 상기 천장 또는 측벽에서의 실리콘 재료의 줄어든 소비율의 이중 장점을 제공한다. 상기 증가된 폴리머 지속율은 증가된 에칭 선택성을 야기하고 한편 상기 증가된 실리콘 소비율은 감소된 동작 비용 및 감소된 생산성 손실을 야기한다.
심지어 본 발명은 상기 폴리머 경화 선구 물질 피이스(예를 들면 상기 실리콘 천장)의 소비율에서 감소를 허용할지라도, 실리콘 천장의 대체는 본 발명의 상기 폴리머 경화 처리에 따라서 상기 피이스의 상기 필수 온도를 유지하기 위하여 상기 온도 제어 장치와 적어도 부분적인 통합으로 인하여 값비싸고 시간 소모적이다. 그러나, 본 발명은 상기 챔버 측벽 또는 챔버 천장과 같은 상기 반응기 챔버의 어떤 통합된 부분의 소비를 피하기 위하여 분리가능하고 값싸게 제조되고 빨리 대체 가능한 폴리머 경화 선구 물질 피이스로서 수행된다. 그러한 대체 가능한 폴리머 경화 선구 물질 피이스는 어떤 적절하게 쉽게 제조된 형태(예를 들면 평면 환형, 평면 링, 고체 링, 원통형, 평면 등)일 수 있고 상기 반응 챔버내의 어떤 적절한 위치에 배치된다. 그런, 도 4a의 실시예에서, 상기 소비 가능한 폴리머 경화 선구 물질 피이스는 상기 웨이퍼 받침대(16)의 주변 부분을 둘러싸는 (실리콘과 같은) 폴리머 경화 선구 물질 재료의 얇은 평면 환형 일(60)이다. 상기 링(60)은 상기 챔버내의 어떤 적절한 장소에 배치될 수 있는 한편, 통상적인 웨이퍼 전송 메카니즘에 의하여 상기 웨이퍼에 접속을 허용하기 위하여, 상기 실리콘 링(60)은 상기 웨이퍼 받침대(16)상에 지지된 상기 웨이퍼의 평면 약간 아래 또는 거의 가까이 놓여진다.
직접 온도 제어 장치로서 상기 폴리머 경화 선구 물질 링(60)을 통합 또는 기계적인 결합의 필요성을 제거하기 위하여, 직접 도전이 아닌 방법(예를 들면 복사 가열 또는 유도적인 가열)에 의하여 가열되는 것이 바람직하게 이용된다. 텡스턴 램프 또는 전기 방전 램프와 같은 복사 열 소스가 이용될 수 있다. 복사 또는 유도성 열 소스는 링(60)으로부터 분리되지 않는-내부적일 수 있고, 또는 복사 또는 유도성 열 소스는 예를 들면 투과 윈도우에 의하여 링(60)으로부터 분리되는-외부적일 수 있다. 도 4a의 실시예에서, 외부적인 유도 가열기는 유도 결합의 목적으로 적어도 거의 투과적인 석영과 같은 재료의 윈도우(64)에 의하여 상기 폴리머 경화 선구 물질 링(60)으로부터 분리된 유도성 코일(62)을 구성하는 것이 이용된다. 상기 더 효율적인 유도성 가열을 제공하기 위하여, 상기 폴리머 경화 선구 물질 링(60)은 예를 들면 0.01 Ω-cm의 근처에서 충분히 낮은 저항성을 가지는 실리콘으로 구성된다. 아래는 상기 링(60)의 실리콘 형태의 상기 저항성을 어떻게 선택하는지를 나타내는 설명이다. 만약:(a) 상기 링(60)의 두께 T는 약 0.6cm이어야 하고, (b) 상기 유도성 가열 히터 코일(62)은 1.8MHz 주파수에서 구동되고, (c) RF 표면 깊이 δ = ΓT(예를 들면 Γ=1)는 최적의 흡수 효율성에 바람직하다. (d) 상기 실리콘 링(60)은 자기 투자율(μ)을 가지고, 그러면 상기 실리콘 링(60)의 최대 저항성은 아래와 같이 주어진다.
ρ=δ2·π·f·μ
전술한 예에서 상기 식은 0.029Ω-cm이다. 본 발명은 0.01Ω-cm 실리콘을 사용하여 수행될 수 있다. 그러한 실리콘과 같은 반도체의 경우에, 이러한 경우에 상기 최소 저항이 순간적으로 떨어질 위험성이 없고 상기 최소 저항의 계산은 여기에 주어지지 않는다.
도 4a의 상기 실시예에 상응하는 작동예에서, 2.0MHz에서 4000와트의 전력 소스는 상기 유도성 코일(18)에 도입되고, 1.8MHz에서 1400와트의 바이어스 전력은 상기 웨이퍼 받침대(16)에 인가되고, cHF3및 cO2의 처리 가스는 120sccm 및 46sccm의 흐름율에서 상기 반응기 챔버로 도입되고, 한편 상기 챔버 압력은 200℃에서 유지되고, 상기 측벽 온도는 220℃에서 유지된다. 상기 폴리머 경화 선구 물질 링(60)은 크리스탈린 실리콘이고 240℃ 및 500℃사이의 범위의 온도에 도달한다. 상기 웨이퍼(17) 상의 상기 실리콘 및 폴리실리콘 표면으로 증착된 상기 폴리머는 본 발명의 처리에 의하여 경화된 폴리머의 시니 외관으로 특징 지워진다.
이러한 동작예에서 처리된 상기 반도체 웨이퍼(17)는 실리콘 기판(17a), 실리콘 이산화층(17b) 및 폴리실리콘 도전 라인(17c)으로 구성된 도 4b에 도시된 상기 다중 층 도전구조를 가지고, 상기 폴리실리콘 도전체(17c) 및 상기 기판(17a) 아래에 상기 실리콘 이산화 층(17b)을 통하여 에칭된 상기 개구부(17g, 17h)를 한정하는 마스크 개구부(17e, 17f)를 가지는 포토레지스터 층(17d)에 의하여 용이하게 된다. 매우 높은 산화층에 대한 실리콘 에칭 선택성은 상기 실리콘 기판의 깊이 및 상기 실리콘 산화층을 통하여 상기 중간 폴리실리콘 층 사이의 비에 좌우되는 상기 펀치 쓰루를 예방하기 위하여 필요하다. 상기 기판에 이산화 층을 통하여 상기 깊은 접촉 개구부(17h)는 1.0마이크론 깊이이고, 50% 오버에칭되는 경우에, 상기 중간 접촉 개구부(17g)에서 상기 폴리실리콘 층은 0.4마이크론 깊이이고, 상기 중간 폴리실리콘 도전층 (17c)의 0.01마이크론 정도가 제거되고(펀칭 쓰루를 피하기 위하여), 그러면 산화층에 대한 실리콘 에칭 선택성은 적어도 110:1이 요구된다.
상기 연속적인 웨이퍼의 처리에 대하여 상기 실리콘 링(60)의 온도를 증가하므로써, 산화층에 대한 실리콘 에칭 선택성은 일반적으로 도 5a의 그래프에 기술된 방법의 온도로서 증가된다는 것이다. 도 5a의 2개의 데이터 포인트(A 및 b)는 240℃ 및 500℃의 온도에서 초당 옴스트롱의 폴리실리콘 에칭 율의 방사형 분포를 기술하는 도 5b의 상기 곡선 A 및 b에 상응한다. 도 5a의 데이터 포인트(A 및 B)의 에칭 선택성은 240℃에서 30:1의 에칭 선택성 및 500℃에서 150:1의 에칭 선택성으로서 양쪽 온도에서 관찰된 초당 9000옴스트롱의 상기 산화물 에칭 율로부터 계산된다. 그래서, 500℃로 상기 온도를 증가하는 것은 도 4b의 상기 주어진 동작 예에서 요구된 상기 110:1 최소 선택성을 제공한다.
도 4a의 실시예에서, 상기 폴리머 경화 선구 물질 링(60)의 상기 온도는 상기 실리콘 링(60)에 부착되지 않는 온도 감지 장치(66)에 의하여 감지된다. 상기 유도기(62)를 통하여 흐르는 상기 전류 도는 전력을 제어하는 제어기(68)는 상기 주어진 온도에서 상기 폴리머 경화 선구 물질 링(60)의 온도를 유지하기 위하여 상기 온도 감지 장치(66)의 출력을 모니터한다. 바람직하게, 상기 온도 감지 장치(66)는 특정 파장 범위내의 상기 링(60)으로부터 방사에 응답하는 복사 온도 센서이다. 그러한 복사 온도 센서는 열 방사에 응답적인 광학 고온계 또는 광학 펄스 자극된 방출에 응답하는 형광 광학 프로브일 수 있다. 이러한 목적으로, 상기 윈도우(64)는 상기 링(60)의 온도 제어를 가능하게 하기에 적절한 광학 신호 대 잡음비를 제공하기 위하여 상기 센서(66)의 파장 범위내에서 적어도 적절히 투과적인 재료이다. 바람직하게, 및 부가적으로 상기 (동작 온도의 범위 상에서) 윈도우(64)의 재료는 상기 센서(66)의 파장 범위 내에서 열적으로 (상기 실리콘 링(60)으로부터 상기 방사에 상대적으로) 강하게 방사하지 않고, 상기 윈도우(64)의 방사는 상기 실리콘 링 온도의 측정과 방해하지 않도록 상기 센서(66)에 실질적으로 보이지 않는다.
만약 상기 폴리머 경화 선구 물질 링(60)이 실리콘이라면, 그러면 광학 고온계에 의하여 온도를 측정하는 한 어려움은 온도로 가변되는 실리콘의 열 방사율이라는 것이다. (비록 여기에 기술된 상기 방사율의 상기 온도에 좌우될지라도, 상기 실리콘 방사율은 파장 및 도핑 레벨로 가변되기 위하여 또한 발생된다.) 이러나 문제의 한 해결책은 상기 링(60)에 실리콘 질화물와 같은 흑체 또는 회색 -몸체 방사 재료의 적은 피이스(70)를 결합하는 것이다. 바람직하게, (점선으로 표식된) 광학 필터(72)는 상기 센서(66)의 부분(74)을 직면하는 한 단부(72a) 및 상기 링(60)에 결합된 상기 회색-몸체 방사체 피이스(70)를 직면하는 다른 단부(72b)로 배치된다. (만약 흑체 또는 회색-몸체 방사 재료가 부가되지 않는다면, 그러면 보다 낮은 온도(예를 들면 방)에서 상기 실리콘 링(60)에 의하여 방출된 상기 긴 파장 방사는 통상적인 광학 필터 재료 또는 석영 대신에 사파이어 또는 지르코늄 셀레나이드와 같은 긴 파장 재료인 상기 필터(72)가 제공된 상기 광학 필터(72)에 의하여 수행된다. ) 상기 센서(66)에 의하여 상기 온도 측정이 상기 플라즈마로부터 백그라운드 방사에 의하여 감소될 수 있기 때문에, 상기 광학 필터(72) 및 상기 링(60) 사이의 어떤 접촉을 요구하지 않고 백그라운드 방사(예를 들면 가열된 챔버 표면 및 상기 플라즈마로부터) 상기 광섬유 단부(72b)를 차폐하기 위하여 상기 링(60)의 카운터 보어(60a)을 제공하는 것이 바람직하다. 플라즈마 또는 백라운드 방사로부터 상기 광섬유 단부(72b)를 차폐하기 위하여 상기 카운터-보어(60a)를 제공하는 대신에 또는 부가하여, 상기 센서(66)의 파장은 상기 플라즈마 방출 밴드(4마이크론 내지 8마이크론)를 외측에 배치하기 위하여 선택될 수 있다. 상기 광섬유(72)는 상기 회색-몸체 방사체 피이스(70)로 또는 없이 사용될 수 있다. 상기 윈도우(64)는 열을 상기 링(60)으로 통과하고 한편 상기 광섬유(72)는 상기 링(60)으로부터 상기 온도 센서(66)에 방출이 통과된다.
만약 상기 온도 측정이 (예를 들면 회색 몸체 방사체 피이스(70)를 방해하지 않고) 실리콘으로 직접 만들어지고, 그러면 실리콘의 방출 파장에서 높게 투과적인 상기 광섬유(72)에 대하여 사파이어와 같은 재료를 사용하고 불투명한 차폐를 가지는 상기 광섬유(72)를 차폐하는 것이 바람직하다. 더욱이, 온도로 가변되는 상기 실리콘의 방사율의 문제는 상기 카운터보어(60)의 표시로, 높은 종횡비(예를 들면 5;1)를 가진 상대적으로 깊고 좁은 홀(60b), 백그라운드 광학 노이즈가 상기 섬유 단부로 들어가는 것을 막는 상기 카운터보어(60a) 및 상기 광섬유(72)의 나머지를 둘러싸는 불투명한 차폐(72c)로 하강하는 상기 광섬유(72)를 제공하므로써 도 4c에 도시된 바와 같이 개선될 수 있다는 것이다. 그러한 깊은 홀은 상기 실리콘 링(60)에 방사적으로 연장할 수 있고 그러나 바람직하게 상기 실리콘 링(60)의 원주 엣지로부터 방사형으로 연장한다. 이러한 수행에서, 가열 램프 또는 상기 플라즈마 그자체로부터 광학 방사의 어느 것도 상기 온도 측정과 상호 방해하기 위하여 상기 광섬유(72)로 들어갈 수 없다.
만약 상기 링 온도가 상기 광섬유(72)가 없는 곳에서 상기 윈도우(64)를 통하여 감지된다면, 그러면 상기 실리콘 링(60)의 온도 측정하는 다른 어려움은 200℃이하에서 피크 열 방출 파장은 상기 윈도우(64)에 사용될 수 있는 석영과 같은 전형적인 재료의 광학 패스밴드의 외측에 매우 긴 파장으로 이동된다. 전형적으로 석영은 약 300nm 및 3마이크론 사이에서 투과 적이고, 한편 실리콘의 피크 열 방출 파장은 약 400℃에서 4마이크론으로부터 실온에서 10마이크론까지 가변한다. 약 200℃아래의 실리콘은 석영의 광학 패스밴드내에서 적절하게 방출하지 않기 때문에, 직접 측정 가능한 상기 실리콘 링 온도의 범위는 제한된다. 도 4d에 기술된 한 해결책은 상기 석영 윈도우(64)내에 적은 부분(64a)을 이용하는 것이고, 상기 적은 부분(64a)은 실온 이하의 낮은 온도에서 실리콘에 의하여 방출된 상기 긴 파장에서 투과적인 재료이다. 상기 작은 부분(64a)은 사파이어 또는 지르코늄 셀레노이드일 수 있다. 상기 복사 온도 센서(66)는 상기 작은 부분(64a)에 의하여 통과된 상기 긴 파장에서 응답적으로 선택될 수 있다. 선택적으로, 상기 윈도우(64)내의 상기 적고 긴 파장 부분(64a) 대신에, 상기 윈도우(64) 외측 도 4E에 도시된 분리가능한 긴 파장 부분(65)이 이용될 수 있고 사파이어 또는 지르코늄 셀레노이드로서 만들어 질 수 있다. 상기 긴 파장 부분(65)은 사파이어 광섬유와 같은 상기 광섬유(72)의 긴 파장 형태에 의하여 대체될 수 있다.
만약 상기 센서(66)가 형광 프로브라면, 그러면 상기 링(60)의 열방사율에 의하여 영향을 받지 않는다. 이러한 경우에, 형광 물질 또는 파우더는 광섬유 단부(72b)로 배열된 상기 링(60)의 적은 부분의 표면에 포함된다. 광펄스는 다른 섬유 단부(72a)에 주기적으로 인가되고 상기 형광 파우더(상기 링(60)에서)로부터 상기 결과 광학 펄스 자극 방출은 상기 섬유 단부(72b)로부터 상기 링 온도를 결정하기 위하여 상기 센서(66)에 의하여 분석되는 상기 섬유 단부(72a)로 이동한다. 상기 링(60)의 카운터보어는 백그라운드 방사로부터 상기 광 섬유 단부(72b)를 차폐한다.
상기 링(60)을 방사적으로 냉각하기 위하여, 상기 윈도우(64)는 통상적인 수단 예를 들면 상기 폴리머 경화 선구 물질 링(60)의 복사 냉각에 대하여 냉각 싱크를 제공하므로써 냉각될 수 있다. 이러한 경우에, 상기 폴리머 경화 선구 물질 링(60)이 냉각되는 율은 [Tring]4-[Twindow]4 의 함수이고, Tring및 Twindow 는 절대온도(켈빈)이다. 상기 링(60)의 효율적인 복사 냉각은 상기 실리콘 링(60) 및 상기 윈도우(64) 사이의 200℃온도 차이에 의하여 얻어지고, 그것은 300℃ 및 700℃의 바람직한 온도 범위 내에서 유지되는 상기 링(60)이 제공된 상기 윈도우(64)와 접촉하는 통상적인 액체 또는 가스 냉각 장치(67)에 의하여 쉽게 수행된다. 그러나, 상기 링은 통상적인 기술중 어느 하나를 사용하여 냉각될 수 있다. 예를 들면, 상기 웨이퍼가 냉각되는 방법으로 냉각될 수 있다.
상기 링(60)이 통상적인 냉각 플레이트에 의하여 냉각되는지 또는 상기 윈도우(64)에 의하여 방사적으로 냉각되는지 간에, 어떤 경우에, (상기 텡스텐 할로겐 램프와 같은) 열 소스를 제공하기 위하여 필요하지 않을 수 있다. 대신에, 상기 플라즈마 그 자체에 의한 가열은 상기 필수 온도 범위내의 상기 링(60)의 안정적인 온도 냉각을 유지하기 위하여 상기 유도적인 또는 복사 냉각과 함께 상기 링(60)을 가열하는 것이 더 충분할 수 있다. 그래서, 선택적인 실시예에서, 열 소스가 제공되지 않는다.
도 6의 실시예에서, 상기 유도적인 코일(62)은 (가열 윈도우(64)를 피하기 위하여) 상기 석영 윈도우(64)의 광학 투과 밴드 및 상기 폴리머 경화 선구 물질 링(60)의 흡수 밴드 내의 파장의 전자기적인 방사를 방출하는 텡스텐 할로겐 램프 또는 전기 방전 램프와 같은 복사 가열기(80)에 의하여 대체된다. 바람직하게, 상기 복사 가열기(80)로부터 상기 방출 파장은 상기 광학 고온계(66)에 의하여 수행된 상기 온도 측정과 방해되는 것을 피하기 위하여 상기 폴리머 경화 선구 물질 링(60)으로부터의 상기 방출 파장과 서로 다르다. 그러나, 만약 상기 광섬유(72)가 상기 카운터보어(60a)로 하강하고 그리고 만약 상기 광섬유가 상기 카운터보어(60a)의 상부 아래로 연장되는 상기 불투명 차폐(72c)에 의하여 완전히 차폐된다면, 그러면 상기 복사 가열 방출은 상기 온도와 상호 방해하지 않고, 그래서 이러한 경우에 상기 복사 가열 방출 파장은 상기 (실리콘) 링(60)의 방출 파장과 다르다. 사실, 이것은 상기 광섬유의 출력 단부에 설치될 수 있는 다수의 상업적으로 이용 가능한 검출기가 실리콘의 상기 짧은 방출 파장 범위(1-2μ) 근처에서 더 안정적이기 때문에 유용하다. 이러한 경우에, 상기 온도 측정은 상기 긴 파장 부분(64a, 또는 65) 또는 긴 파장(예를 들면 사파이어) 광섬유가 요구되지 않도록 보다 짧은 파장에서 수행된다.
최적 복사 가열 및 복사 온도 감지에 대한 요구를 요약하기 위하여:(a) 비록 그것이 상기 온도 센서(66)가 응답적이나 상기 파장에서 높은 복사가 아닌 파장에서 높은 투과적일지라도 상기 윈도우(64)의 재료는 상기 복사 열 소스(80)의 파장 상기 윈도우(64) 그 자체 또는 윈도우의 적은 할당된 부분 또는 광섬유중 어느 하나에서 높은 투과성이고; (b) 상기 폴리머 경화 선구 물질 링(60)은 상기 복사 가열기(80)의 파장 및 상기 링(60) 그 자체 또는 재료가 삽입되거나 또는 상기 센서(66)가 응답하는 파장에서 복사인 곳에서 높은 흡수성이고; (c) 상기 센서(66)가 응답적이고 상기 폴리머 선구 물질 링(60)의 흡수 스펙트럼 및 상기 윈도우(64)의 흡수 스펙트럼 외측 내에 있는 파장과 동일하지 않는다.
선행 요구는 다양한 방법 예를 들면 상기 폴리머 경화 선구 물질 링(60)의 재료를 제일 먼저 나타내고 상기 윈도우(64)에 대하여 호환적인 재료를 선택하고 제거 과정에 의하여 상기 복사 가열 및 센서(66)의 파장을 최종적으로 선택하고 그러면 제거 처리에 의하여 재료를 선택하므로써 충족될 수 있다. 상기 선행 요구는 상기 온도 측정 및 요구된 온도 제어 정밀성의 선택에 따라서 어느 정도 늦출 수 있을 것이다.
만약 복사 냉각이 요구된다면, 그러면 추가 요구는 상기 윈도우(64)상에 중첩되고 : (a) 상기 센서(66)가 상기 링(60)을 관찰하는 상기 윈도우(64) 부분에서, 상기 윈도우(64)는 상기 가열된 링(60)( 상기 나타난 바와 같이)에 의하여 방출된 방사 파장에 거의 투과적이고, 한편 (b) 상기 링(60)을 관찰하기 위하여 상기 센서(66)에 의하여 사용되지 않는 상기 윈도우(64)의 다른 부분에서, 상기 윈도우(64)는 복사 냉각을 제공하는 것으로부터 열을 흡수하기 위하여 상기 방사적으로 가열된 폴리머 경화 선구 물질 링(60)의 상기 방사 파장을 포함하는 흡수 스펙트럼을 가진다.
상기 윈도우(64)의 재료 상에 동시적인 압축 수를 줄이는 한 방법은 상기 링(60)에 열을 전송하고 상기 센서(66)에 상기 링의 열 방출을 전송하기 위하여 상기 윈도우(64)를 요구하지 않는다. 이것은 상기 열 소스로부터 방사를 방출하기 위하여 상기 윈도우(64)의 기능을 줄이는 경우에, 상기 적은 긴 파장(지르코늄 솔레나이드 또는 사파이어) 부분(64a)을 이용하거나 또는 상기 센서(66)에 의하여 배타적인 사용에 대하여 상기 광섬유(72)를 사용하므로써 이룩될 수 있다. 이러한 경우에, 만약 복사 냉각이 요구된다면, 그러면 상기 윈도우의 오직 다른 압축은 상기 링(60)으로부터 방출을 흡수하는 것이다. 이것은 만약 상기 복사 열 소스(80)의 방출 파장 및 상기 링 방출이 다른 파장이라면 가능하다. 더욱이, 그것은 상기 센서(66)가 상기 복사 열 소스(80)에 의하여 방출된 파장에서 응답하지 않는 것이 바람직하다.
한 동작의 예에서, 상기 폴리머 경화 선구 물질 링(60)은 0.01Ω-cm 및 0.3 및 0.7 사이의 평균 방사율을 가진 크리스탈 실리콘이고, 상기 윈도우(64)는 300nm 및 3마이크론 사이의 광학 패스팬드을 가진 석영이고, 상기 센서(66)는 4-10마이크론의 파장 범위에서 (상기 광섬유(72)에 상응하는) 광섬유를 통하는 방사를 감지하는 광학 고온계이고, 상기 복사 가열기(80)는 0.9-1.0마이크론의 피크 파워 방출 파장 범위를 가진 3000。K이다. 가시 또는 비-가시 파장 범위중 어느 하나의 광학 고온계가 본 발명을 수행하는데 사용될 수 있다는 것을 주목해야한다.
도 7에 도시된 바람직한 실시예에서, 실리콘의 소비 가능한 폴리머 경화 선구 물질 링(60)은 도 3의 상기 모든 반도체(실리콘) 반응기 챔버에 부가되고 유도 가열기 또는 복사 가열기일 수 있는 가열 장치(90)에 의하여 가열된다. 선택적으로, 및 부가적으로, 분리 RF 바이어스 소스(400)는 상기 링(60)의 가열을 돕기 위하여, 상기 플라즈마 내에서 반응적인 상기 링(60)을 유지하고 폴리머 경화 선구 물질 재료를 상기 플라즈마에 제공하기 위하여 상기 링(60)에 접속될 수 있다. 이러한 장점은 실리콘 윈도우 전극(예를 들면 실리콘 측벽(12) 또는 상기 실리콘 천장(14)의 어느 것도 실리콘을 상기 폴리머 화학에 제공하기 위하여 사용되지 않고 그러므로 그들은 폴리머 경화 선구 물질이 가열되지 않아야 하는 상기 상승된 온도(예를 들면 200℃ 내지 700℃이상)에 가열될 필요성이 없다. 더욱이, 상기 실리콘 벽(12) 및 상기 실리콘 천장(14)에 인가된 상기 RF 바이어스 전력은 실리콘 재료의 소비를 촉진하기 위하여 그렇게 높을 필요는 없다. 사실, 상기 벽 및 천장에 바이어스를 인가하지 않는 것이 바람직하다. 바람직하게, 상기 실리콘 천장(14) 및 상기 실리콘 측벽(12)의 온도 및 인가된 RF 전력은 종종 챔버 청소를 피하기 위하여 폴리머의 누적이 상대적으로 자유 표면을 유지하는 한편 에칭, 스퍼터링 또는 이온 충격에 의하여 소비를 최소화하기 위하여 선택된다. 이것을 수행하기 위한 최대 방법은 이러한 명세서에 후에 언급된 상기 광 증착 모드이다. 2분 플라즈마 에칭/폴리머 증착 처리동안에 수행되는 광 증착 모드에서, 상기 실리콘 벽 온도는 100℃-150℃근처에서 유지되고, 상기 결과 폴리머 증착은 상기 웨이퍼의 에칭 다음에 상기 챔버에서 일시적으로 발생된 고밀도 산소 플라즈마에 10 내지 20초 노출에 의하여 연속적으로 제거될 수 있도록 충분히 얇다. 선택적으로, 바람직하지는 않지만, 상기 실리콘 챔버 벽이 상기 에칭 처리 동안에 실온(예를 들면) 근처에서 지지되는 무거운 증착 모드가 이용될 수 있다.
도 8a에서, 분리가능하고 독립적으로 제어 가능한 폴리머 경화 선구 물질 링(61, 63)은 방사적으로 비-균일 처리 상태에 대하여 추가로 소비를 허용하기 위하여 상기 웨이퍼에 상대적으로 다른 방사 위치에서 배치된다. 상기 제 8a도의 상기 분리 가능한 외부 및 내부 폴리머 경화 선구 물질 링(61, 63)은 본 명세서 아래에 기술된 방법으로 각 온도 제어기(68a, 68b)에 의하여 독립적으로 제어된다. 상기 웨이퍼(17)상에 다른 방사형 위치에서 다른 에칭 선택성에 상승을 제공하는 상태 처리를 보상하기 위하여 사용자는 상기 다른 온도 제어기(68a, 68b)에 의하여 유지되는 다른 폴리머 경화 선구 물질 링 온도를 선택할 수 있다.
한편 어떤 적절한 반응기 구성은 상기 분리적으로 제어된 외부 및 내부 폴리머 경화 선구 물질 링(61, 63)을 가지는 실시예를 수행하는데 이용될 수 있고, 도 8a에 기술된 상기 수행은 케네스, 에스.콜린 등의 상기 언급한 공동 계류중인 미국 특허 출원 제 호에서 명칭 오버헤드 솔레노이드 안테나를 가지는 유도적으로 결합된 RF 플라즈마 반응기에서 기술된 가열된 반도체 윈도우 전극 천장의 형태를 이용한다. 명백히, 본 발명의 상기 바람직한 수행은 상기 언급한 공동 계류중인 출원의 반응기를 이용하나 상기 외부 폴리머 경화 선구 물질 링(61)만을 이용한다. 상기 언급한 공동 계류중인 반응기는 상기 원통형 챔버(140)의 중앙 축(146) 근처의 비-평면 형태로 근접하여 집중된 감김(144)을 포함하는 비-평면 코일 안테나(142)를 가지는 원통형 챔버(140)를 포함한다. 상기 언급한 실시예에서 상기 감김(144)은 대칭형이고 상기 챔버의 중앙 축과 일치하는 대칭 축을 가지는 한편, 본 발명은 다르게 수행될 수 있다. 예를 들면, 상기 감김은 대칭적이지 않거나 또는 감김의 대칭축은 상기 챔버의 중앙 또는 워크피이스 중앙과 일치하지 않는다. 상기 중앙 축(146) 주위의 상기 감김(144)에 인접한 중심은 상기 챔버 중앙 축(146)으로부터 최소 거리에 있도록 솔레노이드의 방법으로 상기 감김(144)을 수직적으로 적층하므로써 이룩된다. 이것은 상기 플라즈마 이온 밀도가 짧은 워크피이스에 대 한 천장 높이에 대하여 가장 약한 부분에 있는 상기 챔버 중앙 축(146) 근처의 전류(I) 및 코일 횟수(N)의 생성을 증가시킨다. 그 결과, 비 평면 코일 안테나(142)에 인가된 상기 RF 전원은 (주변 영역에 상대적으로) 상기 챔버 중앙 축(146) 근처 보다 큰 유도[d/dt][N·I] 및 상기 영역의 플라즈마 이온 밀도보다 크게 만들어 질 수 있고 그래서 상기 결과 플라즈마 이온 밀도는 상기 적은 워크피이스에 대한 전청 높이를 거의 일정하게 증착한다. 그래서, 본 발명은 처리 균일성을 손상하지 않고 플라즈마 처리 수행에 대한 상기 천장 높이를 줄이기 위한 방법을 제공한다.
상기 감김(144)은 상기 워크피이스(156)의 평면에 거의 평행하도록, 상기 감김은 일반적인 나선형 방법으로 감기지 않고 대신에 각 개별적인 권선이 (한 수평면으로부터 다른 수평면까지의) 권선 사이에서 한 스텝 또는 이행을 제외한 상기 워크피이스(156)의 (수평) 평면에 평행하도록 감긴다.
상기 원통형 챔버(140)는 상기 측벽(150)으로 통합적으로 형성될 수 있는 원통형 측벽(150) 및 원형 천장(152)으로 구성되고, 상기 측벽(150) 및 천장(152)은 실리콘과 같은 단일 피이스의 재료로 구성될 수 있다. 그러나, 도 8a에 기술된 바람직한 실시예는 분리된 피이스로 구성된 상기 측벽(150) 및 천장(152)을 가진다. 상기 원형 천장(152)은 평면, 돔형, 원뿔형, 절단된 원뿔형, 원통형 또는 그러한 형태의 어떤 결합 또는 곡선의 회전과 같은 어떤 적절한 단면 형태일 수 있다. 일반적으로, 상기 솔레노이드 안테나(142)의 수직 피치(예를 들면 수평 폭에 의하여 분할된 수직 높이)는 상기 천장(152)의 수직 피치 및 돔형, 원뿔형, 절단된 원뿔형 등과 같은 3차원 표면을 한정하는 천장에 대해서도 초과한다. 천장의 수직 피치를 초과하는 피치를 가지는 솔레노이드는 일반적으로 솔레노이드의 형태가 천장의 형태와 일치하지 않고 더 특히 솔레노이드의 수직 피치가 천장의 수직 피치를 초과하는 것을 의미하는 비-등각 솔레노이드로서 언급된다.
챔버(140)의 바닥에서 받침대(154)는 처리될 반도체 웨이퍼와 같은 워크피이스(156)를 지지한다. 상기 챔버(140)는 환형 통로(158)를 통하여 펌프(도면에 도시되지 않았음)에 의하여 보다 낮은 부분을 둘러싸는 펌핑 환형부(140)로 배출된다. 상기 펌핑 환형부의 내부는 대체 가능한 금속 라이너(160a)로 정렬될 수 있다. 환형 통로(158)는 원통형 측벽(150)의 바닥 엣지(150a) 및 외부 실리콘 링(61) 주위의 상기 상부 표면에 의하여 한정된다. 처리 가스는 어떤 하나 또는 모든 다양한 가스 공급부를 통하여 상기 챔버(140)로 공급된다. 상기 워크피이스 근처의 처리 가스 흐름을 제어하기 위하여, 중앙 가스 주입구(164a)는 상기 워크피스(156)의 중앙을 향하여 상기 천장(152)의 중앙을 통하여 아래로 연장된다. 상기 워크피스 주변부 근처의 가스 흐름을 제어하기 위하여, 중앙 가스 주입구(164a)를 독립적으로 제어할 수 있는 다수의 방사형 가스 주입구(164b)가 상기 워크피이스 주변부를 향하여 상기 측벽(150)으로부터 방사형으로 연장되고, 또는 베이스 축방향 가스 주입구(164c)는 상기 워크피이스 주변부를 향하여 상기 받침대(154) 근처로부터 위쪽으로 향하고, 천장 축방향 가스 주입구(164d)는 상기 워크피이스 주변부를 향하여 상기 천장(152)으로부터 아래로 연장될 수 있다. 상기 워크피이스 중앙 및 주변부에서의 에칭율은 상기 중앙 가스 주입구(164a) 및 어떤 가스 주입구(164b-d)의 어느 하나를 통하여 상기 워크피이스 중앙 및 주변부를 향하여 상기 처리 가스 흐름율을 제어하므로써 상기 워크피이스 양단에 더 방사형으로 일정한 에칭율 분포를 이룩하기 위하여 서로 상대적으로 독립적으로 조절할 수 있다.
상기 솔레노이드 코일 안테나(142)는 상기 중앙 가스 주입구(164)를 둘러싸는 하우징(166) 주위를 감싼다. 플라즈마 소스 RF 전력 공급부(168)는 상기 코일 안테나(142) 양단에 접속되고 바이어스 RF 전력 공급부(170)는 상기 받침대(154)에 접속된다.
상기 천장(152)의 중앙 영역에 상기 오버헤드 코일 안테나(142)의 제한은 상기 천장(152)의 상부 표면의 많은 부분이 차지되지 않는 상태로 두고 그러므로서 구리 또는 알루미늄 예를 들면 냉각제 통로(174a)로서 만들어질 수 있는 텡스텐 할로겐 램프 및 수냉된 냉각 플레이트와 같은 다수의 방사형 가열기(172)를 포함하는 온도 제어 장치와 직접 접촉 가능할 수 있다. 바람직하게 상기 냉각제 통로(174a)는 상기 안테나 또는 솔레노이드(142) 아래 전기적인 로딩을 피하기 위하여 높은 열도성을 가지나 낮은 전기 전도성을 가지는 공지된 다양한 냉각제를 포함한다. 상기 냉각 플레이트(174)는 상기 천장(152)의 일정한 냉각제를 제공하고 한편 복사의 가열기(172)의 상기 최대 전력이 만약 필요하다면 상기 천장(152)의 응답적이고 안정적인 온도 제어를 편리하게 하는 상기 냉각 플레이트(174)에 의한 상기 냉각제를 압도할 수 있도록 선택된다. 상기 가열기(172)에 의하여 방사된 큰 천장 부분은 더 일정하고 효율적인 온도 제어를 제공한다. (만약 복사 가열이 본 발명을 수행하는데 필요하지 않고 당업자가 본 명세서에 기술될 바와 같이 전기 가열 엘리먼트를 이용하기 위하여 선택될 수 있다.) 만약 상기 천장(152)이 1996년 2월 2일에 출원된 공동 계류중인 미국 특허 출원 제 08/597,577호에 기술된 실리콘이라면, 그러면 상기 천장 양단에 온도 제어의 균일성 및 효율성을 증가시키므로써 얻어진 중요한 장점이 있다. 특히, 폴리머 선구 물질 및 에천트 선구 물질 처리 가스(예를 들면 불소 가스)가 이용되고 상기 에천트(예를 들면 불소)가 제거되어야 하고, 상기 전체 천장(152) 양단의 폴리머 증착 율 및/또는 상기 천장(152)이 불소 에천트 제거 재료(실리콘)를 상기 플라즈마에 제공하는 율은 상기 온도 제어 가열기(172)로서 상기 천장(152)의 접촉 면적을 증가하므로써 더 잘 제어된다. 상기 솔레노이드 안테나(142)는 상기 솔레노이드 감김(144)이 상기 천장(152)의 중앙 축에 집중되기 대문에 상기 천장(152)상에 상기 이용 가능한 접촉 면적을 증가시킨다.
열 접촉을 위하여 상기 천장(152)상의 이용 가능한 면적의 증가는 (알루미늄 질화물, 알루미늄 산소 또는 실리콘 질화물와 같은 재료로 구성된 또는 얇게 도핑된 또는 도핑되지 않는 실리콘과 같은 비-세라믹으로 형성된) 바닥 표면이 상기 천장(152) 상에 지지되고 상부 표면은 상기 냉각 플레이트(174)에 지지되는 높은 열 도전 토러스(175)에 의하여 바람직한 주입에서 수행된다. 상기 토러스(174)의 하나의 특징은 상기 냉각 플레이트(174)를 상기 솔레노이드(142)의 상부에 잘 배치하는 것이다. 이러한 특징은 상기 냉각 플레이트(174)의 유도적인 평면의 가까운 곳으로부터 솔레노이드(142) 까지 야기되는 상기 솔레노이드(174) 및 상기 플라즈마 사이의 유도적인 결합의 감소를 제거하거나 줄일 수 있다. 유도 결합에서 그러한 감소를 줄이기 위하여, 상기 냉각 플레이트(174) 및 상기 솔레노이드(142)의 상부 감김 사이의 거리는 상기 솔레노이드(142)의 전체 높이의 실질적인 부분(예를 들면 1/2)인 것이 바람직하다. 상기 토러스(175)를 통하여 연장되는 다수의 홀(175a)은 2개의 동심 원을 따라서 공간이 떨어져 있고 상기 다수의 복사 가열 또는 램프(172)를 지지하고 그들을 직접 상기 천장(152)을 가열하도록 한다. 램프 효율을 최대로 하기 위하여, 상기 홀 내부 표면은 반사적인(예를 들면 알루미늄) 층으로 정렬될 수 있다. 램프 가열기(172)는 상기 홀(175a)의 하나를 통하여 연장되는 열 결합(176)과 같은 센서에 의하여 감지되는 상기 천장 온도를 차지하지 않는다. 우수한 열 접촉을 위하여, 붕소 질화물이 주입된 실리콘 고무와 같은 높은 열 전도 엘라스토머(173)는 상기 세라믹 토러스(175) 및 상기 구리 냉각 플레이트(174) 사이 및 상기 세라믹 토러스(175) 및 상기 실리콘 천장(152)사이에 배치된다.
도 8a의 실시예에서, 상기 챔버(140)는 상기 천장(152) 및 상기 측벽(150)은 실리콘과 같은 반도체 재료인 모든 반도체 챔버일 수 있다. RF 바이어스 전력은 각 RF 전력 소스(1210, 1212)에 의하여 상기 반도체 천장(152) 및 반도체 벽(150) 에 각각 분리적으로 인가된다. 상기 천장(152) 또는 벽(150) 중 어느 하나의 온도 제어 및 인가된 RF 바이어스 전력은 불소 제거 선구 물질 재료(실리콘)를 상기 플라즈마에 제공하는 범위 또는 선택적으로 폴리머로 코팅되는 범위를 조절한다. 상기 천장(152)의 재료는 실리콘으로 제한되는 것이 아니고 실리콘 탄소, 실리콘 이산층(석영), 실리콘 질화물 또는 세라믹일 수 있다.
상기 챔버 벽 또는 천장(150, 152)은 불소 제거제 재료의 소스로서 사용될 필요성이 없다. 대신에, 소비 가능한 실리콘 부재는 상기 챔버(140) 내에 배치되고, 충분한 고온을 유지하여, 챔버 상에 폴리머 응집을 예방할 수 있고, 불소 제거제 물질로서 실리콘 재료가 챔버로부터 제거되어 상기 플라즈마로 인입되는 것으로 허용할 수 있다. 이러한 경우에서, 상기 벽(150) 및 천장(152)은 실리콘일 필요는 없고 또는 만약 그들이 실리콘이라면 그들은 높은 온도( 및/또는 RF 바이어스) 또는 상기 폴리머 응집 온도 (및/또는 폴리머 응집 RF 바이어스 임계치) 근처 또는 아래에서 유지될 수 있고, 그들은 소모되는 것으로부터 보호하기 위하여 상기 플라즈마로부터 상기 폴리머로 코팅된다. 상기 소비 가능한 실리콘 부재는 어떤 적절한 형태를 가질 수 있고, 도 8a의 바람직한 실시예에서 상기 소비 가능하거나 또는 소비성 실리콘 부재는 상기 받침대(154) 주위의 환형 링(162)이다. 바람직하게, 상기 환형 링(162)은 순도가 높은 실리콘이며, 전기 또는 광학 특성을 변경하기 위하여 도핑될 수 있다. 상기 플라즈마 처리(예를 들면 불소 제거를 위하여 상기 플라즈마에 실리콘 재료의 기여)의 바람직한 참여를 확인하기 위하여 충분한 온도에서 상기 실리콘 링(162)을 유지하기 위하여, 상기 실리콘 링(162) 아래에 원형으로 정렬된 다수의 복사(예를 들면 텡스텐 할로겐 램프) 가열기(177)는 각 석영 윈도우(178)를 통하여 실리콘 링(162)을 가열한다. 상술한 공동 계류중인 출원에서 개시된 바와 같이, 가열기(177)는 광학 고온계 또는 불소 광학 프로브와 같은 원격 센서일 수 있는 각 온도 감지 센서(179)에 의하여 감지된 실리콘 링(162)의 온도에 따라서 제어된다. 상기 센서(179)는 상기 실리콘 링(162)의 깊은 홀(162a)로 부분적으로 연장될 수 있고, 상기 홀의 깊이 및 좁음은 실리콘 링(162)의 열 방사율에서 온도 종속 가변을 적어도 부분적으로 마스크하는 경향이 있고, 그들은 더 신뢰 가능한 온도 측정을 위하여 그레이 몸체 방사체처럼 작용한다.
상기 언급한 미국 특허 출원 제 08/597,577호에 기술된 바와 같이, 모든 반도체 챔버의 장점은 상기 플라즈마는 금속과 같은 오염물을 만드는 재료로서 코팅이 없다는 것이다. 이러한 목적을 위하여, 상기 환형 개구부(158) 인접한 플라즈마 제한 마그네트(180, 182)는 상기 펌핑 환형부(160)로 흐르는 플라즈마를 예방하거나 줄이는 것이다. 상기 범위까지, 어떤 폴리머 선구 물질 및/또는 활성 종은 상기 펌핑 환형부(160)를 계속해서 들어가고, 어떤 결과 폴리머 또는 상기 대체 가능한 내부 라이너(160a) 상에 증착되는 오염물은 상기 언급한 공동 계류중인 특허에서 기술된 상기 폴리머 응집 온도 아래의 온도에서 상기 라이너(160a)를 유지하므로써 상기 플라즈마 챔버(140)로 다시 들어가는 것을 예방할 수 있다.
펌핑 환형부(160)의 외부 벽을 통하여 웨이퍼 슬리트 밸브(184)는 웨이퍼 입구 및 출구를 편리하게 한다. 상기 챔버(140) 및 펌핑 환형부(158) 사이의 환형 개구부(158)는 상기 웨이퍼 슬릿 밸브(184)의 인접 부분이 보다 크고 비-대칭 펌프 포트 위치와 더 대칭적으로 상기 챔버 압력 분포를 만들기 위하여 상기 원통형 측벽(150)의 바닥 엣지(150a)의 슬랜트에 의하여 상기 반대측 상에서 가장 작다.
챔버 중앙 축(146) 근처의 최대 인덕턴스는 수직적으로 적층한 솔레노이드식의 감김(144)에 의해 달성된다.
외부 위치(예를 들면 열전도적인 도전 토러스(175)의 상기 외부 원주형 표면)에서 상기 감김(1122)의 제 2외부 수직 스택 또는 솔레노이드(1120)는 솔레노이드 감김(144)의 내부 수직 스택으로부터 방사형 거리(δR)에 의하여 배치된다. 중앙에 대하여 상기 내부 솔레노이드 안테나(142)의 제한 및 상기 주변부에 대하여 상기 외부 솔레노이드 안테나(1120)는 이용 가능한 상기 천장(152)의 상부 표면 부분의 큰 부분을 상기 온도 제어 장치(172, 174, 175)와 직접 접촉하게 한다. 상기 천장(152) 및 상기 온도 제어 장치 사이의 보다 큰 표면 영역 접촉부는 상기 천장(152)의 더 효율적이고 더 일정한 온도 제어를 제공한다는 장점이 있다.
상기 측벽 및 천장은 12.6인치(32cm)의 내부 직경으로 단일 피이스의 실리콘으로 구성되는 반응기에 대하여, 상기 웨이퍼에 대한 실리콘 갭은 3인치(7.5cm)이고, 상기 내부 솔레노이드의 상기 평균 직경은 0.03두께의 테프론 절연층으로 덮여진 3/16인치 직경의 중공 구리 터브링을 사용한 11.75인치(29.3cm)이고, 각 솔레노이드는 4개의 권선으로 구성되고 1인치(2.54cm) 높이이다. 상기 외부 스택 또는 솔레노이드(1120)는 제 2독립적으로 제어 가능한 플라즈마 소스 RF 플라즈마 공급부(196)에 의하여 에너지가 공급된다. 이러한 목적은 상기 웨이퍼 표면, 공지된 처리 비 균일성에 대하여 보상을 허용하기 위하여 상기 워크피이스 또는 웨이퍼(156)에 상대적으로 다른 방사형 위치에서 인가된 여러 가지 사용자 선택 가능한 플라즈마 소스 전력 레벨을 허용하는 것이다. 독립적으로 제어 가능한 중앙 가스 공급부(154a) 및 주변 가스 주입구(164b-d)의 결합으로, 각 중앙 피이스에서 에칭 수행은 외부 솔레노이드(190)에 인가된 RF 전력에 상대적으로 상기 내부 솔레노이드(142)에 인가된 RF 전력을 조절하고 상기 외부 가스 주입구(164b-d)를 통하는 흐름율에 대하여 상대적으로 상기 중앙 가스 주입구(164a)를 통하여 상기 가스 흐름율을 조절하므로써 상기 엣지에서 에칭 수행에 상대적으로 조절될 수 있다. 본 발명은 상기 기술된 바와 같이 상기 인덕턴스 필드의 중앙 널 또는 딥의 문제를 해결하거나 또는 적어도 개선할 수 있는 한편, 다른 플라즈마 처리 비 균일성 문제가 있고, 이것은 상기 내부 및 외부 안테나에 인가된 상대적으로 RF 전력 레벨을 조절하므로써 도 8a의 다양한 실시예에서 수행될 수 있다. 이러한 목적을 보다 편리하게 수행하기 위하여, 내부 및 외부 솔레노이드(142, 190)에 대하여 상기 각 RF 전력 공급부(168, 196)는 상기 내부 및 외부 솔레노이드(142, 190) 사이의 전력의 상기 상대적인 할당을 상기 사용자가 변화시키도록 하기 위하여 가변될 수 있는 공통 전력 공급부(197a) 및 전력 스플리터(197b)에 의하여 대체될 수 있고 한편 상기 내부 및 외부 솔레노이드(142, 190)의 필드 사이의 고정된 페이스 관계를 보존한다. 이것은 상기 2개의 솔레노이드(142, 190)가 동일 주파수에서 RF 전력을 수신하는 매우 중요하다. 만약 상기 2개의 독립적인 전력 공급부(168, 196)가 이용된다면, 그러면 그들은 상기 2개의 솔레노이드 사이의 결합으로부터 오프-주파수 피드백을 피하기 위하여 각 RF 전력 공급부(168, 169)의 출력부에서 RF 필터를 설치하는 것이 바람직하다. 이러한 경우에, 상기 주파수 차이는 상기 2개의 솔레노이드 사이의 결합을 시간 평균에서 충분해야하고, 상기 RF 필터의 반사 밴드폭을 초과해야한다. 바람직한 모드는 상기 각 솔레노이드에 독립적으로 공명하도록 각 주파수를 정합시키고 각 주파수는 통상적인 임피던스 매칭 기술 대신에 플라즈마 임피던스(공명을 유지하는) 변화에 따라서 가변될 수 있다. 그러한 수행에서, 상기 2개의 솔레노이드의 주파수 변화는 상호 배타적이어야 한다. 대안으로, 상기 2개의 솔레노이드는 상기 동일 주파수에서 구동되고 이러한 경우에 상기 2개의 솔레노이드 사이의 페이스 관계는 상기 2개의 솔레노이드 필드의 구조적인 상호 반응 또는 중첩을 야기하도록 하는 것이 바람직하다. 일반적으로, 이러한 요구는 만약 그들이 동일 센스로 감긴다면 상기 2개의 솔레노이드에 인가된 신호 사이의 제로 페이즈 각에 의하여 충족될 것이다. 그렇지 않으면, 만약 그들이 반대로 감긴다면, 상기 페이저 각은 바람직하게 180°이다. 어떤 경우에, 상기내부 및 외부 솔레노이드 사이의 결합은 상기 내부 및 외부 솔레노이드(142, 190) 사이의 상대적으로 큰 공간을 가짐으로서 최소화되거나 제거될 수 있다.
그러한 조절에 의하여 얻어질 수 있는 범위는 상기 배부 및 외부 솔레노이드(142, 190) 사이의 공간을 증가시키기 위하여 상기 외부 솔레노이드(190)의 반지름을 증가시키므로써 증가되고, 상기 2개의 솔레노이드(142, 190)의 효과는 상기 워크피이스 중앙 및 엣지에 더 한정된다. 이것은 상기 2개의 솔레노이드(142, 190)의 효과를 중첩하는 데 보다 큰 범위의 제어를 허용한다. 예를 들면 상기 내부 솔레노이드(142)의 반지름은 상기 워크피이스 반지름의 약 1/2정도보다 크지 않고 바람직하게 약 1/3정도 이어야한다. (상기 내부 반지름(142)의 최소 반지름은 상기 솔레노이드(142)를 형성하는 상기 도전체의 직경에 의하여 그리고 인덕턴스를 만들기 위하여 아치형 예를 들면 원형-전류 통로에 대하여 무한 난-제로 원주형을 제공하기 위한 필요성에 의하여 영향을 받는다.) 상기 외부 솔레노이드(190)의 반지름은 상기 워크피이스 반지름에 실질적으로 동일하거나 상기 워크피이스 반지름의 1.5배 이상이어야 한다. 그러한 구성으로, 상기 내부 및 외부 솔레노이드(142, 190)의 상기 각 중앙 및 엣지 효과는 상기 내부 솔레노이드에 전력을 상승시키므로써 상기 챔버 압력이 균일한 플라즈마를 제공하는 동안에 100mT로 상승할 수 있도록 하고, 상기 외부 솔레노이드(190)에 전력을 상승시키므로써 상기 챔버 압력은 일정한 플라즈마를 제공하는 동안에 0.01mT 근처로 줄어들 수 있다. 그러한 큰 반지름의 외부 솔레노이드(190)의 다른 장점은 상기 내부 및 외부 솔레노이드(142, 190) 사이의 결합을 최소화 하는 것이다.
도 8a의 실시예에서, 상기 천장(152) 및 측벽(150)은 상기 중앙 에칭율 및 상기 에칭에 상대적인 선택성에 대하여 제어를 강화하기 위하여 각 RF 소스(1210, 1212)로부터 그들에게 인가된 분리가능하게 제어된 RF 바이어스 전력 레벨을 가지는 서로로부터 절연된 분리 가능한 반도체(실리콘) 피이스이다. 1996년 2월 2일에 출원되어 공동 계류중인 미국 특허 출원 제 08/597,577호에 더 상세히 기술된 바와 같이, 상기 천장(152)은 도핑된 반도체(실리콘) 재료일 수 있고, 그것은 상기 챔버로 인가된 상기 RF 바이어스 전력을 용량적으로 결합하는 전극으로서 작동할 것이고 동시적으로 상기 솔레노이드(142)에 인가된 RF 전력이 상기 챔버에 유도적으로 결합될 수 있는 윈도우로서 작용할 것이다. 그러한 윈도우 전극의 장점은 상기 RF 전위가 상기 웨이퍼(예를 들면 이온 에너지 제어를 위하여) 상에 직접 설정될 수 있고 한편 동시에 유도적으로 결합된 RF 전력은 상기 웨이퍼 상에 직접 설정될 수 있다. 이러한 후자의 특징은 분리 가능하게 제어된 내부 및 외부 솔레노이드(142, 190) 및 중앙 및 외부 가스 주입구(164a, 164b)는 최적의 균일성을 이룩하기 위하여 상기 워크피이스 엣지에 상대적으로 상기 워크피이스 중앙에 이온 밀도, 이온 에너지, 에칭율 및 에칭 선택성과 같은 다양한 플라즈마 처리 파라미터를 조절할 수 있는 능력을 강화한다. 이러한 결합에서, 상기 개별적인 가스 주입구를 통하는 압력 또는 가스 양은 플라즈마 처리 파라미터의 최적의 균일성을 획득하기 위하여 개별적으로 따로 제어된다.
상기 램프 가열기(172)는 전기 가열 엘리먼트에 의하여 대체될 수 있다. 상기 실리콘 부재는 받침대(54) 주위의 환형 링(62)이다. 바람직하게, 상기 환형 링(62)은 고 순도의 실리콘이며, 전기 또는 광학 특성을 변경하기 위하여 도핑될 수 있다. 상기 플라즈마 처리(예를 들면 불소 제거를 위하여 상기 플라즈마에 실리콘 재료의 기여)의 바람직한 참여를 확인하기 위하여 충분한 온도에서 상기 실리콘 링(162)을 유지하기 위하여, 상기 실리콘 링(162) 아래에 원형으로 정렬된 다수의 복사(예를 들면 텡스텐 할로겐 램프) 가열기(177)는 각 석영 윈도우(178)를 통하여 실리콘 링(162)을 가열한다. 상술한 공동 계류중인 출원에서 개시된 바와 같이, 가열기(177)는 광학 고온계 또는 불소 광학 프로브와 같은 원격 센서일 수 있는 각 온도 감지 센서(179)에 의하여 감지된 실리콘 링(162)의 온도에 따라서 제어된다. 상기 센서(179)는 상기 실리콘 링(162)의 깊은 홀(162a)로 부분적으로 연장될 수 있고, 상기 홀의 깊이 및 좁음은 실리콘 링(162)의 열 방사율에서 온도 종속 가변을 적어도 부분적으로 마스크하는 경향이 있고, 그들은 더 신뢰 가능한 온도 측정을 위하여 그레이 몸체 방사체처럼 작용한다.
도 8b는 상기 천장(152)은 서로 전기적으로 절연된 내부 디스크(152a) 및 외부 환형(152b)으로 분할 될 수 있고 단일 다르게 결합된 RF 전력 소스의 분리 가능한 출력일 수 있는 독립적인 RF 전력 소스(1214, 1216)에 의하여 분리 가능하게 바이어스될 수 있다.
대안적인 실시예에서, 통상적인 마이크로프로세서 및 메모리를 포함하는 프로그램 가능한 전기 제어기와 같은 도 8a 및 8b에 도시된 사용자 접근 가능한 중앙 제어기(1300)는 상기 중앙 및 주변 가스 주입구(164a, 164)를 통하는 가스 흐름율, 상기 내부 및 외부 안테나(142, 190)에 인가된 RF 플라즈마 소스 전력 레벨 및 상기 천장(152) 및 상기 측벽(150)(제 8a도)에 인가된 상기 RF 바이어스 전력 레벨 및 상기 내부 및 외부 천장 부분(152a, 152b)(제 8b도)에 인가된 상기 RF 바이어스 전력 레벨, 상기 천장(152)의 온도 및 상기 실리콘 링(162)의 온도를 동시적으로 제어하기 위하여 접속된다. 천장 온도 제어기(1218)는 상기 천장 온도 센서(176)에 의하여 측정된 상기 온도를 콘트롤러(1300)에 알려진 바람직한 온도와 비교하므로써, 램프 파워 소스에 의해 상기 천장 가열 램프(172)에 인가되는 전력을 제어한다. 상기 마스터 제어기(1300)는 상기 실리콘 링 온도 제어기(1218 및 68a, 68b)의 바람직한 온도, 상기 솔레노이드 파워 소스(168, 196) 의 RF 전원 레벨, 상기 바이어스 전력 소스(1210, 1212(도 8a) 또는 (1214, 1216)(도 8b))의 RF 전력 레벨, 상기 RF 전력 소스(170)에 의하여 인가된 웨이퍼 바이어스 레벨 및 상기 가스 흐름율 및 상기 다양한 가스 주입구(또는 분리된 밸브)에 의하여 상기 가스 주입구(164a-d)에 의하여 공급된 상기 가스 흐름율을 제어한다. 상기 웨이퍼 바이어스 레벨을 제어하기 위한 중요한 것은 상기 웨이퍼 받침대(154) 및 상기 천장(152)사이의 상기 RF 전위 차이이다. 따라서, 상기 받침대 RF 전력 소스(170) 또는 상기 천장 RF 전력 소스(1212) 중 어느 하나가 RF 접지 될 수 있다. 상기 프로그램 가능하고, 집적된 제어기(300)를 사용하여, 상기 사용자는 RF 소스 전력의 할당, RF 바이어스 전력, (예를 들면 에칭율 및 에칭 선택성의 균일한 방사형 분포) 상기 워크피이스의 중심과 주변 사이의 가스 흐름율을 최적화하므로써, 워크피이스의 표면의 전반에 걸쳐 중앙에 대한 엣지의 최대 처리 균일성(예를 들면, 에칭율과 에칭 선택성의 균일한 방사상 분포)을 달성한다. 또한 상기 받침대(154) 및 천장(152) 사이의 RF 전력 차이에 대하여 상기 솔레노이드(142, 190)에 인가된 상기 RF 전력을 (상기 제어기(1300)를 통하여) 조절하므로써, 상기 사용자는 현저하게 유도적으로 결합된 모드 또는 현저하게 용량적으로 결합된 모드에서 상기 반응기를 동작시킬 수 있다.
상기 솔레노이드(142, 190), 상기 천장(152), 측벽(150) (또는 도 8도에 기술된 바와 같이 상기 내부 및 외부 천장 부분(152a, 152b)에 도 8a에 접속된 다양한 전력 소스는 RF 주파수에서 동작하도록 기술되었지만, 본 발명은 특정 주파수에 제한 되지 않고 RF가 아닌 주파수는 본 발명을 수행하는 당업자에 의하여 선택될 수 있다.
본 발명의 바람직한 실시예에서, 상기 높은 열 도전성 스페이스(175), 상기 천장(152) 및 상기 측벽(150)은 크리스탈 실리콘의 단일 피이스로부터 함께 통합적으로 형성된다.
상기 소비 가능한 폴리머 경화 선구 물질 피이스가 상기 웨이퍼 지지 받침대 상부 표면 평면에서 평면 링(60)으로서 기술된 한편, 그것은 상기 열 소스로부터 효율적으로 가열되기에 너무 먼 거리에 있지 않는 것을 제공하고 (상기 챔버의 플라즈마 발생에 상기 열 소스로부터 전력의 발산을 피하기 위하여) 상기 열 소스로부터 상기 챔버의 플라즈마 처리 영역을 차폐하는 것을 제공하는 어떤 형태 및 어떤 위치 일 수 있다. 바람직한 실시예에서, (상기 실리콘 링(60) 그 자체에 의하여 제공된 것에 부가하여) 상기 열 소스의 에너지로부터 상기 플라즈마의 어떤 차폐는 상기 챔버 및 상기 펌핑 환형부의 상기 플라즈마 처리 영역사이의 플라즈마 흐름을 제한하는 한 쌍의 링 자석(100, 102)에 의하여 제공된다.
먼 거리 열 소스에 상기 소비 가능한 폴리머 경화 선구 물질 피이스의 상기 필수 차폐 및 가까운 근접성을 제공하는 다른 실시예가 상기 소비 가능한 피이스가 상기 원통형 챔버 측벽 내부 표면을 인접하는 원통형 실리콘 라이너(110)인 도 9에 도시된다. 상기 원통형 측벽의 상기 외부에 인접한 상기 주변부 열 소스(115)는 상기 측벽을 통하여 상기 실리콘 라이너(110)를 가열한다. 상기 주변부 열 소스(115)는 상기 원통형 챔버 벽이 석영과 같은 절연체 또는 상기 라이너(110)에 상기 열 소스(115)의 흡수를 최소화하고 유도 필드를 최대화하기 위하여 충분한 열저항성의 실리콘과 같은 반도체인 경우의 유도 가열기일 수 있다. 선택적으로, 상기 주변부 열 소스(115)는 텡스텐 할로겐 램프 또는 전기 방전 램프와 같은 복사 가열기이다. 상기 주변부 가열기(115)의 동작을 제어하는 온도 센서(166) 및 온도 제어기(168)는 도 8a의 실시예의 센서(66)와 제어기(68)의 방식으로 온도 제어를 수행한다. 도 9의 바람직한 실시예에서, 상기 마스터 제어기(300)는 상기 온도 제어기(168)를 제어한다.
도 10의 그래프는 도 6의 실시예에서 수행된 온도 제어 시스템의 수행을 기술한다. 상기 수평축은 상기 온도 제어기(68)는 상기 실리콘 링(60)을 지지하기 위하여 명령이 내려진 안정된 상태의 온도이고, 한편 상기 수직 축은 상기 선택된 링 온도를 유지하기 위하여 인가된 전력이다. 도 11의 그래프는 도 6의 시스템의 상기 폐루프 온도 응답을 나타내고, 상기 수평 축은 초로 표시된 시간이고 상기 수직 축은 링 온도이다. 도 11의 그래프에서, 상기 링(60)은 실온 근처에서 개시온도에서 시작되고, 약 30초 후에, 상기 제어기(68)는 상기 링 온도를 440℃로 두는 명령이다. 이러한 온도는 오버슈트 없이 및 시스템 노이즈의 한 트레이스로서 약 310초에 도달한다. 약 550초에서 플라즈마는 상기 챔버에서 점화되어 약 1000초에 거지고, 상기 링 온도에 관한 효과는 도 11에서 거의 관찰할 수 없다. 이러한 후자의 사건은 온도 제어 시스템 및 반응성의 안전성을 제공한다. 도 12는 (상기 타겟 온도가 오버슈트없이 도달할 때) 도 11의 그래프의 일부분의 아주 확대된 도면이고, (상기 플라드마가 일시적으로 턴온될 때) 550초를 포함한다. 상기 플라즈마는 약 550초에서 소스 전력의 3.2와트로 점화되고 도 12의 확대된 도면은 그 사건과 일치하는 상기 링 온도에서 스폿 스파크를 나타낸다. 이러한 데이터는 상기 센서(66) 및 상기 광학 섬유(72)의 형광 광학 프로브 형태를 사용하여 얻어질 수 있다.
상기 폴리머 경화 선구 물질 피이스(예를 들면 상기 실리콘 링(60)을 가열하는 대신에, RF 바이어스 전력은 소스(400)(도 7에 점선으로 표시된)로부터 에칭에 폴리머 저항성을 증가시키는 요구된 효과를 이룩하도록 상기 실리콘 링(60)에 인가될 수 있다. 상기 피이스 나머지의 표면이 상기 플라즈마와 상호반응이 없고 폴리머 증착이 이러한 피이스상에 더 이상 누적되지 않을 때까지, 당업자는 상기 피이스(예를 들면 실리콘 링(60))상에 상기 RF 바이어스 전력을 증가하므로써 이러한 응용에 대하여 상기 필수 RF 바이어스 전력 레벨을 쉽게 확인할 수 있다. 더욱이 이러한 임계 레벨이상 상기 피이스(예를 들면 실리콘 링(60))에 인가된 상기 RF 바이어스 전력을 증가하는 것은 상기 웨이퍼 상에 상기 폴리머 경화를 증가시키고 결과적으로 상기 임계치 RF 바이어스 전력 레벨에서 이룩된 상기 에칭 선택성을 증가시킨다. 이것은 본 발명의 선택적인 모드로서 실행될 수 있는 한편, (a) 상기 폴리머 경화 선구 물질 피이스의 소비가 더 높을 것이고, 및(b) 상기 폴리머 경화 선구 물질 피이스에 결합된 어떤 전기(RF)가 제공되어 상기 필수적인 RF 전력을 그 위에 중첩시키기 때문에 가장 바람직한 모드는 아니다. 다른 선택적인 모드에서, 상기 폴리머 경화 선구 물질 피이스의 열 및 RF 바이어싱이 결합될 수 있다.
도 13은 돔 형태의 단일체의 천장을 도 8a의 실시예에 제공하기 위하여 도 8a의 실시예가 어떻게 수정되었는지를 도시한다. 특히, 다-반경의 돔 형상을 갖는 천장(152)은 도시된 실시예에서는 반구형이다. 도 14는, 돔 형태의 천장(152)이 전기적으로 분리되어 분리된 RF 전력 소스와 연결될 수 있는 복수개의 디스크와 환형의 부분(152a, 152b)으로 분할된다는 점에서 도 8b에 해당하는 실시예를 도시한다. 도 15는 원통형 챔버 측벽에 인접한 수직 원통형 라이너(210)의 형태로 돔 형태의 천장이 소비 가능한 폴리머 경화 선구 물질 피이스의 특성과 결합된다는 점에서 도 9에 해당하는 실시예를 도시한다. 폴리머 경화 선구 물질 라이너를 필수적인 온도로 유지하기 위하여, (전기 히터와 같은)가열기(215)는 상기 라이너(210)에 열을 제공한다. 온도 센서(266)는 상기 라이너(210)의 온도를 모니터링한다. 상기 센서(266)의 출력은 상기 가열기(215)에 제공된 전류를 제어하는 제어기(268)에 연결된다. 상기 제어기(268)는 선택된 온도를 사용하여 프로그램될 수 있는 일반적인 형태의 제어기이다. 제어기(268)는, 상기 센서(266)가 선택된 온도의 이하 또는 이상인 라이너 온도를 감지한 여부에 의존하여, 각각 가열기(215)에 인가되는 전류를 증가시키거나 또는 감소시킨다.
본 발명에 관한 방법을 사용하여, 웨이퍼 표면에 걸친 처리 균일도는 웨이퍼 표면의 전반에 걸쳐, 플라즈마내의 에천트 선구 물질의 종류와 증착 선구 물질의 종류 모두 또는 일부의 방사상 밀도 분포를 조절하므로써 최적화될 수 있다. 이것은 본 발명내의 하나 또는 일부 또는 몇 개의 방법에 의해 달성된다. 제 1 방법은 천장(152)을 복수개의 방사상으로 배치되고 분리된 부분(예를 들어 도 8b에 도시된 바와 같이)으로 분할하고, 다른 것에 비해 하나의 부분에 인가되는 RF 전력을 변화시키는 것이다. 제 2 방법은 천장의 전반에 걸쳐 온도의 방사상 분포를 변화시키는 것이다. 상술한 바와 같이, 이러한 것은 상이한 방사상 위치에 배치된 가열기(172)를 개별적으로 제어하므로써, 달성될 수 있다. 제 3 방법은 방사상 내부 및 외부 가스 흐름 주입구(164a, 164b, 164d)에서의 처리 가스 흐름을 개별적으로 조절하는 것이다.
소비 가능한 온도-조절된 폴리머 경화 선구 물질 피이스가 유도적으로 결합된 플라즈마 반응기와 결합되어 개시되어 있지만, 다른 적용에서도 유용하다. 예를 들어, 폴리머 경화 선구 물질 피이스는 용량성으로 결합된 플라즈마 반응기에서도 사용될 수 있다. 이같은 응용은 도 16에 도시된다. 도 16에 있어서, 천장(152)은 RF 전력 소스(1210)에 의해 구동되어, 챔버로 플라즈마 소오스를 제공한다. 유도 안테나가 존재할 지라도 반응기는 우세적으로 용량성 결합 모드에서 동작하기 때문에, 어떠한 유도 안테나(예를 들어 솔레노이드 안테나(142, 190)도 필요하지 않다. 유도 안테나가 존재하지 않는다면, 여기에서는 이전에 설명된 이유로 이러한 경우에서 이같은 특징이 유용할 지라도, 상기 천장이 반도체 물질이라는 것이 절대적으로 요구되지 않는다. 실제로 천장이 반도체가 아니면, 가열기(172) 및 관련된 제어 장치는 바람직하다면 제거될 수 있다. 용량성 결합 모드에서, 플라즈마 소스 전력이 우세하게 천장(152)과 상기 웨이퍼 받침대(154)의 사이에 제공되므로, 두 RF 전력 소스(1210, 170) 중 하나는 잉여분으로서 제거될 수 있다. (유도 결합 모드에서는 두개의 분리된 소스(1210, 170)를 사용하는 것은, 소스(170)가 독립적인 RF바이어스 제어 이온 에너지를 웨이퍼 근처에 제공하기 때문에, 소스(1210)가 천장(152)의 충격 또는 활동을 제어하는 동안에 반드시 잉여분이 되지 않는다.) 도 17은, 챔버 측벽 내부 상에서의 수직 원통형 라이너(210)의 형태의 소비 가능한 폴리머 경화 선구 물질 피이스의 특성이 어떻게 용량성 결합된 플라즈마 반응기와 결합될 수 있는지를 도시한다.
도 18은 폴리머 경화 선구 물질 링(63)이 챔버 천장의 근처의 폴리머 경화 선구 물질 챔버 라이너(63')로 대체 되도록, 도 8a의 플라즈마 반응기가 어떻게 수정되었는지를 도시한다. 이러한 실시예에 있어서, 상기 라이너(63')는 평평한 천장(152)과 원통형 측벽(150) 사이의 사각 모서리에 적합한 외부 표면(63'a)과 3차원으로 형성되고 플라즈마 처리 영역을 3차원 형태로 한정하는 내부 표면(63'b)를 포함한다. 도 18의 실시예에서는, 상기 라이너 내부 표면(63'b)은 3차원 형태(예를 들어 돔 형태)를 갖는 상부를 포함하는 체적으로 플라즈마를 한정하는 챔버의 천장의 적어도 일부를 형성한다. 도 18의 도면에서, 이러한 3 차원 형태는, 라이너(63')의 원주 주변부에서의 최소 반경부터 중심에서의 최대 반경까지 증가하는 만곡된 반경을 갖는 다-반경의 돔 형태이다. 그러나, 형태는 단일 반경 형태 또는 반구 형태가 될 수 있다. 도 19는, 천장(152)이 독립적인 RF 전력 레벨 또는 RF 주파수를 사용하여 구동되는 내부 및 외부(디스크 및 환형의) 부분(152a, 152b)으로 방사상으로 분할된다는 점에서 도 18의 실시예가 어떻게 도 8b의 특성에 알맞도록 수정되었는지를 도시한다.
도 20은 어떻게 도 18의 실시예가 솔레노이드 안테나 코일(142, 190)을 도 3의 실시예에서의 평평한 안테나 코일(51a, 50 b)로 어떻게 대체되었는 지를 도시한다. 도 21은, 도 20의 실시예가 독립적인 RF 전력 레벨 또는 RF 주파수를 사용하여 구동되는 내부 및 외부(디스크 및 환형의) 부분(152a, 152b)으로 방사상으로 분할된다는 점에서 도 18의 실시예가 어떻게 도 8b의 특성에 알맞도록 수정되었는 지를 도시한다.
도 20 및 도 21 의 실시예에 있어서, 가열 및 냉각 장치는 천장의 상부 표면의 넓은 부분의 전반에 걸쳐 분포되므로, 단지 상대적으로 작은 수의 감김이 상기 평평한 코일(50a,50b)에 수용된다. 평평한 코일 감김의 수를 증가시키기 위하여, 많은 수의 평평한 코일 감김을 수용하기 위해 더 간단한 가열 및 냉각 장치가 도 3의 방식으로 사용될 수 있다.
천장(152)이 RF 전력을 사용하여 구동되는 이같은 특징으로 설명된 각각의 실시예에서는 RF 플라즈마 소스 전력이 내부 및 외부 솔레노이드 안테나(142, 190)와 같은 인덕터(또는 인덕터들)에 의해 유도적으로 결합된 것처럼 설명된다. 그러나, 매우 작은 또는 어떠한 RF 전력이 인덕터(142)에 인가되지 않거나 이같은 인덕터가 제거된 동안, 천장(152)에 인가된 전력용 RF 궤환 경로로서 연결된 웨이퍼 지지체 또는 받침대(154)를 갖는 천정(152)에 충분한 RF 전력을 제공하는 대신에 RF 플라즈마 소스 전력은 상기 천장(152)(또는 천장 부분(152a, 152b))으로부터 용량적으로 결합될 수 있다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
본 발명은 높은 온도 범위에서 불소를 제거하기 위하여 요구된 상기 반응 챔버 내의 실리콘과 같은 폴리머 경화 선구 물질 재료의 온도 상승을 증가시키므로써, 여러 가지 더 지속 가능한 폴리머는 단지 불소를 제거하므로써 에칭에 더 저항력이 있는 노출된 실리콘 및 폴리실리콘 표면상에 형성된다는 것이다.

Claims (100)

  1. 플라즈마 반응기에 있어서,
    챔버의 내부와 맞선 3차원으로 형성된 내부 표면을 갖는 천장을 포함하는 반응기 챔버;
    상기 천장 주위에 배치된 플라즈마 소스 전력 결합 장치 및 상기 플라즈마 소스 전력 결합 장치에 RF 전력을 공급하기 위한 RF 전력 소스;
    처리 가스 주입 장치와 에천트 및 폴리머 선구 물질을 함유하는 처리 가스를 공급하기 위하여 주입 장치에 결합된 처리 가스 공급부;
    상기 반응기 챔버내에서 처리될 아티클을 지지하기 위한 지지체; 및
    상기 챔버내의 폴리머 경화 선구 물질 피이스를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  2. 제 1 항에 있어서, 상기 챔버는 원통형 측벽을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  3. 제 1 항에 있어서, 상기 내부 표면은 돔형인 것을 특징으로 하는 플라즈마 반응기.
  4. 제 3 항에 있어서, 상기 내부 표면은 반구형인 것을 특징으로 하는 플라즈마 반응기.
  5. 제 3 항에 있어서, 상기 내부 표면은 그 주변에서 최대 반경을 갖고 그 중심부에서 최소 반경을 갖는 다-반경의 돔 형상을 가지는 것을 특징으로 하는 플라즈마 반응기.
  6. 제 1 항에 있어서, 상기 플라즈마 소스 전력 결합 장치는 상기 천장 주위에 배치된 유도 안테나를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  7. 제 6 항에 있어서, 상기 유도 안테나는 동일한 중심을 갖는 부분으로 전기적으로 분리되어 상기 부분에 인가되는 RF 전력 레벨이 개별적으로 제어되는 것을 특징으로 하는 플라즈마 반응기.
  8. 제 6 항에 있어서, 상기 천장은 반도체 윈도우 전극인 것을 특징으로 하는 플라즈마 반응기.
  9. 제 8 항에 있어서, 상기 반도체 윈도우 전극은 RF 전력 소스에 연결된 것을 특징으로 하는 플라즈마 반응기.
  10. 제 8 항에 있어서, 상기 반도체 윈도우 전극은 전기적으로 분리된 동일한 중심을 갖는 복수개의 부분으로 분할되어 상기 부분에 인가되는 RF 전력 레벨이 개별적으로 제어되는 것을 특징으로 하는 플라즈마 반응기.
  11. 제 1 항에 있어서, 상기 천장은 복수개의 동일한 중심을 갖는 부분으로 분할되어 개별적으로 온도 제어되는 것을 특징으로 하는 플라즈마 반응기.
  12. 제 10 항에 있어서, 상기 복수개의 동일한 중심을 갖는 천장 부분에 대한 복수개의 독립적인 온도 제어 장치를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  13. 제 11 항에 있어서, 상기 천장의 상기 복수개의 동일한 중심을 갖는 부분의 개별적인 온도 제어를 위한 온도 제어 장치를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  14. 제 12 항에 있어서, 상기 처리 가스 주입 장치는 상기 챔버내의 방사상 위치에 복수개의 처리 가스 주입구를 포함하여 개별적으로 가스 흐름율을 제어 가능케한 것을 특징으로 하는 플라즈마 반응기.
  15. 제 1 항에 있어서, 상기 폴리머 경화 선구 물질 피이스는 상기 챔버의 구조적인 엘리먼트로부터 분리된 제거 가능하고 소비 가능한 피이스인 것을 특징으로 하는 플라즈마 반응기.
  16. 제 15 항에 있어서, 상기 제거 가능하고 소비 가능한 피이스는 상기 지지체의 직경을 초과하는 내부 직경을 갖는 환형 링인 것을 특징으로 하는 플라즈마 반응기.
  17. 제 16 항에 있어서, 상기 링은 상기 지지체에 인접하여 위치한 것을 특징으로 하는 플라즈마 반응기.
  18. 제 15 항에 있어서, 상기 소비 가능한 피이스는 상기 천장에 인접한 환형 링인 것을 특징으로 하는 플라즈마 반응기.
  19. 제 15 항에 있어서, 상기 소비 가능한 피이스가 상기 챔버 내부로 폴리머 경화 선구 물질 재료를 제공할 수 있는 온도 범위까지 상기 소비 가능한 피이스를 가열할 수 있는 가열기를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  20. 제 1 항에 있어서, 상기 폴리머 경화 선구 물질이 상기 챔버 내부로 폴리머 경화 선구 물질 재료를 제공할 수 있는 온도까지 상기 폴리머 경화 선구 물질 피이스를 가열할 수 있는 가열기를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  21. 제 1 항에 있어서, 상기 폴리머 선구 물질 피이스는 적어도 상기 천장의 일부를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  22. 제 21 항에 있어서, 상기 폴리머 경화 선구 물질 피이스로 구성된 상기 천장의 적어도 일부를, 상기 폴리머 경화 선구 물질 피이스가 상기 챔버 내부로 폴리머 경화 선구 물질 재료를 제공할 수 있는 온도 범위까지 가열하기 위한 가열기를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  23. 제 1 항에 있어서, 상기 3차원으로 형성된 표면은 상기 챔버의 내부와 맞선 상기 천장의 내부 천장 표면인 것을 특징으로 하는 플라즈마 반응기.
  24. 제 23 항에 있어서, 상기 폴리머 경화 선구 물질 피이스는 상기 챔버 내에서 상기 챔버 내부와 맞선 내부 라이너 표면을 갖는 라이너를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  25. 제 24 항에 있어서, 상기 라이너 내부 표면은 적어도 상기 천장 내부 표면의 일부를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  26. 제 25 항에 있어서, 상기 라이너 내부 표면을 돔형으로 형성된 것을 특징으로 하는 플라즈마 반응기.
  27. 제 26 항에 있어서, 상기 라이너 내부 표면은 그 중심에서 최대 반경을 갖고, 그 주변부에서 최소 반경을 갖는 다-반경의 돔 형상을 가지는 것을 특징으로 하는 플라즈마 반응기.
  28. 용량적으로 결합된 플라즈마 반응기에 있어서,
    반응기 챔버;
    상기 챔버의 내부 부분과 맞선 용량성 전극 및 상기 용량성 전극에 플라즈마 소스 전력을 공급하기 위한 RF 전력 소스;
    처리 가스 주입 장치와 에천트 및 폴리머 선구 물질을 함유하는 처리 가스를 공급하기 위하여 상기 주입 장치에 결합된 처리 가스 공급부;
    상기 반응기 챔버내에서 처리될 아티클을 지지하기 위한 지지체; 및
    상기 챔버내의 폴리머 경화 선구 물질 피이스를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  29. 제 28 항에 있어서, 상기 용량성 전극은 상기 지지체와 맞선 상기 챔버의 오버헤드 천정을 포함하고, 상기 지지체는 상기 용량성 전극용 용량성 카운터 전극을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  30. 제 29 항에 있어서, 상기 천장은 원통형인 것을 특징으로 하는 플라즈마 반응기.
  31. 제 29 항에 있어서, 상기 천장은 상기 챔버의 내부와 맞선 3차원으로 형성된 내부 표면을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  32. 제 29 항에 있어서, 상기 천장은 상기 챔버의 내부와 맞선 돔형으로 형성된 내부 표면을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  33. 제 32 항에 있어서, 상기 내부 표면은 반구형인 것을 특징으로 하는 플라즈마 반응기.
  34. 제 32 항에 있어서, 상기 내부 표면은 그 주변부에서 최소 반경을 갖고, 그 중심에서 최대 반경을 갖는 다-반경의 돔 형상을 가지는 것을 특징으로 하는 플라즈마 반응기.
  35. 제 29 항에 있어서, 상기 천장은 전기적으로 분리된 동일한 중심을 갖는 복수개의 부분으로 전기적으로 분할되어 상기 부분에 인가되는 플라즈마 소스 RF 전력 레벨이 개별적으로 제어되는 것을 특징으로 하는 플라즈마 반응기.
  36. 제 29 항에 있어서, 상기 천장은 복수개의 동일한 중심을 갖는 부분으로 분할되어 개별적으로 온도 제어되는 것을 특징으로 하는 플라즈마 반응기.
  37. 제 35 항에 있어서, 상기 천장의 동일한 중심을 갖는 각 부분에 대하여 개별적인 온도 제어 장치를 더 포함하는 것을 특징으로 하는 플라즈마 반응기
  38. 제 37 항에 있어서, 상기 처리 가스 주입 장치는 상기 챔버내의 상이한 방사상 위치 사이에 복수개의 주입구를 포함하여 개별적으로 가스 흐름율을 제어 가능케 한 것을 특징으로 하는 플라즈마 반응기.
  39. 제 28 항에 있어서, 상기 폴리머 경화 선구 물질 피이스는 상기 챔버의 구조적인 엘리먼트로부터 분리된 제거 가능하고 소비 가능한 피이스인 것을 특징으로 하는 플라즈마 반응기.
  40. 제 39 항에 있어서, 상기 제거 가능하고 소비 가능한 피이스는 상기 지지체의 직경을 초과하는 내부 직경을 갖는 환형 링인 것을 특징으로 하는 플라즈마 반응기.
  41. 제 39 항에 있어서, 상기 소비 가능한 피이스가 상기 챔버 내부로 폴리머 경화 선구 물질 재료를 제공할 수 있는 온도 범위까지 상기 소비 가능한 피이스를 가열할 수 있는 가열기를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  42. 제 28 항에 있어서, 상기 폴리머 경화 선구 물질이 상기 챔버 내부로 폴리머 경화 선구 물질 재료를 제공할 수 있는 온도까지 상기 폴리머 경화 선구 물질 피이스를 가열할 수 있는 가열기를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  43. 제 29 항에 있어서, 상기 폴리머 선구 물질 피이스는 적어도 상기 천장의 일부를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  44. 제 29 항에 있어서, 상기 3차원으로 형성된 표면은 상기 챔버의 내부와 맞선 상기 천장의 내부 천장 표면인 것을 특징으로 하는 플라즈마 반응기.
  45. 제 44 항에 있어서, 상기 폴리머 경화 선구 물질 피이스는 상기 챔버 내에서 상기 챔버 내부와 맞선 내부 라이너 표면을 갖는 라이너를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  46. 제 45 항에 있어서, 상기 라이너 내부 표면은 적어도 상기 천장 내부 표면의 일부를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  47. 플라즈마 반응기에 있어서,
    상기 천장 주위에 배치된 플라즈마 소스 전력 결합 장치 및 상기 플라즈마 소스 전력 결합 장치에 RF 전력을 공급하기 위한 RF 전력 소스;
    처리 가스 주입 장치 및 에천트 및 폴리머 선구 물질을 함유하는 처리 가스를 공급하기 위하여 상기 주입구에 결합된 처리 가스 공급부;
    상기 반응기 챔버내에서 처리될 아티클을 지지하기 위한 지지체; 및
    상기 챔버내의 폴리머 경화 선구 물질을 갖는 챔버 라이너를 포함하고, 상기 챔버 라이너는 상기 챔버의 내부 표면에 인접한 외부 표면과 상기 챔버의 내부에 변화 안쪽 표면을 갖는 것을 특징으로 하는 플라즈마 반응기.
  48. 제 47 항에 있어서, 상기 라이너는 상기 라이너의 내부 표면이 상기 챔버의 천장을 포함하는 것에 의해 상기 반응기의 상부에 인접하는 것을 특징으로 하는 플라즈마 반응기.
  49. 제 48 항에 있어서, 상기 천장은 3차원 형상을 갖는 것을 특징으로 하는 플라즈마 반응기.
  50. 제 48 항에 있어서, 상기 천장은 원뿔형인 것을 특징으로 하는 플라즈마 반응기.
  51. 제 48 항에 있어서, 상기 천장은 상기 챔버의 내부와 맞선 3차원으로 형성된 내부 표면을 포함하는 것을 특징으로 하는 플라즈마 반응기.
  52. 제 51 항에 있어서, 상기 내부 표면은 반구형인 것을 특징으로 하는 플라즈마 반응기.
  53. 제 51 항에 있어서, 상기 내부 표면은 그 주변에서 최대 반경을 갖고, 그 중심부에서 최소 반경을 갖는 다-반경의 돔 형상을 가지는 것을 특징으로 하는 플라즈마 반응기.
  54. 제 47 항에 있어서, 상기 플라즈마 소스 전력 결합 장치는 상기 천장 주위에 배치된 유도 안테나를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  55. 제 54 항에 있어서, 상기 유도 안테나는 동일한 중심을 갖는 부분으로 전기적으로 분리되어 상기 부분에 인가되는 RF 전력 레벨이 개별적으로 제어되는 것을 특징으로 하는 플라즈마 반응기.
  56. 제 54 항에 있어서, 상기 천장은 반도체 윈도우 전극인 것을 특징으로 하는 플라즈마 반응기.
  57. 제 56 항에 있어서, 상기 반도체 윈도우 전극은 RF 전력 소스에 연결된 것을 특징으로 하는 플라즈마 반응기.
  58. 제 56 항에 있어서, 상기 반도체 윈도우 전극은 전기적으로 분리된 동일한 중심을 갖는 복수개의 부분으로 분할되어 상기 부분에 인가되는 RF 전력 레벨이 개별적으로 제어되는 것을 특징으로 하는 플라즈마 반응기.
  59. 제 48 항에 있어서, 상기 천장은 복수개의 동일한 중심을 갖는 분리된 부분으로 분할되어 개별적으로 온도 제어되는 것을 특징으로 하는 플라즈마 반응기.
  60. 제 59 항에 있어서, 상기 복수개의 동일한 중심을 갖는 천장 부분에 대한 복수개의 독립적인 온도 제어 장치를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  61. 제 48 항에 있어서, 상기 천장은 복수개의 동일한 중심을 갖는 분리된 부분으로 분할되어 개별적으로 온도 제어되는 것을 특징으로 하는 플라즈마 반응기.
  62. 제 60 항에 있어서, 상기 처리 가스 주입 장치는 상기 챔버내의 상이한 방사상 위치 사이에 복수개의 주입구를 포함하여 개별적으로 가스 흐름율을 제어 가능케 한 것을 특징으로 하는 플라즈마 반응기.
  63. 제 47 항에 있어서, 상기 폴리머 경화 선구 물질 피이스는 상기 챔버의 구조적인 엘리먼트로부터 분리된 제거 가능하고 소비 가능한 피이스인 것을 특징으로 하는 플라즈마 반응기.
  64. 제 63 항에 있어서, 상기 제거 가능하고 소비 가능한 피이스는 상기 지지체의 직경을 초과하는 내부 직경을 갖는 환형 링인 것을 특징으로 하는 플라즈마 반응기.
  65. 제 64 항에 있어서, 상기 링은 상기 지지체에 인접하여 위치한 것을 특징으로 하는 플라즈마 반응기.
  66. 제 63 항에 있어서, 상기 소비 가능한 피이스는 상기 천장에 인접한 환형 링인 것을 특징으로 하는 플라즈마 반응기.
  67. 제 63 항에 있어서, 상기 소비 가능한 피이스가 상기 챔버 내부로 폴리머 경화 선구 물질 재료를 제공할 수 있는 온도 범위까지 상기 소비 가능한 피이스를 가열할 수 있는 가열기를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  68. 제 47 항에 있어서, 상기 폴리머 경화 선구 물질이 상기 챔버 내부로 폴리머 경화 선구 물질 재료를 제공할 수 있는 온도까지 상기 폴리머 경화 선구 물질 피이스를 가열할 수 있는 가열기를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  69. 제 48 항에 있어서, 상기 폴리머 선구 물질 피이스는 적어도 상기 천장의 일부를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  70. 제 69 항에 있어서, 상기 폴리머 경화 선구 물질 피이스로 구성된 상기 천장의 적어도 일부를 상기 폴리머 경화 선구 물질 피이스가 상기 챔버 내부로 폴리머 경화 선구 물질 재료를 제공할 수 있는 온도 범위까지 가열하기 위한 가열기를 더 포함하는 것을 특징으로 하는 플라즈마 반응기.
  71. 제 48 항에 있어서, 상기 3차원으로 형성된 표면은 상기 챔버의 내부와 맞선 상기 천장의 내부 천장 표면인 것을 특징으로 하는 플라즈마 반응기.
  72. 제 71 항에 있어서, 상기 폴리머 경화 선구 물질 피이스는 상기 챔버 내에서 상기 챔버 내부와 맞선 내부 라이너 표면을 갖는 라이너를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  73. 제 72 항에 있어서, 상기 라이너 내부 표면은 적어도 상기 천장 내부 표면의 일부를 포함하는 것을 특징으로 하는 플라즈마 반응기.
  74. 플라즈마 에칭 방법에 있어서,
    에칭 처리를 수행하기 위하여 챔버를 제공하는 단계;
    상기 챔버의 지지체에 처리될 아티클을 지지하는 단계;
    적어도 에천트 및 폴리머 선구 물질 재료를 함유하는 처리 가스를 공급하는 단계;
    상기 처리 가스에 부가하여 상기 챔버에 실리콘 또는 탄소의 소스 재료를 제공하는 단계;
    상기 챔버 내에 플라즈마를 발생시키는 단계;
    적어도 상기 플라즈마와 반응하는 상기 소스의 표면을 유지하기 위해 상기 소스 재료를 충분히 가열하는 단계; 및
    상기 처리될 아티클의 전반에 걸쳐 플라즈마 이온 밀도의 방사상 분포를 조절하는 단계를 포함하는 것을 특징으로 하는 방법.
  75. 제 74 항에 있어서, 상기 플라즈마를 발생시키는 단계는, 상기 챔버 내로 제공되는 RF 플라즈마 소스 전력을 챔버 내로 제공하는 단계를 포함하고,
    상기 조절하는 단계는 상기 챔버 내에서 방사상 RF 전력 분포를 조절하는 단계를 포함하는 것을 특징으로 하는 방법.
  76. 제 75 항에 있어서, 상기 반응기는 RF 플라즈마 소스 전력을 공급하기 위하여, 동일한 중심을 갖는 전기적으로 분리된 안테나 부분으로 분할되어 유도 안테나를 포함하고, 상기 챔버내에서 상기 방사상 RF 전력 분배를 조절하는 단계는 상기 분리된 안테나 부분에 인가된 분리된 RF 전력 레벨을 조절하는 단계를 포함하는 것을 특징으로 하는 방법.
  77. 제 74 항에 있어서, 플라즈마 이온 밀도의 방사상 분포를 조절하는 단계는 상기 챔버내에서 처리 가스의 방사상 분포를 조절하는 단계를 포함하는 것을 특징으로 하는 방법.
  78. 제 77 항에 있어서, 상기 반응기는 처리 가스를 공급하기 위하여, 상이한 방사상 위치에 배치된 복수개의 처리 가스 주입구를 포함하며,
    처리 가스의 방사상 분포를 조절하는 단계는 상이한 방사상 위치에서의 개별적인 하나의 상기 가스 주입구에 대한 상이한 가스 흐름율을 조절하는 단계를 포함하는 것을 특징으로 하는 방법.
  79. 제 74 항에 있어서, 상기 챔버튼 상기 지지체에 변한 반도체 천정을 포함하며,
    플라즈마 이온 밀도의 방사상 분포를 조절하는 단계는 상기 천장의 상이한 방사상 영역의 온도를 제어하는 단계를 포함하는 것을 특징으로 하는 방법.
  80. 제 74 항에 있어서, 상기 챔버는 상기 지지체와 맞선 천장을 포함하고, 상기 천장은 전기적으로 분리된 동일한 중심을 갖는 복수개의 천장 부분을 포함하며,
    상기 플라즈마 이온 밀도의 방사상 분포를 조절하는 단계는 상기 천장의 동일한 중심을 가는 복수개의 부분중 개별적인 하나에 공급된 상이한 RF 전력 레벨을 조절하는 단계를 포함하는 것을 특징으로 하는 방법.
  81. 제 74 항에 있어서, 상기 챔버는 전기적으로 분리된 동일한 중심을 갖는 복수개의 챔버 엔클로우져 부분을 포함하며,
    상기 플라즈마 이온 밀도의 방사상 분포를 조절하는 단계는 상기 동일한 중심을 가는 복수개의 엔클로져 부분중 개별적인 하나에 공급된 상이한 RF 전력 레벨을 조절하는 단계를 포함하는 것을 특징으로 하는 방법.
  82. 제 74 항에 있어서, 가열하는 단계는 상기 소스 물질을 적어도 폴리머 응집 온도까지 가열하는 단계를 포함하는 것을 특징으로 하는 방법.
  83. 제 82 항에 있어서, 상기 에칭 방법은 제 1 및 제 2 에칭율로 상기 아티클상에 제 1 및 제 2 다른 재료를 에칭하고, 상기 제 1 에칭율은 상기 제 1 및 제 2 에칭율의 함수인 상기 제 2 재료에 대한 상기 제 1재료의 에칭 선택성에 상응하는 상기 제 2 에칭율 보다 크고;
    상기 가열 단계는 상기 에칭 선택성을 높이기 위하여 상기 폴리머 응집 온도이상의 온도 범위로 상기 소스 재료의 온도를 증가시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  84. 제 83 항에 있어서, 상기 제 1 재료는 상기 제 2 재료 상에 놓이고 상기 에천트는 상기 제 2 재료의 노출된 부분에 상기 제 1 재료를 통하여 개구부를 만드는 것을 특징으로 하는 방법.
  85. 제 84 항에 있어서, 상기 폴리머 선구 물질 재료는 상기 제 2 재료의 노출된 부분상에 폴리머 증착을 위한 재료를 제공하며;
    상기 에천트 선구 물질 재료는 상기 아티클을 에칭하기 위한 재료를 제공하며; 및
    상기 폴리머 증착은 에칭 선택성을 강화하기 위하여 상기 제 2 재료의 에칭을 감소시키는 것을 특징으로 하는 방법.
  86. 제 85 항에 있어서,
    상기 제 1 재료 상부의 포토레지스트 마스크 층은 상기 제 1 재료를 통하는 상기 개구부를 한정하는 개구부를 가지며; 및
    상기 폴리머 증착은 에칭 선택성을 강화하기 위하여 상기 제 2 재료 및 상기 포토레지스트 재료의 에칭을 감소시키는 것을 특징으로 하는 방법.
  87. 제 85 항에 있어서, 상기 제 1 재료는 산소를 함유하는 재료를 포함하고 상기 제 2재료는 산소를 함유하지 않는 재료를 함유하는 것을 특징으로 하는 방법.
  88. 제 83 항에 있어서, 상기 가열 단계는 상기 폴리머 응집 온도 이상의 온도 범위로 상기 소스 재료의 온도를 증가시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  89. 제 88 항에 있어서, 상기 온도 범위는 상기 웨이퍼 상에 형성된 폴리머가 상기 전체 소스 재료를 함유하는 범위인 것을 특징으로 하는 방법.
  90. 제 84 항에 있어서, 상기 제 1재료는 산화물을 포함하고, 상기 제 2재료는 실리콘 또는 폴리실리콘을 포함하고, 상기 처리 가스의 에천트 선구 물질은 불소를 포함하고, 상기 처리 가스의 폴리머 선구 물질은 적어도 불소 및 탄소를 포함하고, 상기 소스 재료는 실리콘을 포함하는 것을 특징으로 하는 방법.
  91. 제 84 항에 있어서, 상기 온도 범위는 약 100℃ 이상인 것을 특징으로 하는 방법.
  92. 제 84 항에 있어서, 상기 온도 범위는 약 100℃ 이상인 것을 특징으로 하는 방법.
  93. 제 90 항에 있어서, 상기 온도 범위는 약 100℃ 이상인 것을 특징으로 하는 방법.
  94. 제 90 항에 있어서, 상기 온도 범위는 약 220℃이상인 것을 특징으로 하는 방법.
  95. 제 74 항에 있어서, 상기 소스 재료에 RF 전력을 인가하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  96. 제 95 항에 있어서, 상기 소스 재료에 인가된 상기 RF 전력 및 상기 소스 재료의 상기 가열은 상기 플라즈마와 반응하는 상기 소스 재료의 표면을 유지하기에 충분한 것을 특징으로 하는 방법.
  97. 제 96 항에 있어서, 상기 소스 재료는 상기 에천트 선구 물질로부터 유도된 에천트에 대하여 불순물 제거제를 포함하며,
    상기 소스 재료에 인가된 상기 RF 전력은 상기 에천트의 상당한 제거를 향상시키기에 충분한 것을 특징으로 하는 방법.
  98. 제 97 항에 있어서, 상기 소스 재료가 상기 폴리머 응집 온도 근처에 있을 때 불소 제거 재료의 상당한 량을 상기 플라즈마에 제공하는 기준 전력 레벨로부터 실질적으로 줄어든 RF 전력 레벨에서 RF 전력을 상기 소스재료에 인가하는 한편, RF 전력에서의 감소를 보충하기 위하여 상기 소스 재료의 온도를 증가시키는 단계를 더 포함하는 것을 특징으로 하는 방법.
  99. 제 98 항에 있어서, 상기 타겟 온도가 부분적으로 증가되는 동안 상기 RF 전력 레벨은 상기 기준 전력 레벨 아래의 적어도 정수씩 감소되는 것을 특징으로 하는 방법.
  100. 제 99 항에 있어서, 상기 RF 전력 레벨은 4-폴드로 줄어들고 상기 타겟 온도는 약 240℃로 증가되는 것을 특징으로 하는 방법.
KR1019970054308A 1996-10-23 1997-10-23 폴리머-경화프리커서의가열된소스를가진플라즈마반응기 KR100515122B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US8/734,797 1996-10-23
US08/734,797 1996-10-23
US08/734,797 US6024826A (en) 1996-05-13 1996-10-23 Plasma reactor with heated source of a polymer-hardening precursor material

Publications (2)

Publication Number Publication Date
KR19980033074A true KR19980033074A (ko) 1998-07-25
KR100515122B1 KR100515122B1 (ko) 2005-11-28

Family

ID=24953109

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970054308A KR100515122B1 (ko) 1996-10-23 1997-10-23 폴리머-경화프리커서의가열된소스를가진플라즈마반응기

Country Status (5)

Country Link
US (2) US6024826A (ko)
EP (1) EP0838842A3 (ko)
JP (1) JPH10150021A (ko)
KR (1) KR100515122B1 (ko)
TW (1) TW344848B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100852029B1 (ko) * 2001-05-18 2008-08-13 가부시키가이샤 알박 플라스마 공정 장치
US7429872B2 (en) 2005-01-18 2008-09-30 Samsung Electronics Co., Ltd. Logic circuit combining exclusive OR gate and exclusive NOR gate

Families Citing this family (76)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US20010054601A1 (en) * 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6189484B1 (en) 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
KR100751740B1 (ko) * 1999-11-15 2007-08-24 램 리써치 코포레이션 공정 시스템들을 위한 재료들과 기체 화학성분들
EP1230663A1 (en) * 1999-11-15 2002-08-14 LAM Research Corporation Temperature control system for plasma processing apparatus
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) * 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6458671B1 (en) * 2001-02-16 2002-10-01 Applied Materials Inc. Method of providing a shallow trench in a deep-trench device
US6554954B2 (en) 2001-04-03 2003-04-29 Applied Materials Inc. Conductive collar surrounding semiconductor workpiece in plasma chamber
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
WO2003029513A1 (en) * 2001-09-28 2003-04-10 Tokyo Electron Limited Hybrid plasma processing apparatus
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
EP1480250A1 (en) * 2003-05-22 2004-11-24 HELYSSEN S.à.r.l. A high density plasma reactor and RF-antenna therefor
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR100799175B1 (ko) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
US20080078326A1 (en) * 2006-09-29 2008-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-cleaning tool and semiconductor processing apparatus using the same
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
KR101559913B1 (ko) * 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
JP2011124293A (ja) * 2009-12-09 2011-06-23 Hitachi High-Technologies Corp プラズマ処理装置
JP5496630B2 (ja) * 2009-12-10 2014-05-21 東京エレクトロン株式会社 静電チャック装置
JP5870568B2 (ja) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9978565B2 (en) 2011-10-07 2018-05-22 Lam Research Corporation Systems for cooling RF heated chamber components
US9530656B2 (en) * 2011-10-07 2016-12-27 Lam Research Corporation Temperature control in RF chamber with heater and air amplifier
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
KR102102003B1 (ko) * 2012-05-25 2020-04-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 및 플라즈마 처리 방법
US9745663B2 (en) * 2012-07-20 2017-08-29 Applied Materials, Inc. Symmetrical inductively coupled plasma source with symmetrical flow chamber
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9928987B2 (en) * 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10249470B2 (en) * 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9184045B2 (en) 2013-02-08 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom-up PEALD process
CN107221487B (zh) 2013-03-15 2019-06-28 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
TWI623960B (zh) * 2013-03-27 2018-05-11 蘭姆研究公司 半導體製造設備及其處理方法
KR101559024B1 (ko) * 2014-03-27 2015-10-13 세메스 주식회사 기판 처리 장치
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JP7417569B2 (ja) * 2021-10-29 2024-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) * 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0849766A3 (en) * 1992-01-24 1998-10-14 Applied Materials, Inc. Etch process
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100852029B1 (ko) * 2001-05-18 2008-08-13 가부시키가이샤 알박 플라스마 공정 장치
US7429872B2 (en) 2005-01-18 2008-09-30 Samsung Electronics Co., Ltd. Logic circuit combining exclusive OR gate and exclusive NOR gate

Also Published As

Publication number Publication date
TW344848B (en) 1998-11-11
KR100515122B1 (ko) 2005-11-28
JPH10150021A (ja) 1998-06-02
EP0838842A2 (en) 1998-04-29
EP0838842A3 (en) 1999-01-13
US5990017A (en) 1999-11-23
US6024826A (en) 2000-02-15

Similar Documents

Publication Publication Date Title
KR100515122B1 (ko) 폴리머-경화프리커서의가열된소스를가진플라즈마반응기
US6440866B1 (en) Plasma reactor with heated source of a polymer-hardening precursor material
US6818140B2 (en) Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6736931B2 (en) Inductively coupled RF plasma reactor and plasma chamber enclosure structure therefor
US6365063B2 (en) Plasma reactor having a dual mode RF power application
KR100498585B1 (ko) 플라즈마반응기챔버내의반도체공작물을처리하기위한방법
US6054013A (en) Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6189484B1 (en) Plasma reactor having a helicon wave high density plasma source
US6589437B1 (en) Active species control with time-modulated plasma
US6454898B1 (en) Inductively coupled RF Plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6036878A (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
TWI584699B (zh) Plasma processing device and plasma processing method
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee