JPH10150021A - ポリマー硬化前駆物質材料ソースを有するプラズマリアクタ - Google Patents

ポリマー硬化前駆物質材料ソースを有するプラズマリアクタ

Info

Publication number
JPH10150021A
JPH10150021A JP9309301A JP30930197A JPH10150021A JP H10150021 A JPH10150021 A JP H10150021A JP 9309301 A JP9309301 A JP 9309301A JP 30930197 A JP30930197 A JP 30930197A JP H10150021 A JPH10150021 A JP H10150021A
Authority
JP
Japan
Prior art keywords
ceiling
chamber
plasma
plasma reactor
polymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9309301A
Other languages
English (en)
Inventor
Kenneth Collins
コリンズ ケネス
Michael Rice
ライス マイケル
David Groechel
グルーチェル デイヴィッド
Gerald Zheyao Yin
ゼヤオ イン ジェラルド
Jon Mohn
モーン ジョン
Craig Roderick
ロデリック クレイグ
Douglas Buchberger
ブクバーガー ダグラス
Chan-Lon Yang
ヤング チャン−ロン
Jerry Wong
ウォング ジェリー
Jeffrey Marks
マークス ジェフリー
Peter Keswick
ケスウィック ピーター
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10150021A publication Critical patent/JPH10150021A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/338Changing chemical properties of treated surfaces
    • H01J2237/3382Polymerising

Abstract

(57)【要約】 【課題】 シリコン酸化物対シリコンエッチング選択比
の所望の向上を達成する。 【解決手段】 ポリマー硬化前駆物質又はシリコン片は
リアクタチャンバ壁及び天井部又は分離した拡大可能な
敏速に取除き可能な片又はそのいずれかの統合部品であ
り、また加熱/冷却装置はシリコン片を伝導的に又は遠
隔的に加熱する装置を含むいずれかの適当な形式のもの
である。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ポリマー前駆ガス
等の選択エッチング促進前駆物質を用いたプロセスによ
り半導体ウエハ等のワークピースを処理するためのプラ
ズマリアクタに関する。
【0002】
【従来の技術】二酸化ケイ素層から下層の多結晶シリコ
ン導体層及び半導体ウエハのシリコン基板又はそのいず
れかへコンタクト開口をエッチングする高密度RFプラ
ズマリアクタは、コリンズ(Collins)他による
米国特許出願に開示されている。理想的には、このよう
なリアクタはコンタクト開口が形成されるべき箇所では
どこでも、オーバーレイ二酸化ケイ素層を急速にエッチ
ングするエッチングプロセスが実行されるが、プロセス
が高いシリコン酸化物対シリコンエッチング選択比を有
している場合には、下層の多結晶シリコン又はシリコン
材料(又は窒化シリコンなどの他の非酸素含有材料)が
露出されると、どのような場合でもそして直ちに停止す
る。このようなリアクタには代表的には、真空チャン
バ、真空チャンバ内のウエハ支持装置、真空チャンバへ
のプロセスガスフロー吸気口、プラズマソースパワーを
供給するRFパワーソースへ接続された真空チャンバに
隣接したプラズマソースコイル、及び通常、ウエハ支持
装置に接続されてプラズマバイアスパワーを供給する別
のRFパワーソースが含まれている。シリコン酸化物エ
ッチングプロセスでは、フッ素含有材料などの食刻剤エ
ッチャントを含むプロセスガスが真空チャンバに導入さ
れる。プロセスガス中のフッ素は、基準的な条件下で自
由に多量に分離し、下層の多結晶シリコン又はシリコン
材料がエッチングプロセスに曝されると直ぐに、エッチ
ングプロセスがエッチングされるべきコンタクト開口を
介してシリコン酸化物層を浸食するばかりでなく、下層
の多結晶シリコン又はシリコン材料も浸食する。このよ
うに、このようなリアクタによって実行される基準的な
エッチングプロセスは、要望される理想的なプロセスで
はなく、低いシリコン酸化物対シリコンエッチング選択
比を有している。本明細書において採用するように、術
語「エッチング選択比」とは、シリコン酸化物及びシリ
コン(本明細書において以降「多結晶シリコン」と称す
る結晶質シリコン又は多結晶質シリコンのいずれか)な
どの2つの異なる材料のエッチング速度の比である。低
エッチング選択比は、パンチスルーを生ずることがあ
る。下層シリコン基板への深いコンタクト開口を同時に
エッチングしながらの中間多結晶シリコンの浅いコンタ
クト開口のエッチングにおいては、エッチングプロセス
は、先ず中間シリコン層に到達しシリコン基板に達する
前に中間シリコン層を突抜ける。パンチスルーを防止す
るには、シリコン酸化物を介してシリコン基板と中間シ
リコン層との深さの比によって非常に高いシリコン酸化
物対シリコンエッチング選択比が必要とされる。例え
ば、(a)酸化物を通して基板までの深いコンタクト開
口が深さ1.0ミクロンで、50%オーバーエッチング
され、(b)中間シリコン層が深さ0.4ミクロン(シ
リコン酸化物層の頂部以下)、(c)中間シリコン層の
0.01ミクロン以下が除去され(パンチスルーを避け
るため)る場合には、シリコン酸化物対シリコンエッチ
ング選択比は少なくとも110:1が必要である。
【0003】シリコン酸化物対シリコンエッチング選択
比は、シリコン酸化物又は他の酸素含有層より容易にシ
リコン及び多結晶シリコン又は非酸素含有層を形成する
ポリマーフィルムによってに向上されることが知られて
いる。このような選択性向上フィルムを形成するため、
プロセスガスの酸素含有材料をフルオローカーボン又は
フルオローハイドロカーボンとする。プロセスガスのフ
ッ素のあるものは、ウエハ上で二酸化ケイ素層を化学的
にエッチングすると考えられる。フッ素の別の部分は、
プロセスガスに含まれウエハ表面にポリマーを形成する
炭素を含む他の種と反応する。このポリマーは、二酸化
ケイ素(又は他の酸素含有表面)上でよりもいずれかの
露出シリコン及び多結晶シリコン表面(又は他の非酸素
含有表面)上でより急速強固に形成され、このように、
シリコン及び多結晶シリコンを食刻剤エッチャントから
保護しエッチング選択比を向上する。エッチング選択比
は、多結晶シリコン表面に形成されたポリマーの強度を
改善することにより更に向上される。ポリマーは、フッ
素に対してポリマーの炭素の割合を増加することにより
強化され、プラズマ中の自由フッ素量が増加することに
より達成される。この目的のため、シリコン片などのフ
ッ素スカベンジャ(fluorine scavenger)をリアクタチャ
ンバに設けて加熱することにより、ポリマーで覆われる
のを防止し、更に、シリコンイオン、ラジカル及び中性
種をリアクタチャンバから除去でき、またプラズマ中に
取り込ませることができる。フッ素スカベンジャから除
去されたシリコン原子は、プラズマ中の自由フッ素(フ
リーな弗素)と結合することにより、重合に有用なフッ
素量を低減させウエハに形成されたポリマーの炭素の割
合を増加する。
【0004】
【発明が解決しようとする課題】リアクタチャンバ内部
の加熱シリコン片などのフッ素スカベンジャの使用でウ
エハに形成されたポリマーを強化することによりエッチ
ング選択比を向上する一方、そのように向上したエッチ
ング選択比でも非常に異なる深さのコンタクトホールの
同時エッチングなどの特殊なアプリケーションに対して
比較的適合できない。従って、上記した改善スカベンジ
ャ技術によって達成された強度を越えてポリマー強度を
増加することが望まれる。
【0005】別の問題は、ポリマー強度におけるかなり
の増加を達成するのに必要なスカベンジャ片からのシリ
コンの除去速度が非常に急速で、スカベンジャ片の交換
の必要性によって生産性の損失と増加コストの代償が求
められることである。代表的には、スカベンジャ片はリ
アクタチャンバ天井部又は壁のシリコン片又はリアクタ
チャンバ天井部の近くのシリコン片である。リアクタチ
ャンバ天井部からのシリコン除去速度は、シリコン片の
温度が注意深く制御されシリコン片へのポリマーの堆積
を防止してリアクタチャンバ天井部からのシリコン除去
速度を制御しながら、シリコン片へRFバイアス電位を
加えることにより向上される。上記参照の米国特許出願
第08/543、067号に記載されてるように、シリ
コンは加えられたRFバイアスとスカベンジャ片の加熱
の組合せによってプラズマの中に加えられる。温度制御
装置は、シリコン片と共に統合してあるので、シリコン
片の交換(例えば、シリコン天井)は比較的高価であ
る。上記に参照した米国特許出願第08/597、57
7号では全シリコンリアクタチャンバが開示されてお
り、リアクタチャンバはその天井及び壁がシリコンであ
り、フッ素スカベンジャがシリコン天井又は壁を消費
し、付随する運転コストの増加及び生産性の低下を伴う
周期的間隔でリアクタチャンバの交換が必要とされてい
る。
【0006】このように、ポリマー強度を増加すること
が望ましいばかりでなく、シリコンがスカベンジャから
除去され所要のエッチング選択比を達成しなければなら
ない、その除去速度を減少させることも望ましい。
【0007】
【課題を解決するための手段】フッ素を単に掃出(スカ
ベンジ)するのに必要とされる温度以上に−−即ち、よ
り高い温度範囲に、リアクタチャンバ内部のシリコンな
どのポリマー硬化前駆物質材料の温度を上昇することに
より、異ったより耐性のあるポリマーが露出されたシリ
コン及び多結晶シリコン表面を覆って形成され、ポリマ
ーは、従来、単にフッ素を掃出することにより可能だっ
た以上にエッチングに対する抵抗を有することは本発明
の発見である。この点において、語「ポリマー硬化前駆
物質」は前駆物質温度が上昇した場合、温度上昇に従っ
て、ウエハに形成されるポリマーのエッチングに対する
抵抗を増大するリアクタチャンバの材料を指している。
前駆物質を高温範囲に保持することにより形成されるポ
リマーは、他の方法で形成されたポリマーよりも耐性が
ありシリコン酸化物対シリコンエッチング選択比が従来
達成されていたもの以上に向上され、シリコン及び多結
晶シリコン表面を保護する。加熱ポリマー硬化前駆物質
(例えば、シリコン)片からの材料は、上昇温度の関数
として炭素対フッ素、水素対フッ素及び炭素対水素のプ
ロセスガス含有比率を変更することによって重合プロセ
スは都合良行われ、その結果、生ずるポリマーはかなり
強化されたものとなる。リアクタチャンバのポリマー硬
化前駆物質は、重合温度(ポリマー硬化前駆物質が表面
に凝縮できる以上の温度)に、より高温範囲に加熱され
るので、エッチング選択比は温度上昇と共に高くなる。
このように、本発明の概略の方法は、フルオロカーボン
又はフルオロハイドロカーボンを用いてエッチングプロ
セス中にポリマー硬化前駆物質片(シリコン、炭素、炭
化ケイ素、窒化シリコン、しかしシリコンが好ましい)
をリアクタチャンバ内に供給してポリマー硬化前駆物質
片を重合温度以上に十分加熱して(即ち、より高温範
囲)従来達成されていた選択比以上の所要のシリコン酸
化物対シリコンエッチング選択比を達成するというもの
である。
【0008】本出願において特許請求された実施例で
は、ワークピース(被加工物)表面の端から端にわたる
プロセス均一性は、リアクタチャンバ内のプラズマイオ
ン密度の半径方向分布を調整することにより最適化でき
る。本発明の次の方法のいずれか一方法によって、又は
いくつかの方法、又はすべて方法によって達成できる。
先ず、頭上式誘導アンテナが、分離され半径方向に配置
されたアンテナ部分に分割され、各部分のRFパワーレ
ベルは他の部分に関連して変更される。第2に、頭上式
天井部の温度の半径方向分布が調整される。第3に、リ
アクタチャンバの異なった半径方法位置にある吸気口の
ガス流量が、他の吸気口のガス流量に関連して変更され
る。
【0009】
【発明の実施の形態】以下、図中において同様の要素に
は同一の符号を付し、重複する説明を省略する。第1図
において、上記に参照した同時係属出願の米国特許出願
第08/580、026号に円筒形側壁12、平坦天井
部14、半導体ウエハなど処理されるワークピース17
を支持するウエハ支持台16、円筒形側壁12の周囲を
捲いている誘導側部コイル18及び天井部14、台1
6、誘導側部コイル18にそれぞれ接続された独立した
RFパワーソース20、22、24を有するプラズマリ
アクタチャンバ10が開示されている。特に、コリンズ
(Collis)他による上記参照出願には、天井部1
4はフッ素スカベンジャを供給するためシリコンにより
構成できることが開示されている。この目的のため、R
Fパワーが、RFパワーソース20によりシリコン天井
部14へ供給され天井部14からのシリコン除去性能を
向上させる。なお、図中にポンプを PUMP と表記してい
る(図2、3、4A、6、7においても同様に表記)。
【0010】第2図において、ライス(Rice)他に
よる出願には、側壁12がクオーツであり、クオーツ側
壁12の温度及びシリコン天井部14の温度を制御する
温度制御装置を設けことが開示されている。温度制御装
置には、クオーツ側壁12に結合された冷却源30(図
中に COLD と指示)及び熱源32(HOT)及びシリコン天井
部14へ接続された冷却源34(COLD)及び熱源36(HO
T)が含まれている。それぞれ側壁12及び天井部14へ
結合された温度センサー38及び40は、コントローラ
42(CONTROLLER)及び44(CONTROLLER)により監視され
る。コントローラ44が、シリコン天井部の冷却源34
及び熱源36を制御すると同時に、コントローラ42
は、クオーツ側壁12の冷却源30及び熱源32を制御
する。シリコン天井部14の温度を制御する目的は、少
なくとも、天井部14はスカベンジャシリコンをプラズ
マへ与えるのを防止するものであるが、天井部14への
ポリマーの蓄積を幾分防止することである。従って、コ
ントローラ44はポリマー凝縮(又は重合)温度より幾
分高い温度に、又は処理条件によって約170℃以上に
天井部温度を維持する。同時に、十分な速度でプラズマ
によって天井部14からのシリコンの除去を促進するた
め、RFパワーソース20によりシリコン天井部へ十分
なRFパワーを供給しウエハに形成されるポリマーの炭
素含有率を多くするため所要フッ素量を掃出してエッチ
ング速度を向上する。事実、温度の上昇とRFバイアス
の供給との組合せで、プラズマと天井部14間の相互作
用によってポリマーの堆積が生ずる以下の、その相互作
用が天井部14のエッチングを生ずる以上のしきい値エ
ネルギーが得られる。
【0011】第3図において、ケニス(Kenneth
S Collins)による上記参照した同時係属出
願第08/597、577号には、天井部14及び側壁
12がシリコンなどの半導体であることが、天井部14
及び側壁12が窓として作用してそれら自体を介してプ
ラズマへRFソースパワーを誘導結合させることが開示
されている。このため、側部コイル18と頭上式導体5
0のいずれか又は両方が採用されてよく、シリコン側壁
12及びシリコン天井部14からプラズマへRFソース
パワーを結合してもよい。平面頭上式コイル及びその種
のものが開示されている米国出願特許第08/597、
577号は、本発明を実行するのに適当である。しかし
ながら、第3図の実施例の頭上式導体50には内部及び
外部ソレノイド50a及び50b(「頭上式ソレノイド
アンテナを有する誘導結合RFプラズマリアクタ」と題
する、ケニス(Kenneth S Collins)
他による本願と同時出願の米国特許出願に開示された形
式の)が、独立したRFパワーソース52a及び52b
によりそれぞれパワーを供給されてプロセスの均一な制
御を容易にしている。更に、天井部14及び側壁12の
両者は、分離電極として採用され、その結果、分離RF
パワーソース54によりシリコン側壁12へRFパワー
が供給される。十分なRFパワーが、シリコン天井部1
4及びシリコン側壁12のいずれか又は両方に供給され
てフッ素を掃出するためシリコン天井部14及びシリコ
ン側壁12からシリコンの除去が促進される。側壁12
及び天井部14は、ポリマー凝縮温度以上に維持される
のが好ましく、側壁12及び天井部14がシリコンスカ
ベンジャ前駆物質としての使用が可能となり、頻繁なチ
ャンバのクリーニング作業の通常の要求を回避したう
え、ポリマー及びこれに伴った汚染堆積物を除去する。
なお、図3、図6及び図7においてガス源を GASと表記
した。
【0012】
【実施例】本発明の第1の実施例は1通りのみのプロセ
スで、このプロセスでは炭素−フッ素ポリマーの炭素含
有率を多くするばかりでなく、保護されるべき下層のシ
リコン、多結晶シリコン又は同種の非酸素含有表面に強
固に接着する異なる種類のポリマーが実際に形成され
る。その結果、エッチング選択比における画期的な向上
がをもたらされる。シリコン、炭化ケイ素、グラファイ
ト、窒化シリコンを含む種類のある一定の材料が、高温
範囲まで上昇した場合(例えば、ポリマー凝縮温度以上
十分に)、ある一定の種類の材料がポリマーの化学構造
を変化させ、エッチングに対して従来技術で得られたも
のよりはるかに抵抗性のあるポリマーを生ずるポリマー
硬化前駆物質になる、いう事実は本発明の発見である。
プロセスは、リアクタチャンバ内部のポリマー硬化前駆
物質材料の温度を高温範囲(例えば、その電位がフロー
テイングであり、如何なる場合でも適用可能なポリマー
凝縮温度以上にあるシリコン前駆物質材料に対して18
0℃から220℃)に維持して実行される。この高温範
囲は、ポリマー硬化前駆物質材料にRFバイアス電位を
加え又は材料自体の選択で大きく変化する。
【0013】本発明の第2の実施例では、ポリマー硬化
前駆物質材料は最高温度に保持され、一様なより高いポ
リマー硬度が達成される。フローテイング電位でのシリ
コン前駆物質の最高温度範囲は、220℃以上であり、
約300℃から約700℃の範囲にあることが好まし
い。この高温範囲は、ポリマー硬化前駆物質材料に加え
られたRFバイアスで大きく変化する。本明細書におい
て、如何なる特殊な理論も利用する必要がないと同時
に、すべての方法を必要とする場合以外は、ポリマー硬
化前駆物質材料、フッ素、炭素及び水素原子が重合する
につれて、フッ素、炭素及び水素原子(フルオロハイド
ロカーボンガスが使用されると仮定して)とのプラズマ
結合によってその最高温度範囲で、側壁及び天井部から
ポリマー硬化前駆物質(例えば、シリコン)が除去さ
れ、このように付加されたポリマー硬化前駆物質材料
(例えば、シリコン)はエッチングに対して最適な抵抗
を有する異なる種類のポリマーを生成することが究明さ
れる。場合によっては、この第2の実施例でこのように
生成されたポリマーは、光沢表面で区別される。
【0014】より高温範囲で、加熱ポリマー硬化前駆物
質材料(例えば、本例のシリコン天井部14)は、
(1)プラズマへのフッ素掃出によりプラズマ中の自由
フッ素を低減させ、(2)プラズマ中のフッ素及び水素
への炭素の相対濃度を変化させ、(3)ウエハ表面近傍
のプラズマ中の食刻剤エッチャント種及びポリマー前駆
物質種の相対濃度を変化させる。最高温度範囲で、加熱
ポリマー硬化前駆物質材料は上記(1)から(3)を行
い、(4)はポリマー硬化前駆物質(シリコン)材料を
ポリマーに与えて、従来技術で達成されてきたもの以上
の、エッチングに対する抵抗を有するポリマーを生成す
る。
【0015】例えば、シリコン対シリコン酸化物エッチ
ング選択比におけるのと同様の向上も達成されるが(本
明細書において後に記載されるように)、本発明によっ
て達成されるフォトレジスト選択比に本発明の効力が見
出される。ポリマー硬化前駆物質材料(シリコン)が3
00℃まで加熱されると、フォトレジスト切子面又はフ
ォトレジストによって被覆された形状の隅部でスパッタ
リング効果が観測され、フォトレジストに対する酸化物
のエッチング選択比(「フォトレジスト選択比」)はた
だの3:1である。ポリマー硬化前駆物質材料が更に約
430℃まで加熱されると、フォトレジスト選択比は
5:1へ飛躍し、かなりの向上になる。ポリマー硬化前
駆物質材料が更に約560℃にまで加熱されると、フォ
トレジスト選択比は6:1へ増大する。
【0016】第1図、第2図及び第3図のリアクタチャ
ンバの本発明の実行は、ポリマー硬化前駆物質材料とし
てシリコン天井部14又はシリコン側壁又はスカート1
2を採用し、第1図、第2図及び第3図(及び第3図の
シリコン側壁12又はそのいずれか)のシリコン天井部
14の温度を必要な温度まで上昇することによって達成
できる。シリコン天井部14(及びシリコン側壁12又
はそのいずれか)は、本発明のより高温範囲で加熱され
るとポリマー硬化前駆物質となる。
【0017】シリコン天井部14(又はシリコン側壁1
2)をフッ素スカベンジャ前駆物質として使用する1つ
の問題は、少なくともシリコン天井部14(又はシリコ
ン側壁12)へ結合されたRFパワー量によって幾分決
まった速度で、従って、より頻繁な間隔で置換しなけれ
ばならないことであると考えられる。(RFパワーは、
スカベンジャ前駆物質へRFパワー発振器から直接か又
はシリコン天井部14へ供給されるRFパワーを有する
他のチャンバ表面から容量結合によって間接的に結合さ
れる)。天井部14(及びシリコン側壁12又はそのい
ずれか)は、上記に説明された温度制御装置と共に統合
されているので、シリコン天井部14の交換には、温度
制御装置へ接続可能な新しいシリコン天井部14を取得
するための費用と、シリコン天井部14を取外して置換
するのに要する労力量とのため生産性の損失が伴う。本
発明のプロセスは更に、シリコン天井部(及びシリコン
側壁又はそのいずれか)温度を更に上昇させてRFバイ
アスパワーの低減を補償すると同時に、シリコン天井部
14へのRFパワーソース20から供給されるRFバイ
アスを低減する方法(又は第3図のシリコン側壁へのR
Fパワーソース22により供給されるRFパワーを低減
すること)をとっているため、本発明はこの問題を解決
する。この後者の特徴の利点は、天井部14の温度が、
約200℃から240℃へ緩やかに上昇すると共に、シ
リコンがシリコン天井部14(及び側壁12又はそのい
ずれか)から除去される速度が、天井部への供給RFパ
ワーを低減させるため、減少することである。ある例で
は、シリコン天井部14へのRFパワーソース20によ
り供給されるRFパワーは4倍低減される。このよう
に、本発明は(a)エッチングに対するポリマーの抵抗
の画期的な向上及び(b)天井部又は側壁のシリコン材
料の低減消費率の二重の利点を提供する。シリコン消費
率が低減され、生産性における運転費用が低減された、
また損失が低減されると同時に、ポリマー耐久性は向上
し、エッチング選択比は増大する結果を生ずる。
【0018】本発明はポリマー硬化前駆物質片(例え
ば、シリコン天井部)の消費率における低減を可能にす
るけれども、少なくとも本発明のポリマー硬化プロセス
によるポリマー硬化前駆物質片の必要な温度を維持する
温度制御装置との統合のため、その置換はそれでも高価
であり時間がかかる。しかしながら、本発明は分離した
安価に作られた敏速な交換ができるポリマー硬化前駆物
質片を用いて実行されるのが好ましく、チャンバ側壁又
はチャンバ天井部などのリアクタチャンバの統合部品の
いずれの消費も回避する。このような交換可能なポリマ
ー硬化前駆物質片は、いずれかの適当な容易に作れる形
状で(例えば、平面状リング形、平面状リング形、立体
状リング形、円筒形、平面、など)、リアクタチャンバ
内のいずれかの適当な位置に配置できる。しかしなが
ら、第4A図の実施例では、伸長性のあるポリマー硬化
前駆物質片がウエハ台16の周辺部分を包囲するポリマ
ー硬化前駆物質材料(シリコンなど)の薄い平面環状輪
形リング60になっている。リング60はリアクタチャ
ンバ内のいずれかの適当な平面に置くことができると同
時に、通常のウエハ転送機構によってウエハへの接近を
可能にするため、シリコンリング60はウエハ17の平
面の僅か下方又は近くに置かれ、ウエハ台16に保持さ
れる。
【0019】ポリマー硬化前駆物質リング60を温度制
御装置に統合するか又は機械的に直接結合するか、いず
れかの必要性を除くため、直接伝導(例えば、輻射加熱
又は誘導加熱)以外の他の方法による加熱を採用するの
が好ましい。タングステンハロゲンランプ又は放電ラン
プなどの輻射加熱源が使用されてもよい。例えば、輻射
又は誘導加熱源は透過窓によってリング60から分離さ
れた内部にある平面状環状リング60から分離されるか
又は、加熱源が外部にある。第4A図の実施例では、少
なくとも誘導結合の目的のためほぼ透明なクオーツなど
の材料の窓64によりポリマー硬化前駆物質リング60
から分離された誘導コイル62を構成する外部誘導加熱
体が採用される。最も効率的な誘導加熱を提供するた
め、ポリマー硬化前駆物質リング60が十分低い固有抵
抗、例えば、0.01Ω−cmの桁のシリコンから形成
される。次にリング60のシリコンタイプの固有抵抗を
選択する方法を示す。(a)リング60の厚さTは、構
造上の機構目的に対して約0.6cm(0.25イン
チ)でなければならない。(b)誘導加熱体コイル62
は、1.8MHzの周波数で作動される(c)RFの表
皮深さδ=ΓT(例えば、Γ=1)が、最適吸収効率に
は望まく、また(d)シリコンリング60は磁気透磁率
μを有している場合、シリコンリング60の最大固有抵
抗はρ=δ2・π・f・μによって与えられ、前記の例
では、0.029Ω−cmである。本発明では、0.0
1Ω−cmのシリコンを使用して実施されている。シリ
コンなどの半導体の場合は、最小固有抵抗に達しない危
険はなく、この場合最小固有抵抗の計算はここでは挙げ
ない。
【0020】第4A図の実施例に対応する作動例では、
チャンバ圧力を50mTorr、天井部温度を200
℃、側壁温度を220℃に保ちながら、2.0MHz、
4000ワットのソースパワーを誘導コイル18へ供給
し、11.8MHz、1400ワットのバイアスパワー
をウエハ台16へ供給し、CHF3及びCO2のプロセ
スガスを、120SCCM及び46SCCMの流量でリ
アクタチャンバにそれぞれ導入した。ポリマー硬化前駆
物質リング60は結晶性シリコンで、240℃と500
℃の間の温度範囲に到達した。シリコン上及びウエハ1
7の多結晶シリコン表面上へ堆積したポリマーは、本発
明のプロセスによって硬化されたポリマーは、そのより
光沢のある見え方で特性が表わされた。
【0021】この作動例は、処理された半導体ウエハ1
7を有するフォトレジスト層17dを有しており、フォ
トレジスト層17dは第4B図に示す、シリコン基板1
7a、二酸化ケイ素層17b及び多結晶シリコン導体ラ
イン17cから構成される多層導体構造を有し、エッチ
ングプロセスは二酸化ケイ素層層17bを介して多結晶
シリコン導体17c及び基板17aへ刻み落とされたマ
スク開口17e、17f及び17hが形成されされてい
た。非常に高いシリコン酸化物対シリコンエッチング選
択比は、シリコン酸化物を介したシリコン基板と中間多
結晶シリコン層の深さ間の比によってパンチスルーを防
止する必要ある。シリコン酸化物から基板までの深いコ
ンタクト開口17hが、深さ1.0ミクロンで、50%
オーバーエッチングされる場合は、多結晶シリコン層へ
の中間コンタクト開口17gは深さ0.4ミクロン、除
去されるのは中間多結晶シリコン導体層17cの0.0
1ミクロン以下であり(パンチスルーを回避するた
め)、その場合少なくとも110:1のシリコン酸化物
対シリコンエッチング選択比が必要とされる。
【0022】ポリマー硬化前駆物質リング60の温度
を、次のウエハの処理以上に上昇させることにより、シ
リコン酸化物対シリコンエッチング選択比が概して、温
度と共に第5A図のグラフに示すように上昇することが
見い出された。第5A図では、縦軸にエッチング選択比
(ETCH SELECTIVITY)、横軸に温度(TEMPERATURE)をと
る。第5B図では、縦軸はポリマーエッチレイト(エッ
チング速度)(POLY ETCH RATE)を、横軸は左と右の距離
を(DISTANCE, LEFT-TO-RIGHT)をとる。第5A図の点A
及び点Bの2つのデータは、第5B図の曲線A及びBに
対応しており、240℃及び500℃の温度で多結晶シ
リコンのエッチング速度の半径方向分布をオングストロ
ーム/分でそれぞれ示したものである。第5A図の点A
及び点Bの2つのデータは、240℃で30:1及び5
00℃で150:1のエッチング選択比として両温度で
観測された9000オングストローム/分のシリコン酸
化物エッチング速度から計算された。このように、温度
を500℃まで上昇させると、第4B図の上記の作動例
で必要とされた110:1の最低選択比を十分上回る選
択比が提供される。
【0023】第4A図の実施例で、ポリマー硬化前駆物
質リング60の温度はシリコンリング60には取付けな
い温度検知装置66により検知される。誘導体62を介
した電流又はパワーの流れを制御するコントローラ68
(CONTROLLER)は、ポリマー硬化前駆物質リング60の温
度を所望温度に保つため温度検知装置66の出力を監視
する。温度検知装置66は特定波長範囲内でリング60
からの輻射に応答する輻射温度センサーが好ましい。こ
のような放射温度検知装置は、光学的パルスエキサイチ
ング放射に応答する熱輻射プローブ或いは蛍光プローブ
に反応する光高温計である。この目的のため、窓64
は、少なくともセンサー66の波長範囲で十分に透過
し、リング60の温度制御を可能にするのに適合する光
信号対雑音比の材料とする。更に、窓64(動作温度の
波長範囲を越えた)の材料は、センサー66の波長範囲
内で強く(シリコンリング60からの輻射に対して)熱
放射を行わず、そのため、シリコンリング温度の測定と
干渉しないように、窓64の輻射がセンサー66に対し
て実用上不可視であることが好ましい。
【0024】ポリマー硬化前駆物質リング60がシリコ
ンである場合、リング温度の光高温計による測定の困難
さは、シリコンの熱放射率が温度と共に変化することで
ある。(それは、ここで述べるシリコン放射率の温度依
存性であるが、シリコンの放射率はまた、波長及びドー
ピングレベルと共に変化を起こす。)この問題に対する
1つの解答は、窒化シリコンなどの黒体又は灰色体放射
材料の小片70をリング60へ結合することである。光
ファイバ72(破線で表示された)が、センサー66の
検知部分74に面する一端72a及びリング60に結合
された灰色体放射片70に面する他端72bとに配置さ
れることが好ましい。(黒体又は灰色体放射材料が付加
されない場合、低温(例えば、室温)でシリコンリング
60から放射される長波長放射は、通常クオーツの光フ
ァイバ材料の代りにサファイヤ又はセレン化亜鉛などの
長波長材料の光ファイバ72によって搬送される。)セ
ンサー66による温度測定は、プラズマからのバックグ
ランド輻射によって品位が劣化することがあるので、穴
ぐり60aをリング60に設け光ファイバ端72bをバ
ックグランド輻射から(例えば、加熱チャンバ表面及び
プラズマ自体から)光ファイバ72とリング60間のい
ずれかのコンタクトを使用することなく遮蔽することが
好ましい。更に、穴ぐり60aを設けプラズマ又はバッ
クグランド輻射から光ファイバ端72bを遮蔽する代わ
りに、センサー66の波長が選択されプラズマ放射帯域
(4ミクロンから8ミクロン)から外すことができる。
光ファイバ72は、採用に際して灰色体放射片70を備
えても又は備えなくてもよい。光ファイバ72がリング
60から温度センサー66へ放射を通過させると同時
に、窓64はリング60へ熱を通過させる。
【0025】シリコンから直接温度測定が行われる場合
には(即ち、灰色体放射片70を介入することなく)、
シリコンの放射波長で高い透過率を有する光ファイバ7
2に対して、サファイヤなどの材料を使用し不透明遮蔽
体で光ファイバ72を遮蔽するのが好ましい。また、温
度と共に変化するシリコンの放射率の問題は、第4C図
に示すように、穴ぐり60aと共に記される、高アスペ
クト比(例えば、5:1)を備えた比較的深く狭い穴6
0bを設けることにより改良されており、それは光ファ
イバ72が穴ぐり60aの中に沈み込み背景光雑音が光
ファイバ72の残り部分を包囲するファイバ端部及び不
透明遮蔽体72cに入射するのを防止する。このような
深い穴は、シリコンリング60で軸方向に延びるが、シ
リコンリング60の円周縁から半径方法に延びるのが好
ましい。これを実施することで、加熱体ランプから又は
プラズマ自体からの光放射成分はは垂直方向にはないた
め、光ファイバ72に入射できず温度測定に干渉しな
い。
【0026】リング温度が、光ファイバ72を使用せず
窓64を介して検知される場合、シリコンリング60の
温度測定の別の困難は、200℃以下での熱放射尖頭
(ピーク)波長は、窓64に使用されるクオーツのよう
な典型的な材料の光通過帯域の外の長波長側へ大きくず
れてしまうことである。シリコンの熱放射尖頭波長が4
00℃で4ミクロンから室温での10ミクロンまで変化
するのに対し、クオーツは代表的には、約300nm
(ナノメータ)と3ミクロン間で透明である。シリコン
は約200℃以下では、このように、クオーツの光通過
帯域内では明らかに放射しないので、シリコンリング温
度を直接測定できる範囲は制限される。第4D図に示す
1つの解答は、クオーツ窓64内に室温までのより低い
温度でシリコンから放射された長い波長に対して透明な
材料そのものである小孔64aを採用することである。
【0027】小孔64aはサファイヤ又はセレン化亜鉛
である。放射温度センサー66は、小孔64aを通過し
たより長い波長で敏感になるように選択される。あるい
は、窓64内の小さな長波長孔64aの代わりに、窓6
4の外側に第4E図に示された分離した長波長孔65が
採用されてよく、サファイヤ又はセレン化亜鉛製であっ
てよい。長波長孔65は、その同等物、サファイヤ光フ
ァイバーなどの光ファイバ72の長波長孔タイプで置換
される。
【0028】センサー66が蛍光光学プローブである場
合、リング60の熱放射率には影響されない。この場
合、蛍光物質又はその粉体が光ファイバ端72bに整合
したリング60の小範囲の表面に浸けられる。光パルス
が周期的に他のファイバー端72aに加えられ、蛍光物
質(リング60の)から生じた光パルスエキサイチング
放射が、光ファイバ端72bからファイバー端72aへ
移動しセンサー66によって分析されリング温度を決定
する。リング60の穴ぐりは、光ファイバ端72bをバ
ックグランド輻射から遮蔽する。
【0029】リング60を輻射冷却するため、窓64は
通常の方法、例えば、ポリマー硬化前駆物質リング60
の輻射冷却用冷却シンクを設けることで冷却される。こ
の場合、ポリマー硬化前駆物質リング60が冷却される
速度は [Tring]4-[Twindow]4 の関数で
あり、ここでTring及びTwindow はそれぞ
れポリマー硬化前駆物質リング60及び冷却窓64の絶
対温度(ケルビン)である。リング60の効率的な輻射
冷却は、シリコンリング60と窓64間の200℃の温
度差を保つことにより達成され、リング60が300℃
と700℃の好ましい温度範囲内に保たれれば、窓64
に接触させた通常の液体又は気体冷却装置67(COLD)に
より容易に達成される。ただし、リングは多数の通常の
技術のいずれかの一方法をその代わりに使用して冷却さ
れる。例えば、ウエハは代表的な冷却方法で冷却されて
よい。
【0030】リング60が通常の冷却板により伝導的に
冷却されるか又は窓64により輻射冷却されるどうか
は、加熱源(タングステンハロゲンランプなどの)を設
ける場合に関連はない。代わりに、プラズマ自身による
加熱は、伝導又は輻射冷却と共に、リング60を十分加
熱するには十分過ぎるものであり、リング60を安定な
温度範囲内に温度制御で維持する。このように、別の実
施例では、加熱源は設けない。
【0031】第6図の実施例では、誘導加熱コイル62
は、クオーツ窓64の光透過帯域内(クオーツ窓64の
加熱を避けるため)及びポリマー硬化前駆物質リング6
0の吸収帯域内の波長の電磁輻射を放射するタングステ
ンハロゲンランプ又は放電ランプなどの輻射加熱体80
に置換えられている。輻射加熱体80からの放射波長
は、光高温計66によって行なわれる温度測定との干渉
を避けるため、ポリマー硬化前駆物質リング60からの
放射波長とは異なることが好ましい。ただし、光ファイ
バ72が穴ぐり60aの頂部に下がって延びる不透明遮
蔽体72cによって完全に遮蔽される場合、輻射加熱体
放射は温度測定と干渉せず、従って、光ファイバは必要
とされず、この場合、輻射加熱体放射波長は(シリコ
ン)リング60の放射波長とは異なる。事実、光ファイ
バの出力端に取付けられる市場で入手可能な多数の検出
器がシリコンの短い放射波長範囲(1−2ミクロン)近
くでより安定であるため、これは利点となる。この場
合、温度測定はより短波長で行われ、その結果、長波長
部分64a又は65、又は長波長光ファイバー(例え
ば、サファイヤ)は必要とされない。
【0032】最適輻射加熱体及び輻射温度検知の要件を
まとめると、(a)窓64の材料は輻射加熱源80の波
長で高透過性であり、窓64自体又は窓の小さな指定部
分又は窓を介した光ファイバーのいずれかは、温度セン
サー66が(その波長に対して)敏感である波長で高透
過性になるが、しかしその波長でそれ自体高い輻射性で
はなく、(b)ポリマー硬化前駆物質リング60が輻射
加熱体80の波長で高い吸収性であり、センサー66が
反応する波長でリング60自体又はその内に又はその上
に埋め込まれる材料のいずれかが輻射性であり、(c)
輻射加熱体80の波長が、センサー66が敏感であるが
ポリマー前駆物質リング60の吸収スペクトル内にあり
窓64の吸収スペクトルの外側になる、波長とは一致し
ない。
【0033】前記要件は各種方法で、例えば、ポリマー
硬化前駆物質リング60の材料を先ず特定し、次に、窓
64と互換性のある材料を選択し、最後に予測プロセス
により輻射加熱及びセンサー66の波長を選択する、又
はセンサー66及び輻射加熱体の波長を他に特定し、次
に、予測プロセスにより材料を選択することにより満足
される。前記要件は、所要の温度測定及び温度制御精度
の感度に応じてある程度緩められる。
【0034】輻射冷却が必要な場合、別の要件が窓64
に課せられる。即ち、(a)センサー66がリング60
を見る窓64の部分において、窓64が加熱リング60
によって放射される輻射の波長に対して少なくともほぼ
透明である(前述したように)と同時に、(b)リング
60を見るセンサー66によって窓64の使用されない
他の部分において、リングからの熱を吸収して輻射冷却
を行うため、窓64が加熱されたポリマー硬化前駆物質
リング60の輻射波長を含む吸収スペクトルを有する。
【0035】窓64の材料に関する多数同時の制約を低
減する1つの方法は、窓64がリング60に対する熱及
びセンサー66に対するリングの熱放射の両方を通過さ
せるのを要求しないことである。これは、いずれの場合
も、窓64の機能を低減し熱源のみから輻射を透過する
が、長波長小孔64a(セレン化亜鉛又はサファイヤ)
を採用し又はセンサー66により専用に使用するための
光ファイバ72を採用することにより達成できる。この
場合、輻射冷却が必要であれば、リング60からの放射
を吸収するという窓についての他の制約だけが存在す
る。この制約は、輻射加熱源80の放射波長はできるだ
け長く、リング放射が異なる波長であるということであ
る。更に、センサー66は輻射加熱源80によって放射
された波長には敏感ではないことが好ましい。
【0036】1つの作動例では、ポリマー硬化前駆物質
リング60は0.01Ω−cmの固有抵抗、0.3と
0.7間の平均放射率を有する結晶性シリコン、窓64
は300nm(ナノメータ)と3ミクロン間の光通過帯
域を有するクオーツ、センサー66は光ファイバーを通
して4−10ミクロンの波長範囲の輻射を送出する光高
温計であり、及び輻射加熱体80は0.9−1.0ミク
ロンの尖頭パワー放射波長範囲を有する3000°kの
タングステンハロゲンランプであった。なお、可視又は
不可視波長範囲いずれかの光高温計が本発明を実行する
のに採用される。
【0037】次に、第7図に示される好ましい実施例に
おいて、シリコンの伸長性のあるポリマー硬化前駆物質
リング60が第3図の全半導体(シリコン)リアクタチ
ャンバに加えられ、誘導加熱体又は輻射加熱体のいずれ
かである加熱装置90によって加熱される。更に、任意
で、別のRFバイアス源400(RF SOURCE)がリング6
0の加熱源と共に、リング60へ接続され、リング60
をプラズマと反応するように保ちそこからポリマー硬化
前駆物質材料をプラズマの中に供給できる。シリコン窓
電極(例えば、シリコン側壁12又はシリコン天井部1
4)が、シリコンをポリマー化学作用に供給されず、そ
れによってポリマー硬化前駆物質が加熱されなければな
らない高温(例えば、200℃以上から700℃)まで
加熱される必要がなくなることは、利点である。また、
シリコン側壁12及びシリコン天井部14に供給された
RFバイアスパワーは、シリコン側壁12及びシリコン
天井部14のシリコン材料を消費するほど高温を必要と
しない。事実、壁及び天井部へバイアスを加えないこと
が好ましい。シリコン天井部14及びシリコン側壁12
の表面を比較的ポリマーが蓄積しないように保ち頻繁な
チャンバクリーニングをさけながら、シリコン天井部1
4及びシリコン側壁12の温度及びそれらに加えられる
RFパワーを選択して、エッチング、スパッタリング又
はそれらシリコンのイオン衝突(ボンバードメント)に
よるシリコンの消費を最小にすることが好ましい。これ
を達成する最良のモードには、本明細書において初期に
参照した軽質の堆積モードがある。2つの微細プラズマ
エッチング/ポリマー堆積プロセス中実行される軽堆積
モードでは、シリコン側壁温度は100℃−150℃近
くに保持され、その壁に生ずるポリマー堆積物は十分軽
く、その結果、ウエハのエッチングに続いてチャンバに
一時的に発生する高濃度酸素プラズマに10から20秒
曝すことによりかなり除去される。別に、好ましくない
が、シリコンチャンバ壁がエッチング中室温(例えば)
近くに保持される重質の堆積モードが採用される。
【0038】第8A図において、分離した独立に制御可
能なポリマー硬化前駆物質リング61及び63がウエハ
に対して異なる半径方向位置に配置され、更に非均一処
理条件の補償を可能にする。第8A図の分離した外側及
び内側ポリマー硬化前駆物質リング61及び63がそれ
ぞれの誘導又は輻射加熱体80a及び80b、それぞれ
窓64a、64bを備えたそれぞれのセンサー66a,
66b及びそれぞれの温度コントローラ68a(CONTROL
LER)、68b(CONTROLLER)によって独立に制御される。
ウエハ17の異なる半径方向位置で異なるエッチング選
択比の上昇をもたらす処理条件を是正するため、使用者
は異なる温度コントローラ68a、68bによって維持
される異なるポリマー硬化前駆物質リング温度を選択で
きる。なお、図8Aにおいて、ガス供給源をGAS SUPPLY
と表示する。図8B、図9、図13、図14、図1
5、図16、図17、図18、図19、図20及び図2
2で同様の表示をしている。
【0039】分離して独立に制御される外側及び内側ポ
リマー硬化前駆物質リング61及び63を有する実施例
を実行するなかで、いずれかの適当なリアクタ構成が採
用されると同時に、第8図に示される実行では、上記に
参照したKenneth S. Collis他による
「頭上式ソレノイドアンテナを有する誘導結合RFプラ
ズマリアクタ」と題する、基礎出願が本願と同時出願の
米国特許出願に開示されている型式の加熱半導体窓電極
天井部にわたってソレノイドアンテナを有するリアクタ
が採用される。特に、本発明の現在の好ましい実施にあ
っては、外側ポリマー硬化前駆物質リング61を備える
ことだけは除いた、上記に参照した同時係属の特許を採
用している。上記に参照した同時係属の特許のリアクタ
は、その巻線144が円筒形チャンバ140の中心軸1
46近くに非平面様式で密に集中している非平面コイル
アンテナ142を有する円筒形チャンバ140を含んで
いる。示された実施例では、巻線144は対称形で、チ
ャンバの中心軸と一致する対称軸を有する一方、本発明
では異なって実行されてよい。例えば、巻線は対称形で
あるか、あるいはそれらの対称軸がチャンバの中心部又
はワークピース中心部と一致しているかのどちらでもよ
い。中心軸146の周囲の巻線144の密な集中は、ソ
レノイドの方法で巻線144を垂直に積み重ねることに
より達成され、その結果、コイルをそれぞれチャンバ中
心軸146から最短距離にする。この密な集中は、電流
(I)の生成を増加させ、低いワークピース対天井部高
さのためプラズマ密度が最も低くなる箇所のチャンバ中
心軸146近辺のコイル巻線数(N)を増加させる。そ
の結果、非平面コイルアンテナ142へ供給されたRF
パワーがチャンバ中心軸146の近辺(周辺領域に対し
て)でより大きな誘導[D/Dt][N×I]を生じ、その
結果、生ずるプラズマイオン密度は低いワークピース対
天井部高さにも係わらずほとんど一様になる。このよう
に本発明は、改良プラズマプロセス性能のためプロセス
の一様さを犠牲にすることなく天井部高さを低減する方
法を提供する。
【0040】巻線144がワークピース156の平面に
対して少なくともほぼ平行にするため、巻線及びワーク
ピースは好ましくは、通常の螺旋の方法で捲くのでな
く、巻線間の段差又は移行(1つの水平面から次の面へ
の)箇所以外では、それぞれ個別の巻線がワークピース
156の平面に対して平行になるように捲くのが好まし
い。
【0041】円筒形チャンバ140は円筒形側壁150
及び円筒形天井部152から構成されており、円筒形側
壁150及び円形天井部152がシリコンなどの材料の
単一部分を構成できるように、天井部152は円筒形側
壁150と一体的に形成される。しかしながら、第8A
図に示された好ましい実施例は、分離した部品として形
成された円筒形側壁150及び天井部152を有してい
る。円形天井部152は平面、ドーム、円錐形、先頭を
切った円錐形、円筒形又はこのような形状の組合せ又は
回転曲面などの適当な断面形状であってよい。概して、
ドーム、円錐形、先頭を切った円錐形などの三次元的表
面を形成する天井部に対しても、ソレノイド142の垂
直方向ピッチ(即ち、その水平方向幅で割った垂直方向
高さ)は天井部152の垂直方向ピッチを以上である。
天井部のピッチ以上のピッチを有するソレノイドは、本
明細書において非等角ソレノイドと呼ばれ、一般に、ソ
レノイドの形状が天井部の形状と合致しないことを、更
に特定的には、垂直方向ピッチが天井部の垂直方向ピッ
チを以上であることを意味する。
【0042】チャンバ140の底部で台154は半導体
ウエハなどの処理されるべきワークピース156を支持
する。チャンバ140はポンプ(図示せず)によって環
状通路158からチャンバ140の下方部分を包囲する
排気環形体160へ排気される。排気環形体160の内
部は交換可能な金属ライナー160aで内張りしてあ
る。環状通路158は台154を包囲する円筒形側壁1
50及び平面リング162の底部縁150aによって形
成される。プロセスガスは各種ガス送り装置のいずれか
1つ又はすべてからチャンバ140へ供給される。ワー
クピース中心部付近へプロセスガス流を制御するため、
中心部ガス送り装置164aは天井部152の中心部か
らワークピース156の中心部へ向て下方へ延びる。ワ
ークピース周辺部付近へプロセスガス流を制御するた
め、中心部ガス送り装置164aから独立に制御される
複数の半径方向ガス送り装置164bが、側壁150か
ら半径方向内方へワークピース周辺に向って延び、、又
はベース軸方向ガス送り装置164cが台154付近か
ら上方へワークピース周辺に向って延び、又は天井部軸
方向ガス送り装置164dが天井部152から下方へワ
ークピース周辺に向って延びる。ワークピース中心部及
び周辺部でのエッチング速度は互いに独立に調整され、
中心部ガス送り装置164a及び外側ガス送り装置16
4bから146dのいずれか1つの装置を介してプロセ
スガス流量をワークピース中心部及び周辺部へ向ってそ
れぞれに制御することにより半径方向のより均一なエッ
チング速度分布がワークピースの端から端にわたって得
られる。本発明のこの特徴は、中心部ガス送り装置16
4a及び周辺部ガス送り装置164bから164dの1
つの装置で実行される。
【0043】ソレノイドコイルアンテナ142は、中心
部ガス送り装置164を包囲するハウジング166の周
囲に捲かれている。プラズマソースRF電源168はコ
イルアンテナ142に接続され、またバイアスRF電源
170は台154へ接続される。
【0044】天井部152の中心部領域へ頭上式コイル
アンテナ142を閉じ込めることは、天井部152の頂
部表面の大部分を空けたままにしておき、それ故、例え
ば、タングステンハロゲンランプなどの複数の輻射加熱
体172と、冷却板を介して延びる冷却剤通路174a
を備え、銅又はアルミニウムから形成される水冷冷却板
174とを含む温度制御装置と直接接触を可能にしてお
く。冷却剤通路174aは高い熱伝導率しかし低電気伝
導率を有する公知の様々の種類の冷却剤を含有すること
が好ましく、アンテナ又はソレノイド142をロードダ
ウンするのを回避する。天井部152の敏感で安定な温
度制御を容易にしながら、必要であれば、冷却板174
による冷却に打ち勝てるように、輻射加熱体172の最
大パワーが選択される一方、冷却板174は天井部15
2の一定の冷却を行う。加熱体172によって照射され
る大きな天井部面積によって、より優れた一様性と温度
制御の高い効率がもたらされる。(輻射加熱は本発明を
実行するのに必ずしも必要ではなく、本明細書において
後述されるように、当業者は代わりに電気的加熱素子を
選択し採用することができる。)天井部152がシリコ
ンの場合、ケニス(Kenneth S. Colli
ns)他による同時出願の米国特許出願第08/59
7、577号、出願日1996年2月2日に開示されて
いるように、天井部の端から端にわたる温度制御の一様
性と効率をこのように増加させることにより得られるべ
き著しい利点がある。とりわけ、ポリマー前駆物質及び
食刻剤エッチャント前駆物質プロセスガス(例えば、フ
ルオロカーボンガス)が採用される場合、食刻剤エッチ
ャント(例えば、フッ素)が掃出されねばなければなら
ない場合、全天井部にわたるポリマー堆積の速度及び天
井部152がフッ素食刻剤エッチャントスカベンジャ材
料(シリコン)をプラズマの中に供給する速度又はその
いずれかが、天井部152の輻射加熱体172との接触
面積を増加することにより、より良好に制御される。ソ
レノイド巻線144が天井部152の中心軸で集中され
るので、コイルアンテナ142は天井部152上の有効
な接触面積を増加させる。
【0045】熱接触のため天井部152上で使用できる
面積の増加が、その底部表面が天井部152に残ってお
り頂部表面が冷却板174を支持している高い熱伝導ト
ラス175(窒化アルミニウム、アルミニウム酸化物又
は窒化シリコン又は軽くドープされた又は非ドープいず
れかの非セラミック状シリコンなどのセラミックから形
成された)により、好ましい実施例において開発されて
いる。トラス175の1つの特徴は冷却板174をソレ
ノイド142の十分上方にずらすことである。この特徴
は、ソレノイド142とソレノイド142に対して冷却
板174の伝導面の密な近接をそれとは別に生ずる、プ
ラズマとの間の誘導結合の減少をかなり和らげるか又は
ほぼ排除する。誘導結合のこのような減少を防止するた
め、冷却板174とソレノイド142の頂部巻線間距離
が、少なくともソレノイド142の全高さのかなりの部
分(例えば、2分の1)であることが好ましい。トラス
175を貫通して延びる複数の軸方向穴175aが2つ
の同軸円に沿って間隔を置いて配置され、複数の輻射加
熱体又はランプ172を保持し、輻射加熱体又はランプ
が天井部152を直接照射することを可能にする。最大
のランプ効率のためには、内面穴が反射性層(例えば、
アルミニウム)で内張りされる。天井部温度はランプ加
熱体172に専有されない穴175aの1つを貫通して
延びる熱電対176などのセンサーによって検知され
る。良好な熱接触のためには、窒化ホウ素で含浸された
シリコンゴムなどの高熱伝導エラストマー173がセラ
ミックトラス175と冷却板174との間、及びセラミ
ックトラス175とシリコン天井部152との間に配置
される。
【0046】第8A図の実施例では、チャンバ140は
全半導体チャンバであり、この場合、天井部152及び
側壁150は両方ともシリコンなどの半導体である。天
井部152又は壁150のいずれかの温度の制御、及び
天井部152又は壁150のいずれかに加えられるRF
バイアスパワーは、フッ素スカベンジャ前駆物質(シリ
コン)をプラズマの中へ供給する拡がりを制御するか、
又はポリマーで被覆される拡がりを制御する。天井部1
52の材料はシリコンに限定されなばかりでなく、それ
にかわって、炭化ケイ素、二酸化ケイ素層(クオー
ツ)、窒化シリコン又はセラミックであってよい。
【0047】チャンバ壁150又は天井部152はフッ
素スカベンジャ前駆物質として使用される必要はない。
代わりに、使い捨てのシリコン部材がチャンバ140内
部に配置され、十分高温に維持してシリコン部材にポリ
マーの堆積を防止してシリコン材料が使い捨てのシリコ
ン部材からプラズマの中にフッ素スカベンジャ前駆物質
として除去されるのを可能にする。この場合、壁150
及び天井部152は必ずしもシリコンである必要はな
く、又は壁150及び天井部152がシリコンである場
合、ポリマー凝縮温度(及びポリマー凝縮RFバイアス
しきい値又はそのいずれか)付近又は以下の温度(及び
RFバイアス又はそのいずれか)に保持され、その結
果、壁150及び天井部152がポリマーで消費される
のをプラズマから保護されるように、被覆される。使い
捨てのシリコン部材がいずれか適切な形状にされる一
方、第8A図の実施例では、使い捨ての又は伸長性のあ
るシリコン部材は台154を包囲す管状リング162で
ある。管状リング162は高純度シリコンであり、ドー
プされシリコンの電気的及び光学特性を改質することが
好ましい。シリコンリング162をプラズマプロセス
(例えば、フッ素を掃出するプラズマの中へのシリコン
材料の投与)への好適な参加を確実にする十分な温度に
保持するため、管状リング162の下に円形状に配置さ
れた複数の輻射加熱体177(例えば、タングステンハ
ロゲンランプ)が、クオーツ窓178を通して管状リン
グ162を加熱する。上記参照された同時係属出願に示
されたように、加熱体177は光高温計又は蛍光光探針
などの遠隔センサーである温度センサー179によって
検知されたシリコンリング162の測定温度に従って制
御される。センサー179は、その穴の深さ及び狭さが
少なくとも部分的にシリコンリング162の熱放射率の
温度依存変化を遮断する傾向にあり、その結果、より信
頼性のある温度測定に対する灰色放射体により似た行動
をする、リング162の非常に深い穴162aの中に部
分的に延びている。
【0048】上記に参照された同時出願の米国特許出願
第08/597、577号に開示されているように、全
シリコンリアクタチャンバの利点は、例えば、金属など
の物質を生成する汚染物質との接触がないことである。
この目的のため、環状開口158に隣接したプラズマ閉
じ込めマグネット180、182がプラズマが排気環形
体160の中へ流入するのを防止し又は低減する。いず
れかのポリマー前駆物質及び活性種又はそのいずれかが
排気環形体160にうまく入る程度まで、かなりポリマ
ー凝縮温度以下の温度(例えば、参照した同時出願に開
示されたような)でライナー160aを保持することに
より内部ライナー160aへの生じたポリマー又は汚染
物質の堆積物がプラズマチャンバ140への再流入を防
止される。
【0049】排気環形体160の外部壁を貫通するウエ
ハスリットバルブ184は、ウエハの出入りに適合す
る。チャンバ140と排気環形体160間の環状開口1
58は、チャンバ圧力分布を非対称ポンプ孔位置でより
対称にするため、ウエハスリットバルブ184に隣接し
てより大きく、円筒形側壁150の底部縁の傾斜のため
反対側で最小である。
【0050】チャンバ中心軸146の近辺の最大インダ
クタンスは、垂直に重ねられたソレノイド巻線144で
得られる。
【0051】外側位置での巻線1122の第2外側垂直
スタック又はソレノイド1120(即ち、熱接触トラス
175の外側円周表面に対して)は、ソレノイド巻線1
44の内部垂直スタックから半径方向距離δRだけずら
すされる。内部コイルアンテナ142の中心部への及び
外側ソレノイドアンテナ1120の周辺部への閉じ込め
が、天井部152の頂部表面の大部分を温度制御装置1
72、174及び175との直接接触のため残してある
のは注意すべきことである。天井部152と温度制御装
置間のより大きな表面面積コンタクトは、天井部152
のより効率的より一様な温度制御をもたらすことが利点
である。
【0052】例えば、12.6インチ(32cm)の内
径を有するシリコンの単一部分の、側壁及び天井部が形
成されているリアクタについて、厚さ0.03インチの
テフロン絶縁層で被覆された直径3/16インチ中空銅
管を使用して外部ソレノイドの平均直径は11.75イ
ンチ(29.3cm)であった一方で、ウエハから天井
部までのギャップは3インチ(7.5cm)であり、内
部ソレノイドの平均直径は3.75インチ(9.3c
m)であり、各ソレノイドは4ターン(4回巻き)から
構成され、高さ1インチ(2.54cm)であった。外
側スタック又はソレノイド1120は、第2の独立して
制御可能なプラズマソースRF電源196により付勢さ
れる。その目的は、異なる使用者が選択できるプラズマ
ソースパワーレベルがワークピース又はウエハ56に対
して異なる半径方向位置で供給されることを可能にしウ
エハ表面の端から端にわたる公知の処理の非均一性に対
する補償を可能にして著しい利点にすることである。独
立して制御可能な中心部ガス送り装置164a及び周辺
部ガス送り装置の164bから164dの組合せでは、
外側ソレノイド190に供給されるRFパワーに対して
内側ソレノイド190に供給されるRFパワーを調整す
ることにより、ワークピース中心部でのエッチング性能
が縁部でのエッチング性能に対して調整される。本発明
が、上記したようなインダクタンス場(フィールド)で
の中心のない又は中心沈下の問題を解決又は少なくとも
改善する一方、他のプラズマ処理の非均一性の問題があ
り、内部及び外部アンテナに供給される相対的RFパワ
ーレベルを調整することにより、それらの問題がまた第
8A図の用途の広い実施例において補償される。より大
きな利便性を備えるこの目的を成し遂げるため、内部及
び外部ソレノイド142、190のインダクタンス場間
の固定位相関係を保存しながら、内部及び外部ソレノイ
ド142、190に対するそれぞれのRF電源168、
196が共通の電源197a及び使用者が内部及び外部
ソレノイド142、190間のパワーの相対的分配を変
更することを可能にするパワー分配器197bに置き換
えられる。これは、2つのソレノイド142及び190
が同一周波数でRFパワーを受け取る場合に、特に重要
である。2つの独立電源168及び196が採用される
場合、これらの電源が異なるRF周波数でエネルギーを
与えられ、この場合、各RF電源168及び196の出
力にRFフィルターを取付けて2つのソレノイド間の結
合からのオフーフレクエンシィフィードバックを回避す
ることが好ましい。この場合、周波数差は2つのソレノ
イド間結合の時間平均を取るのに十分で、更に、RFフ
ィルターの排除帯域を越えなければならない。好ましい
モードは、各周波数をそれぞれのソレノイドに独立に共
振的に整合させ、各周波数が変化して、通常のインピピ
ーダンス整合技術の代わりにプラズマインピピーダンス
の変化に追随する(それによって共振を維持する)もの
である。このような実装において、2つのソレノイドの
周波数範囲は相互に排他的である。代わりに、2つのソ
レノイドは同一RF周波数で作動され、この場合、2つ
の周波数間の位相関係を、建設的な相互作用又は重ね合
わせを生ずるようにすることが好ましい。一般に、この
要件は、2つのソレノイドが同様の思慮で捲かれている
場合、2つのソレノイドへ供給される信号間のゼロ位相
角で満足される。さもなければ、2つのソレノイドが反
対に捲かれている場合、位相角は180°であることが
好ましい。いずれの場合でも、内部及び外部ソレノイド
間の結合は、本明細書において以下に説明されるよう
に、内部及び外部ソレノイド142及び190間の比較
的大きな空間を有することにより小さくされるか又は取
り除かれる。
【0053】このような調整により達成可能な範囲は、
外部ソレノイド910の半径を大きくすることにより増
大でき内部及び外部ソレノイド142及び190間の空
間を増大して、その結果、2つのソレノイド142及び
190の効果がワークピースの中心部及び縁部それぞれ
により制限される。これは2つのソレノイド142及び
190の効果を重ねる制御の範囲をより大きくすること
を可能にする。例えば、内部ソレノイド142はワーク
ピース半径の約半分より大きくてはならないし、ワーク
ピースの3分の1より大きくないことが好ましい。(内
部ソレノイド142の最小半径は、ソレノイド142及
び必要により一部分を形成する導体の直径に幾分影響さ
れ、弧状ーー例えば、円形ーー電流経路の制限されてい
る(非ゼロ円周)を提供してインダクタンスを生ず
る。)外部コイル190の半径は少なくともワークピー
ス半径に等しく、ワークピース半径の1.5倍以上が好
ましい。このような構成では、それぞれの内部及び外部
ソレノイド142及び190の中心部及び縁部効果は、
内部ソレノイドに対するパワーを増大させることにより
チャンバ圧力が均一なプラズマを生じながら、数百mT
に上昇するように、外部ソレノイド190に対するパワ
ーを増大させることによりチャンバ圧力が均一なプラズ
マを生じながら、0.01mTの程度に低下するように
表示されている。外部ソレノイド190のこのような大
きな半径の別の利点は、その大きな半径により内部及び
外部ソレノイド142及び190間結合が最小になると
いうことである。
【0054】第8A図の実施例では、天井部152及び
側壁150は互いに絶縁された分離した半導体片(例え
ば、シリコン)であり、半導体片はそれぞれのRFソー
ス1210及び1212から天井部152及び側壁15
0へ加えられる別に制御されたRFバイアスパワーレベ
ルを有し、縁部に対する中心部エッチング速度及び選択
比にわたる制御を向上させている。ケニス(Kenne
th S. Collins)他による同時出願の米国
特許出願第08/597、577号、出願日1996年
2月2日よりはるかに詳細に説明されるていように、天
井部152は半導体材料(例えば、シリコン)であり、
半導体材料は半導体材料がチャンバの中にプラズマが供
給されるRFソースパワーを容量的に結合する電極とし
て、同時にソレノイド142に供給されるRFパワーが
チャンバの中に容量的に結合される窓として作用するよ
うにドープされている。このような窓ー電極の利点は、
同時にRFパワーをウエハ(全体に)わたって直接的に
誘導結合しながら、RF電位が(例えば、イオンエネル
ギーを制御するための)、ウエハ(全体に)わたって直
接的に確立されることである。分離して制御される内部
及び外部ソレノイド142及び190及び周辺部ガス送
り装置164a及び164bとの組合せの、この後者の
特徴はワークピース縁部に対するワークピース中心部で
のイオン濃度、イオンエネルギー、エッチング速度及び
エッチング選択比などの各種プラズマプロセスパラメー
タを調整する能力を大きく向上させ最適な均一性を達成
する。
【0055】この組合せにおいて、個別のガス送り装置
を介した圧力及びガス容積又はそのいずれかは個別に又
は分離して制御され、このようなプラズマプロセスパラ
メータの最適な均一性を達成する。
【0056】ランプ加熱体172は電気的加熱素子に置
き換えられてよい。使い捨てシリコン部材は台54を包
囲する管状リング62である。管状リング62は高純度
シリコンであり、ドープしてシリコンの電気的及び光学
特性を改質することが好ましい。シリコンリング62を
プラズマプロセス(例えば、フッ素を掃出するプラズマ
の中へのシリコン材料の投与)への好適な参加を確実に
する十分な温度に保持するため、管状リング162の下
に円形状に配置された複数の輻射加熱体177(例え
ば、タングステンハロゲンランプ)が、クオーツ窓17
8を通して管状リング162を加熱する。上記参照され
た同時係属出願に示されたように、加熱体177は光高
温計又は蛍光探針などの遠隔センサーである温度センサ
ー179によって検知されたシリコンリング162の測
定温度に従って制御される。センサー179は、その穴
の深さ及び狭さが少なくとも部分的にシリコンリング1
62の熱放射率の温度依存変化を遮断する傾向にあり、
その結果、より信頼性のある温度測定に対する灰色放射
体により似た行動をする、リング162の非常に深い穴
162aの中に部分的に延びている。
【0057】第8B図は、天井部152自体が電気的に
互いに絶縁され、単一の区別的に制御されるRFパワー
ソースの分離した出力である独立したRFパワーソース
1214及び1216によって分離してバイアスされる
内部円板152aと外部環形体152bとに分割され
る、別の変形を示す。
【0058】別の実施例によれば、例えば、通常のマイ
クロプロセッサー及びメモリーを含むプログラマブルエ
レクトロニックコントローラなどの、第8A図及び第8
B図に示される使用者が利用しやすい中央コントローラ
1300が接続され中心部及び周辺部ガス送り装置16
4a及び164、内部及び外部アンテナ142及び19
0に供給されるRFプラズマソースパワーレベル、及び
天井部152及び側壁150それぞれに供給されるRF
バイアスパワーレベル(第8A図における)及び内部及
び外部天井部部分152a及び152bに供給されるR
Fバイアスパワーレベル(第8B図における)を介して
ガス流量を、同時に天井部152の温度及びシリコンリ
ング162の温度を制御する。天井部温度コントローラ
1218(CONTROLLER)は、ランプ加熱体172へのラン
プパワーソース1220によって供給されるパワーを天
井部温度センサー176によって測定された温度をコン
トローラ1300には知らされている所要の温度と比較
することにより調節する。マスターコントローラ130
0は、温度コントローラ1218及び68a、68b、
ソレノイドパワーソース168及び196のRFパワー
レベル、バイアスパワーソース1210、1212のR
Fパワーレベル(第8A図)又は1214及び1216
(第8B図)、RFパワーソース170によって供給さ
れるウエハバイアスレベル及び各種供給装置(又は分離
バルブ)によりガス吸気口164aから164dへ供給
されるガス流量を調節する。ウエハバイアスレベルを制
御するための鍵は、ウエハ台154と天井部152間の
RF電位差である。
【0059】このように、台用RFパワーソース170
又は天井部用RFパワーソース1212のいずれかが単
にRF接地へ短絡される。このようなプログラマブル統
合コントローラにあっては、使用者はRFソースパワ
ー、RFバイアスパワー及びワークピース中心部と周辺
部間のガス流量の分配を容易に最適化できワークピース
の表面の端から端にわたって最高の中心部対縁部プロセ
ス均一性(例えば、エッチング速度及びエッチング選択
比の均一な半径方向分布)を達成する。また、台154
と天井部152間のRFパワー差に対してソレノイド1
42、190に供給されるRFパワーを(コントローラ
1300を介して)調整することにより、使用者は主要
素をなす誘導結合モード又は主要素をなす容量結合モー
ドでリアクタを操作できる。
【0060】第8A図では、ソレノイド142及び19
0,天井部152,側壁150(あるいは、第8B図で
の内部及び外部の天井部分152a、152b)に接続
された各種パワーソースは、RF周波数で操作するよう
に説明されてきたが、本発明は特定の周波数範囲に制限
されず、本発明を実施する際、RF以外の周波数が、当
業者によって選択される得る。
【0061】本発明の好ましい実施例では、高熱伝導ス
ペーサー175、天井部152及び側壁150は、単一
の結晶質シリコンで一体化される。
【0062】伸長性のあるポリマー硬化前駆物質片は、
効率的に加熱されるべき熱源から離れ過ぎない場合、そ
れによってチャンバのプラズマ処理領域を熱源から遮蔽
する場合(チャンバ内でプラズマ発生のため熱源からの
パワーの発散を回避するように)を除いて、ポリマー硬
化前駆物質片はいずれの形状であっても、いずれの場所
にあってもよい。好ましい実施例では、熱源のエネルギ
ーからプラズマを幾分か遮蔽するには、(シリコンリン
グ60それ自身の他に)チャンバのプラズマ処理領域と
排気環形体間をプラズマが流れないよう一対のリングマ
グネット100及び102を設ける。
【0063】必要な遮蔽をし、遠隔熱源へ前駆物質硬ポ
リマー片を近づける別の実施例が第9図に示されるが、
第9図において、伸長性のある片は円筒形チャンバの側
壁内面に隣接する円筒形のシリコンライナー110であ
る。円筒形の側壁外面に隣接する周辺熱源115は、側
壁を通してシリコン110に熱を供給する。周辺熱源1
15は、誘導加熱体でよい。この場合、円筒形のチャン
バ壁は、クオーツの様な絶緑体か非常に高抵抗のシリコ
ンのような半導体とし、熱源115の誘導場からのライ
ナー110への吸収は最小となり、伝導は最大となる。
【0064】または、周辺熱源115は、ダングステン
ハロゲンランプか電気放電ランプのような放射型加熱体
とする。温度センサー166と温度制御装置168は、
周辺加熱体115の操作を制御するが、第8A図の実施
例では、センサー66と制御装置68を通して温度制御
を実施する。第8A図の実施例では、マスターコントロ
ーラ300(CENTRAL CONTROLLER)が温度制御装置168
を制御する。第10図のグラフに、第6図の実施例の温
度制御システムの作動状態を示す。水平軸は、定常状態
の摂氏表示の温度(TEMPERATURE)で、温度制御装置68
は、シリコンリング60を決められた温度に維持する。
一方、垂直軸は、決められたリング温度を維持するに必
要なワット表示の供給パワーである(HEATS)。第11図
は、第6図のシステムの閉じられたループ温度応答を示
し、水平軸は秒表示の時間(TIME)、垂直軸は摂氏表示の
リング温度(TEMPERATURE)である。第11図のグラフで
は、リング60の初期温度は室温近くである。そして、
コントローラ68を、約30秒後、リング温度が440
℃になるよう設定する。オーバーシュートせず、ほんの
わずかなシステムノイズだけで、約310秒後、この温
度に到達する。約550秒で、チャンバ内でプラズマが
点火され(PLASMA-ON 3200W)、約1000秒で消失する
(PLASMA-OFF)。リング温度への影響は第11図では殆ど
観測されない。この後者の事実は、温度制御システムの
安定性と信頼性を検証する。第12図は、(ターゲット
温度が、オーバーシュートせず到達した時間)301秒
から(プラズマが一時的に点火する時間)550秒を含
めての近辺の、グラフ第11図の部分の大きく拡大した
図である。第12図の拡大図は、プラズマが3.2キロ
ワットのソースパワーで550秒後に点火し、それと同
時にリング温度に短いスパイクを生じることを明らかに
している。このデータは、センサー66及び光ファイバ
ー72の蛍光探針(光プローブ)版(バージョン)を使
用して得られた。
【0065】ポリマー硬化前駆物質片(例えば、シリコ
ンリング60)を熱する代わりに、RFバイアスパワー
がソース400(第7図に点線で示した)からポリマー
硬化前駆物質片に加えられ、エッチングに対してポリマ
ーの抵抗性を増やす好ましい効果が得られる。当業者に
おいては、RFバイアスパワーを増加することにより、
ポリマー推積物がもはや前駆物質硬化重体片(例えば、
シリコンリング60)上に蓄積せず、ポリマー硬化前駆
物質片の表面がプラズマと相互作用しなくなるRFバイ
アスパワーレベルを容易に確かめられる。これは、本発
明の別のモードではあるが、最も好ましいモードではな
い。理由は、(a)ポリマー硬化前駆物質片の消耗度が
より高くなる、そして(b)ポリマー硬化前駆物質片へ
の電気的結合(RF)が与えられ、必要なRFバイアス
パワーにRF(電気的結合)を上乗せし、その構成を複
雑化する。他の別のモードでも、ポリマー硬化前駆物質
片に熱と、RFバイアスを複合作用させ得る。
【0066】第13図は、第8A図の実施例が改良され
ドーム形状のモノシリック天井部を用いた実施例を提供
する方法を示す。特に、天井部152は、多重半径ドー
ム形状をしているが、例示した実施例では、半球状であ
る。第14図は、第8B図の実施例である。そこでは、
ドーム形状の天井部152は複数の円板及び環状部分1
52a、152bに分割される。それらは、電気的に分
離され、別々のRFソースパワーに接続される。第15
図は、第9図の実施例である。そこでは、ドーム形状の
天井部分は、消耗用のポリマー硬化前駆物質片の特徴と
結びつき、垂直円筒形のチャンバ側壁に隣接した垂直円
筒形のライナー210の形状となる。電気加熱体等の加
熱体215(HEAT)がライナー210に熱を供給し、必要
な温度にポリマー硬化前駆物質片ライナーを維持する。
温度センサー266はライナー210の温度を監視す
る。コントローラ268は加熱体215に供給する電流
を制御し、センサー266の出力に接続している。コン
トローラ268(CONTROLLER)は通常の形式のもので、決
められた温度でプログラムされる。コントローラ268
は、センサー266がライナー温度が決められた温度以
下か以上かを感知し、ヒーター215に供給する電流を
増減する。
【0067】本発明の方法論によれば、ウエハ表面の処
理の均一性は、プラズマ中で食刻剤エッチャント前駆物
質種及び堆積物前駆物質種のいずれか又は両方のウエハ
表面にわたる半径方向密度分布の調整によって最適化さ
れる。本発明においてはこれが、多数の方法の中のいず
れかひとつ、或いは幾つか、またはすべての方法によっ
て達成される。
【0068】最初の方法は、天井部152を、(第8B
図に例示したが)複数の放射状に配置された分離した部
分に分割し、ある部分にかかるRFパワーを他との比較
で変化させる。第二の方法は、天井部の温度の放射状分
布を変化させることである。上述したように、これは、
種々の放射状方向の位置に配置されたヒーター172を
分離コントロールすることによりなされる。第三番目の
方法は、半径方向内部及び外部ガス流吸気口164a、
164b及び164dの処理ガス流割合を別々に調整す
る。
【0069】伸長性のある温度制御ポリマー硬化前駆物
質片は、誘導結合プラズマリアクタと組合せで開示され
ているが、他の方法も有益である。例えば、容量的に結
合されたプラズマリアクタではポリマー硬化前駆物質片
が使われる。この様な応用を第16図に示す。第16図
では、天井部152は、RFソースパワー1210によ
って運転され、プラズマソースパワーをチャンバに供給
する。優勢な容量結合モードでリアクタが作動していて
も誘導アンテナは存在するが、誘導アンテナ(例えば、
ソレノイドアンテナ142及び190)は、必ずしも必
要ではない。もし天井部152に誘導アンテナがなけれ
ば、本明細書の上記した理由に基づき、例えこの様な特
徴がいかなる場合でも有利であるにせよ、天井部が半導
体物質であることは絶対的必要条件ではない。事実、天
井部が半導体でない場合、加熱体172とそれに関連し
たコントロール装置は、要望であれば、除外される。容
量結合モードでは、プラズマソースパワーは、主に天井
部152とウエハ台154間に加えられる。その結果、
二つのRFソースパワー1210及び170のうちの一
つは余分であるとして除外できる。(誘導結合モードで
は、二つの分離ソース1210及び170を持つことは
必ずしも無駄ではない。その理由は、ソース1210は
天井部152の衝撃や活性化をコントロールするのに対
し、ソース170は、独立したRFバイアスを供給し、
ウエハ近くのイオンエネルギーを制御する。第17図
は、チャンバ側壁内部の垂直円筒形のライナー210の
形での伸長性のあるポリマー硬化前駆物質片の特徴が容
量結合プラズマリアクタとどのように結びついているか
を示す。
【0070】第18図は、第18A図のプラズマリアク
タがいかに改良されたかを示す。改良の結果、前駆物質
硬化重合リング63は、チャンバ天井部近くで、ポリマ
ー硬化前駆物質チャンバライナー63’で置き換えられ
る。この実施例では、ライナー63’は、平坦な天井部
152と円筒形側壁150間の四隅に合う外部表面6
3’aと、三次元的形状をしており、、プラズマ処理領
域を、三次元的形状に閉じこめる内部表面63’bとを
有している。実施例第18図では、ライナー内部の表面
63’bは、少なくともチャンバの天井部を形成し、頂
部が三次元的形状(例えば、ドーム形状)の容積にプラ
ズマを閉じこめる。第18図の図面では、この三次元的
形状が多重半径ドーム形状であり、多重半径ドームは、
ライナー63’の円周部周辺での最少半径値から、中心
部での最大半径値まで増加する曲率半径を有する。しか
しながら、その形状は、単一半径の形状か半径の形状で
ある。第19図では、実施例第18図を改良し、第8b
図の特徴を取り入れてある。第18図では、天井部分1
52は放射状に内部と外部(円盤と円環)の部分152
a、152bに分割され、それぞれが、独立したRFパ
ワーレベル及びRF周波数又はそのいずれかで運転され
る。
【0071】第20図では、第18図の実施例を改良
し、ソレノイドアンテナコイル142及び190を第3
図の実施例の平板アンテナコイル50a、50bと置き
換えてある。
【0072】第21図では、第20図の実施例を改良
し、第8B図の特徴を取り入れたが、第8B図では、天
井部152は放射状に内部及び外部(円盤と円環)部
分、152a及び152bに分割され、それぞれが独立
したRFパワーレベル及びRF周波数又はそのいずれか
で運転される。
【0073】第20図及び第21図の実施例では、加熱
及び冷却装置は天井部の頂部表面の大部分を横切って配
置されている。その結果、フラットコイル50a、50
bでは、比較的少ない数の巻線が収容される。より単純
な加熱及び冷却装置が第3図の方法では取り入れられ、
フラットコイル巻線の数を増加させ、フラットコイルの
より多くの数の巻線が収容される。
【0074】
【発明の効果】本明細書において開示された実施例のそ
れぞれにおいて、そこでは、天井部152はRFパワー
で運転されるが、記述では、RFプラズマソースパワー
は、内部又は外部ソレノイドアンテナ142及び190
のような一つの誘導体或いは複数の誘導体によって誘導
結合されるとしてきた。しかしながら、RFプラズマソ
ースパワーは、かわりに、ウエハ支持装置又は台154
を備える天井部152へ充分なRFパワーを供給する天
井部152(又は天井部152a及び152b)から容
量的に結合されており、ウエハ支持装置又は台154は
天井部152へ供給されるパワーのRF帰還経路として
接続されるが、同時にRFパワーは誘導体142及び1
90に殆ど又はまったく加えられず、この様な誘導体は
削除される。
【0075】本発明が本発明の好ましい実施例の特定的
な参照によって詳細に説明されてきた一方で、本発明の
真の精神と範囲から逸脱することなく本発明の変化及び
変形がなされ得ることが理解される。
【図面の簡単な説明】
【図1】プラズマリアクタの簡略化した破断側面図であ
る。
【図2】プラズマリアクタの簡略化した破断側面図であ
る。
【図3】プラズマリアクタの簡略化した破断側面図であ
る。
【図4】Aは、伸長性のあるポリマー硬化前駆物質片の
誘導加熱体を採用する本発明の好ましい実施例によるプ
ラズマリアクタの破断側面図である。Bは、ワークピー
スの多層導体構造を説明する、第4図の実施例の動作例
における処理されたワークピースの拡大断面図である。
Cは、光ファイバが挿入されるスリーブ及び穴ぐりを説
明する第4A図に対応する拡大図である。Dは、加熱透
明窓内部の長波長光学窓を説明する第4A図に対応する
拡大図である。Eは、加熱透明窓から長波長光学窓分離
部分を説明する第4A図に対応する拡大図である。
【図5】Aは、シリコン酸化物対シリコンエッチング選
択比をポリマー硬化前駆物質リングの温度の関数として
示すグラフである。Bは、それぞれ240℃及び500
℃での多結晶シリコンエッチング速度の半径方向分布を
オングストローム/分で示すグラフである。
【図6】伸長性のあるポリマー硬化前駆物質片の輻射又
は赤外線加熱を採用する本発明の別の好ましい実施例に
よるプラズマリアクタの破断図である。
【図7】伸長性のあるポリマー硬化前駆物質片が、全半
導体リアクタチャンバ内で加熱される本発明の別の好ま
しい実施例によるプラズマリアクタの破断側面図であ
る。
【図8】Aは、処理されているウエハに対して離れた半
径方向位置で加熱されたポリマー硬化前駆物質片を採用
する本発明の好ましい実施例によるプラズマリアクタの
破断側面図である。Bは、天井部が内部及び外部部分と
に分割される第8A図の実施例に対応する図である。
【図9】伸長性のあるポリマー硬化前駆物質片が、円筒
形リアクタチャンバ側壁に隣接する取外し可能なライナ
ーである本発明の実施例を示す図である。
【図10】本発明を具体化するリアクタの温度制御方式
の動作例の性能を示すグラフである。
【図11】その性能が第10図に描かれる温度方式の閉
ループ応答をを示すグラフである。
【図12】第11図のグラフの一部の拡大図である。
【図13】ドーム形状の天井部を有することを除いた第
8A図に対応する実施例を示す図である。
【図14】ドーム形状の天井部を有することを除いた第
8B図に対応する実施例を示す図である。
【図15】ドーム形状の天井部を有することを除いた第
9図に対応する実施例を示す図である。
【図16】プラズマソースパワーが誘導的に結合するよ
りむしろ容量的に結合される第8A図に対応する実施例
を示す図である。
【図17】プラズマソースパワーが誘導的に結合するよ
りむしろ容量的に結合される第9図に対応する実施例を
示す図である。
【図18】ポリマー硬化前駆物質リングがリアクタチャ
ンバ天井部を覆ってポリマー硬化前駆物質チャンバライ
ナーに置換される第8A図の実施例の変形実施例を示す
図である。
【図19】天井部が内部及び外部部分(円板又は環状)
とに半径方向に分割される第8B図の特徴を採用する第
18図の実施例の変形実施例を示す図である。
【図20】ソレノイドアンテナコイルを第3図の実施例
のフラットアンテナコイルに置換える第18図の実施例
の変形実施例を示す図である。
【図21】天井部が内部及び外部部分(円板又は環状)
とに半径方向に分割される第8B図の特徴を採用する第
20図の実施例の変形実施例を示す図である。
【符合の説明】
10…ソース回路、12…シリコン側壁、14…シリコ
ン天井部、16…ウエハ台、17…半導体ウエハ、18
…側部コイル、22…RFパワーソース、24…RFパ
ワーソース 、60…ポリマー硬化前駆物質リング、6
2…管状リング、64…窓、64a…小孔、66…セン
サ、68…コントローラ、70…灰色体放射片、72…
光ファイバ、72a…光ファイバー端、72b…光ファ
イバ端、74…検知部。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 マイケル ライス アメリカ合衆国, カリフォルニア州, プレザントン, クラレット コート 675 (72)発明者 デイヴィッド グルーチェル アメリカ合衆国, カリフォルニア州, サニーヴェイル, マルベリー レーン 801 (72)発明者 ジェラルド ゼヤオ イン アメリカ合衆国, カリフォルニア州, クパティノ, ビリチ プレイス 10132 (72)発明者 ジョン モーン アメリカ合衆国, カリフォルニア州, サラトガ, パセオ プレサダ 13179 (72)発明者 クレイグ ロデリック アメリカ合衆国, カリフォルニア州, サン ノゼ, パインヴュー ドライヴ 776 (72)発明者 ダグラス ブクバーガー アメリカ合衆国, カリフォルニア州, トレーシー, ジャーニー ストリート 421 (72)発明者 チャン−ロン ヤング アメリカ合衆国, カリフォルニア州, ロス ガトス, カメリア テラス 16569 (72)発明者 ジェリー ウォング アメリカ合衆国, カリフォルニア州, フリーモント, クーガー サークル 44994 (72)発明者 ジェフリー マークス アメリカ合衆国, カリフォルニア州, サン ノゼ, シエロ ヴィスタ ウェイ 4730 (72)発明者 ピーター ケスウィック アメリカ合衆国, カリフォルニア州, ニューアーク, ジョアクイン ムリエタ アヴェニュー 6371−エー

Claims (100)

    【特許請求の範囲】
  1. 【請求項1】 リアクタチャンバの内部に面し、三次元
    的な形を有する内部表面を有する天井部を有する前記リ
    アクタチャンバと;前記天井部の周囲に配置されたプラ
    ズマソースパワー結合装置とRFパワーを前記プラズマ
    ソースパワー結合装置へ供給するRFパワーソースと;
    プロセスガス吸気装置及び食刻剤エッチャント及びポリ
    マー前駆物質を含有するプロセスガスを供給する前記吸
    気装置に結合されたプロセスガス供給装置と;前記リア
    クタチャンバ内部で処理されるべき物品を保持する支持
    装置と;前記チャンバ内部のポリマー硬化前駆物質片と
    を備えるプラズマリアクタ。
  2. 【請求項2】 前記チャンバが円筒形側壁を有する請求
    項1に記載のプラズマリアクタ。
  3. 【請求項3】 前記内部表面がドーム形状である請求項
    1に記載のプラズマリアクタ。
  4. 【請求項4】 前記内部表面が半球形状である請求項3
    に記載のプラズマリアクタ。
  5. 【請求項5】 前記内部表面がドーム形状の周辺部で最
    大半径をドーム形状の中心部で最小半径を有する多重半
    径ドーム形状を有する請求項3に記載のプラズマリアク
    タ。
  6. 【請求項6】 前記プラズマソース結合装置が前記天井
    部の周囲に配置された誘導アンテナを備えた請求項1に
    記載のリアクタ。
  7. 【請求項7】 前記誘導アンテナが、電気的に分離した
    部分に分割され、前記夫々の部分に別個に制御されるR
    Fパワーレベルが印加される請求項6に記載のプラズマ
    リアクタ。
  8. 【請求項8】 前記天井部が半導体窓電極である請求項
    6に記載のプラズマリアクタ。
  9. 【請求項9】 前記半導体窓電極がRFパワーソースへ
    接続される請求項8に記載のプラズマリアクタ。
  10. 【請求項10】 前記半導体窓電極が、複数の電気的に
    分離した同軸の部分に分割され、夫々の部分に別個に制
    御されるRFパワーレベルが印加されること請求項8に
    記載のプラズマリアクタ。
  11. 【請求項11】 前記半導体窓電極が分離して制御され
    る温度を備える複数の同軸部分に分割される請求項1に
    記載のプラズマリアクタ。
  12. 【請求項12】 前記複数の同軸天井部部分のための複
    数の独立した温度制御装置を更に備えた請求項10に記
    載のプラズマリアクタ。
  13. 【請求項13】 前記天井部の前記複数の同軸部分のた
    め独立して温度を制御する温度制御装置を更に備えた請
    求項11に記載のプラズマリアクタ。
  14. 【請求項14】 前記プロセスガス吸気装置が独立して
    制御可能なガス流量を備える前記チャンバのそれぞれの
    半径位置で複数のプロセスガス吸気口を備えた請求項1
    2に記載のプラズマリアクタ。
  15. 【請求項15】 前記ポリマー硬化前駆物質片が前記チ
    ャンバの構造上の部品から分離した取除ける伸長性のあ
    る片である請求項1に記載のプラズマリアクタ。
  16. 【請求項16】 前記取除ける伸長性のある片が前記支
    持装置の直径を越える内径を有する管状リングである請
    求項15に記載のプラズマリアクタ。
  17. 【請求項17】 前記リングが前記支持装置に隣接する
    請求項16に記載のプラズマリアクタ。
  18. 【請求項18】 前記伸長性のある片が前記天井部に隣
    接する管状リングである請求項15に記載のプラズマリ
    アクタ。
  19. 【請求項19】 前記伸長性のある片がポリマー硬化前
    駆物質材料を前記チャンバの中に供給できる温度範囲ま
    で前記伸長性のある片を加熱できる加熱体をリアクタが
    更に備えた請求項15に記載のプラズマリアクタ。
  20. 【請求項20】 前記ポリマー硬化前駆物質がポリマー
    硬化前駆物質材料を前記チャンバの中に供給できる温度
    まで前記ポリマー硬化前駆物質片を加熱できる加熱体を
    リアクタが更に備えた請求項1に記載のプラズマリアク
    タ。
  21. 【請求項21】 前記ポリマー前駆物質片が前記天井部
    の少なくとも一部分を備えた請求項1に記載のプラズマ
    リアクタ。
  22. 【請求項22】 前記ポリマー硬化前駆物質片がポリマ
    ー硬化前駆物質材料を前記チャンバの中に供給できる温
    度まで、前記ポリマー硬化前駆物質片から構成される前
    記天井部の少なくとも一部分を加熱する加熱体をリアク
    タが更に備えた請求項21に記載のプラズマリアクタ。
  23. 【請求項23】 前記三次元的に形作られた表面が前記
    チャンバの内部に面する前記天井部の内部天井部表面で
    ある請求項1に記載のプラズマリアクタ。
  24. 【請求項24】 前記ポリマー硬化前駆物質片が前記チ
    ャンバ内に前記チャンバの内部に面する内部ライナー表
    面を有する前記ライナーを備えた請求項23に記載のプ
    ラズマリアクタ。
  25. 【請求項25】 前記ライナーの内側表面が前記天井部
    の内側表面の少なくとも一部分を含む請求項24に記載
    のプラズマリアクタ。
  26. 【請求項26】 前記ライナーの内側表面が半球形に形
    作られた請求項25に記載のプラズマリアクタ。
  27. 【請求項27】 前記ライナーの内側表面がドーム形状
    の周辺部で最小半径をドーム形状の中心部で最大半径を
    有する多重半径ドーム形状を有する請求項26に記載の
    プラズマリアクタ。
  28. 【請求項28】 リアクタチャンバと;前記チャンバの
    内側部分に面する容量結合電極及びプラズマソースパワ
    ーを前記容量電極へ供給するRFパワーソースと;プロ
    セスガス吸気装置及び食刻剤エッチャント及びポリマー
    前駆物質を含有するプロセスガスを供給する前記吸気装
    置に結合されたプロセスガス供給装置と;前記リアクタ
    チャンバ内部で処理されるべき物品を保持する支持装置
    と;前記チャンバ内部のポリマー硬化前駆物質片とを備
    えた容量結合プラズマリアクタ。
  29. 【請求項29】 前記容量結合電極が前記支持装置に面
    する前記チャンバの頭上式天井部を備え、前記支持装置
    が前記容量電極の容量対向電極を備えた請求項28に記
    載のプラズマリアクタ。
  30. 【請求項30】 前記天井部が円筒形である請求項29
    に記載のプラズマリアクタ。
  31. 【請求項31】 前記天井部が前記チャンバの内側に面
    する三次元的に形作られた内表面を有する、請求項29
    に記載のプラズマリアクタ。
  32. 【請求項32】 前記天井部が前記チャンバの内部に面
    するドーム形に形作られた内表面を有する請求項29に
    記載のプラズマリアクタ。
  33. 【請求項33】 前記内部表面が半球形状である請求項
    32に記載のプラズマリアクタ。
  34. 【請求項34】 前記内部表面がドーム形状の周辺部で
    最小半径をドーム形状の中心部で最大半径を有する多重
    半径ドーム形状を有する請求項32に記載のプラズマリ
    アクタ。
  35. 【請求項35】 前記天井部が、同軸部分に供給され分
    離して制御されるプラズマソースRFパワーレベルによ
    って電気的に別箇分離した複数の同軸部分に分割される
    請求項29に記載のプラズマリアクタ。
  36. 【請求項36】 前記天井部が別個分離して制御される
    温度によって複数の同軸部分に分割される請求項29に
    記載のプラズマリアクタ。
  37. 【請求項37】 前記天井部の前記同軸部分のそれぞれ
    のため独立した温度制御装置を更に備えた請求項35に
    記載のプラズマリアクタ。
  38. 【請求項38】 前記プロセスガス吸気装置が前記チャ
    ンバの異なる半径位置間に分布され、ガス流量が独立し
    て制御可能な複数の吸気口を備えた請求項37に記載の
    プラズマリアクタ。
  39. 【請求項39】 前記ポリマー硬化前駆物質片が、前記
    チャンバの構成部品から分離した取除ける伸長性のある
    片である請求項28に記載のプラズマリアクタ。
  40. 【請求項40】 除去可能な前記伸長性のある片が、前
    記支持装置の直径以上の内径を有する管状リングである
    請求項39に記載のプラズマリアクタ。
  41. 【請求項41】 前記伸長性のある片がポリマー硬化前
    駆物質材料を前記チャンバの中に供給できる温度範囲ま
    で前記伸長性のある片を加熱できる加熱体をリアクタが
    更に備えた請求項39に記載のプラズマリアクタ。
  42. 【請求項42】 前記ポリマー硬化前駆物質がポリマー
    硬化前駆物質材料を前記チャンバの中に供給できる温度
    まで、前記ポリマー硬化前駆物質片を加熱する加熱体を
    リアクタが更に備えた請求項28に記載のプラズマリア
    クタ。
  43. 【請求項43】 前記ポリマー前駆物質片が前記天井部
    の少なくとも一部分を含む請求項29に記載のプラズマ
    リアクタ。
  44. 【請求項44】 前記三次元的に形作られた表面が前記
    チャンバの内部に面する前記天井部の内部表面である請
    求項29に記載のプラズマリアクタ。
  45. 【請求項45】 前記ポリマー硬化前駆物質片が前記チ
    ャンバの内部に面する内部ライナー表面を有するライナ
    ーを前記チャンバ内に備えた請求項44に記載のプラズ
    マリアクタ。
  46. 【請求項46】 前記ライナーの内側表面が前記天井部
    の内側表面の少なくとも部分を備えた請求項45に記載
    のプラズマリアクタ。
  47. 【請求項47】 天井部の周囲に配置されたプラズマソ
    ースパワー結合装置とRFパワーを前記プラズマソース
    パワー結合装置へ供給するRFパワーソースと;プロセ
    スガス吸気装置及び食刻剤エッチャント及びポリマー前
    駆物質を含むプロセスガスを供給する前記吸気装置に結
    合されたプロセスガス供給装置と;前記リアクタチャン
    バ内部で処理されるべき物品を保持する支持装置と;前
    記チャンバの内側表面に隣接する外部表面及び前記チャ
    ンバの内部に面するの内側表面とを有しているチャンバ
    ライナーであって、前記チャンバ内部のポリマー硬化前
    駆物質片を備えた前記チャンバライナーとを備えたプラ
    ズマリアクタ。
  48. 【請求項48】 前記ライナーは前記リアクタの頂部に
    隣接しており、前記ライナーの前記内面が前記チャンバ
    の天井部を備えた請求項47に記載のプラズマリアク
    タ。
  49. 【請求項49】 前記天井部が三次元的形状を有する請
    求項48に記載のプラズマリアクタ。
  50. 【請求項50】 前記天井部が円筒形である請求項48
    に記載のプラズマリアクタ。
  51. 【請求項51】 前記天井部が前記チャンバの内部に面
    するドーム形に形作られた内側表面を有する請求項48
    に記載のプラズマリアクタ。
  52. 【請求項52】 前記内部表面が半球形状である請求項
    51に記載のプラズマリアクタ。
  53. 【請求項53】 前記内部表面がドーム形状の周辺部で
    最大半径をドーム形状の中心部で最小半径を有する多重
    半径ドーム形状を有する請求項51に記載のプラズマリ
    アクタ。
  54. 【請求項54】 前記プラズマソース結合装置が前記
    天井部の周囲に配置された誘導アンテナを備えた請求項
    47に記載のリアクタ。
  55. 【請求項55】 前記誘導アンテナが、夫々同軸の部分
    に分割され、分離して夫々RFパワーレベルに制御され
    る請求項54に記載のプラズマリアクタ。
  56. 【請求項56】 前記天井部が半導体窓電極である請求
    項54に記載のプラズマリアクタ。
  57. 【請求項57】 前記半導体窓電極がRFパワーソース
    へ接続される請求項56に記載のプラズマリアクタ。
  58. 【請求項58】 前記半導体窓電極が、夫々同軸の部分
    に分割され、分離して夫々RFパワーレベルに制御され
    る請求項56に記載のプラズマリアクタ。
  59. 【請求項59】 前記天井部が、複数の同軸の分離した
    部分に分割され、夫々分離して温度制御される請求項4
    8に記載のプラズマリアクタ。
  60. 【請求項60】 前記複数の同軸天井部部分のための複
    数の独立した温度制御装置を更に備えた請求項59に記
    載のプラズマリアクタ。
  61. 【請求項61】 前記天井部が、複数の同軸の分離した
    部分に分割され、夫々分離して温度制御される請求項4
    8に記載のプラズマリアクタ。
  62. 【請求項62】 前記プロセスガス吸気装置が、前記チ
    ャンバの異なる半径位置間に分布され、独立してガス流
    量を制御可能な複数の吸気口を備えた請求項60に記載
    のプラズマリアクタ。
  63. 【請求項63】 前記ポリマー硬化前駆物質片が、前記
    チャンバの構造上の部品から分離した除去可能な伸長性
    のある片である請求項47に記載のプラズマリアクタ。
  64. 【請求項64】 前記取除ける伸長性のある片が、前記
    支持装置の直径を越える内径を有する管状リングである
    請求項63に記載のプラズマリアクタ。
  65. 【請求項65】 前記リングが前記支持装置に隣接する
    請求項64に記載のプラズマリアクタ。
  66. 【請求項66】 前記伸長性のある片が前記天井部に隣
    接する管状リングである請求項63に記載のプラズマリ
    アクタ。
  67. 【請求項67】 前記伸長性のある片がポリマー硬化前
    駆物質材料を前記チャンバの中に供給できる温度範囲ま
    で前記伸長性のある片を加熱できる加熱体をリアクタが
    更に備えた請求項63に記載のプラズマリアクタ。
  68. 【請求項68】 前記ポリマー硬化前駆物質がポリマー
    硬化前駆物質材料を前記チャンバの中に供給できる温度
    まで前記ポリマー硬化前駆物質片を加熱できる加熱体を
    リアクタが更に備えた請求項47に記載のプラズマリア
    クタ。
  69. 【請求項69】 前記ポリマー前駆物質片が少なくとも
    前記天井部の一部分を含む請求項48に記載のプラズマ
    リアクタ。
  70. 【請求項70】 前記ポリマー硬化前駆物質片がポリマ
    ー硬化前駆物質材料を前記チャンバの中に供給できる温
    度まで前記ポリマー硬化前駆物質片から構成された前記
    天井部の少なくとも一部分を加熱できる加熱体をリアク
    タ更に備えた請求項69に記載のプラズマリアクタ。
  71. 【請求項71】 前記三次元的に形作られた表面が前記
    チャンバの内部に面する前記天井部の内部天井部表面で
    ある請求項48に記載のプラズマリアクタ。
  72. 【請求項72】 前記ポリマー硬化前駆物質片が、前記
    チャンバの内部に面する内部ライナー表面を有するライ
    ナーを前記チャンバ内に備えた請求項71に記載のプラ
    ズマリアクタ。
  73. 【請求項73】 前記ライナーの内側表面が前記天井部
    の内側表面の少なくとも一部分を含む請求項72に記載
    のプラズマリアクタ。
  74. 【請求項74】 前記プロセスを実行するチャンバを設
    け;チャンバ内で支持装置に処理されるべき物品を支持
    し;少なくとも食刻剤エッチャント及びポリマー前駆物
    質材料を含有するプロセスガスを供給し;前記プロセス
    ガスに加えて、前記チャンバにシリコン又は炭素のソー
    ス材料を供給し;前記チャンバ内にプラズマを発生さ
    せ;前記ソース材料を十分に加熱して少なくとも敏感な
    前記ソースの表面を前記プラズマで維持し;処理される
    べき前記物品の端から端にわたってプラズマイオン密度
    の半径方向分布を調整するプラズマエッチングプロセ
    ス。
  75. 【請求項75】 前記プラズマ生成の工程が前記チャン
    バの中にRFプラズマソースパワーを加え、前記調整の
    工程が前記チャンバの半径方向RFパワー分布を調整す
    る請求項74に記載のプロセス。
  76. 【請求項76】 前記リアクタが、同軸の電気的に分離
    したアンテナ部分に分割されたアンテナであって、RF
    プラズマソースパワーを加えるための前記誘導アンテナ
    を備え、前記チャンバ内の半径方向RFパワー分布の調
    整が前記分離したアンテナ部分へ加えられる別個のRF
    パワーレベルの調整である請求項75に記載のプロセ
    ス。
  77. 【請求項77】 プラズマイオン密度の半径方向分布を
    調整することが前記チャンバ内のプロセスガスの半径方
    向分布を調整する請求項74に記載のプロセス。
  78. 【請求項78】 前記リアクタが、異なる半径方向位置
    に配置されたプロセスガスの供給のため複数のプロセス
    ガス吸気口を備え、前記チャンバ内のプロセスガスの半
    径方向分布に対する調整は、異なる半径方向位置に配置
    された前記ガス吸気口の夫々に対し異なるガス流量を調
    整することである請求項77に記載のプロセス。
  79. 【請求項79】 前記チャンバが前記支持装置に面する
    半導体天井部を備え、プラズマイオン密度の半径方向の
    分布に対する整は、前記天井部の異なる半径方向領域の
    温度を調整する請求項74に記載のプロセス。
  80. 【請求項80】 前記チャンバが前記支持装置に面する
    天井部を備え、前記天井部は複数の電気的に分離した同
    軸の天井部部分を備え、プラズマイオン密度の半径方向
    の分布に対する調整は、前記天井部の前記複数の同軸部
    分の夫々に対して加えられる異なるRFパワーレベルを
    調整する請求項74に記載のプロセス。
  81. 【請求項81】 前記チャンバが、複数の電気的に分離
    した同軸の包囲体部分を備え、プラズマイオン密度の半
    径方向の分布に対する調整は、前記複数の同軸の包囲体
    部分の1つに対して加えられる異なるRFパワーレベル
    を調整することである請求項74に記載のプロセス。
  82. 【請求項82】 加熱ステップが少なくともポリマー凝
    縮温度まで前記ソース材料を加熱を含む請求項74に記
    載のプロセス。
  83. 【請求項83】 前記エッチングプロセスが、第1及び
    第2エッチング速度でそれぞれ前記物品上の第1及び第
    2の異なる材料をエッチングし、前記第1のエッチング
    速度は、前記第1及び第2エッチング速度の関数である
    前記第2の材料に対するエッチング選択性に対応する前
    記第2エッチング速度より大きく、前記加熱ステップ
    が、更に、前記ソース材料の温度を前記ポリマー凝縮温
    度の温度範囲に上昇させ前記エッチング選択性を増大す
    ることを更に含む請求項82に記載のプロセス。
  84. 【請求項84】 前記第1材料が前記第2材料を覆い前
    記食刻剤エッチャントの使用が前記第1材料を貫通して
    前記第2材料の露出部分までの開口を作る請求項83に
    記載のプロセス。
  85. 【請求項85】 前記ポリマー前駆物質材料が第2材料
    の露出部分のポリマー堆積物用材料を生成し、前記食刻
    剤エッチャント前駆物質材料が前記物品をエッチング用
    材料を生成し、前記ポリマー堆積物が前記第2材料のエ
    ッチングを低減してエッチング選択性を向上する請求項
    84に記載のプロセス。
  86. 【請求項86】 前記第1材料上のフォトレジストマス
    ク層が前記第1材料によって規定される開口を有し、こ
    の開口は前記第1材料を貫通し、前記ポリマー堆積物が
    前記第2材料の及び前記フォトレジスト材料のエッチン
    グを低減してエッチング選択性を向上する請求項85に
    記載のプロセス。
  87. 【請求項87】 前記第1材料が酸素含有材料を備え前
    記第2材料が非酸素含有材料を備えた請求項85に記載
    のプロセス。
  88. 【請求項88】 前記加熱ステップが前記ソース材料の
    温度を前記ポリマー凝縮温度以上の温度範囲まで加熱す
    ることを更に含む請求項83に記載のプロセス。
  89. 【請求項89】 前記温度範囲が、前記ウエハに形成さ
    れたポリマーが前記ソース材料の量を含む温度範囲であ
    る請求項88に記載のプロセス。
  90. 【請求項90】 前記第1材料が酸化物を備え、前記第
    2材料がシリコン又は多結晶シリコンを備え、前記プロ
    セスガスの食刻剤エッチャント前駆物質がフッ素を備
    え、前記プロセスガスのポリマー前駆物質が少なくとも
    フッ素及び炭素を備え前記ソース材料がシリコンを備え
    た請求項84に記載のプロセス。
  91. 【請求項91】 前記温度範囲が約100℃以上にある
    請求項84に記載のプロセス。
  92. 【請求項92】 前記温度範囲が約220℃以上にある
    請求項84に記載のプロセス。
  93. 【請求項93】 前記温度範囲が約100℃以上にある
    請求項90に記載のプロセス。
  94. 【請求項94】 前記温度範囲が約220℃以上にある
    請求項90に記載のプロセス。
  95. 【請求項95】 RFパワーの前記ソース材料への印加
    を更に含む請求項74に記載のプロセス。
  96. 【請求項96】 前記ソース材料に加えられる前記RF
    パワー及び前記ソース材料に対する前記加熱は共に、前
    記ソースの表面を前記プラズマで活性状態に維持するの
    に十分である請求項95に記載のプロセス。
  97. 【請求項97】 前記ソース材料が前記食刻剤エッチャ
    ント前駆物質から導出される食刻剤エッチャント用のス
    カベンジャを備え、前記ソース材料に加えられる前記R
    Fパワーが前記食刻剤エッチャントの著しい掃出を促進
    する請求項96に記載のプロセス。
  98. 【請求項98】 前記ソース材料の温度を上昇させRF
    パワーの低下の補償をしながら、前記ポリマー凝縮温度
    付近で前記ソース材料が著しい量のフッ素スカベンジャ
    材料を前記プラズマの中に生成するような基準パワーレ
    ベルより大幅に低いレベルのRFパワーを前記ソース材
    料に印加することを更に含む請求項97に記載のプロセ
    ス。
  99. 【請求項99】 前記目標温度が端数的に上昇しなが
    ら、前記RFパワーレベルが少なくとも前記参照パワー
    レベルより整数的に低下される請求項98に記載のプロ
    セス。
  100. 【請求項100】 前記RFパワーレベルが約4倍低減
    され前記目標温度が約240℃に上昇する請求項99に
    記載のプロセス。
JP9309301A 1996-10-23 1997-10-23 ポリマー硬化前駆物質材料ソースを有するプラズマリアクタ Withdrawn JPH10150021A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/734797 1996-10-23
US08/734,797 US6024826A (en) 1996-05-13 1996-10-23 Plasma reactor with heated source of a polymer-hardening precursor material

Publications (1)

Publication Number Publication Date
JPH10150021A true JPH10150021A (ja) 1998-06-02

Family

ID=24953109

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9309301A Withdrawn JPH10150021A (ja) 1996-10-23 1997-10-23 ポリマー硬化前駆物質材料ソースを有するプラズマリアクタ

Country Status (5)

Country Link
US (2) US6024826A (ja)
EP (1) EP0838842A3 (ja)
JP (1) JPH10150021A (ja)
KR (1) KR100515122B1 (ja)
TW (1) TW344848B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011071073A1 (ja) * 2009-12-10 2011-06-16 東京エレクトロン株式会社 静電チャック装置
JP2014090177A (ja) * 2001-04-03 2014-05-15 Applied Materials Inc プラズマチャンバーにおいて半導体ワークピースを取り巻く導電性カラー
KR20140118912A (ko) * 2013-03-27 2014-10-08 램 리써치 코포레이션 히터 및 공기 증폭기를 이용한 rf 챔버에서의 온도 제어

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
US6171974B1 (en) * 1991-06-27 2001-01-09 Applied Materials, Inc. High selectivity oxide etch process for integrated circuit structures
US20010054601A1 (en) * 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US6284093B1 (en) 1996-11-29 2001-09-04 Applied Materials, Inc. Shield or ring surrounding semiconductor workpiece in plasma chamber
US6189484B1 (en) 1999-03-05 2001-02-20 Applied Materials Inc. Plasma reactor having a helicon wave high density plasma source
US6273022B1 (en) * 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
KR100751740B1 (ko) * 1999-11-15 2007-08-24 램 리써치 코포레이션 공정 시스템들을 위한 재료들과 기체 화학성분들
EP1230663A1 (en) * 1999-11-15 2002-08-14 LAM Research Corporation Temperature control system for plasma processing apparatus
US20030155079A1 (en) * 1999-11-15 2003-08-21 Andrew D. Bailey Plasma processing system with dynamic gas distribution control
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
US6685798B1 (en) 2000-07-06 2004-02-03 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US6694915B1 (en) * 2000-07-06 2004-02-24 Applied Materials, Inc Plasma reactor having a symmetrical parallel conductor coil antenna
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US6458671B1 (en) * 2001-02-16 2002-10-01 Applied Materials Inc. Method of providing a shallow trench in a deep-trench device
US20020170678A1 (en) * 2001-05-18 2002-11-21 Toshio Hayashi Plasma processing apparatus
US6810886B2 (en) * 2001-05-24 2004-11-02 Applied Materials, Inc. Chamber cleaning via rapid thermal process during a cleaning period
US9708707B2 (en) * 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6756318B2 (en) * 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
WO2003029513A1 (en) * 2001-09-28 2003-04-10 Tokyo Electron Limited Hybrid plasma processing apparatus
JP4209774B2 (ja) * 2001-09-28 2009-01-14 住友精密工業株式会社 シリコン基板のエッチング方法およびエッチング装置
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
EP1480250A1 (en) * 2003-05-22 2004-11-24 HELYSSEN S.à.r.l. A high density plasma reactor and RF-antenna therefor
US20050266173A1 (en) * 2004-05-26 2005-12-01 Tokyo Electron Limited Method and apparatus of distributed plasma processing system for conformal ion stimulated nanoscale deposition process
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20060083605A (ko) 2005-01-18 2006-07-21 삼성전자주식회사 배타적 오아 게이트와 배타적 노아 게이트를 겸용하는논리 회로
KR100799175B1 (ko) * 2006-04-21 2008-02-01 주식회사 뉴파워 프라즈마 플라즈마 프로세싱 시스템 및 그 제어 방법
US20080078326A1 (en) * 2006-09-29 2008-04-03 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-cleaning tool and semiconductor processing apparatus using the same
US7976674B2 (en) * 2007-06-13 2011-07-12 Tokyo Electron Limited Embedded multi-inductive large area plasma source
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20090095714A1 (en) * 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
KR101559913B1 (ko) * 2009-06-25 2015-10-27 삼성전자주식회사 플라즈마 건식 식각 장치
JP2011124293A (ja) * 2009-12-09 2011-06-23 Hitachi High-Technologies Corp プラズマ処理装置
JP5870568B2 (ja) * 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5712874B2 (ja) 2011-09-05 2015-05-07 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US9978565B2 (en) 2011-10-07 2018-05-22 Lam Research Corporation Systems for cooling RF heated chamber components
US9530656B2 (en) * 2011-10-07 2016-12-27 Lam Research Corporation Temperature control in RF chamber with heater and air amplifier
US9947512B2 (en) * 2011-10-25 2018-04-17 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber
KR102102003B1 (ko) * 2012-05-25 2020-04-20 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 및 플라즈마 처리 방법
US9745663B2 (en) * 2012-07-20 2017-08-29 Applied Materials, Inc. Symmetrical inductively coupled plasma source with symmetrical flow chamber
US10170279B2 (en) 2012-07-20 2019-01-01 Applied Materials, Inc. Multiple coil inductively coupled plasma source with offset frequencies and double-walled shielding
US9928987B2 (en) * 2012-07-20 2018-03-27 Applied Materials, Inc. Inductively coupled plasma source with symmetrical RF feed
US9449794B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and spiral coil antenna
US9082590B2 (en) 2012-07-20 2015-07-14 Applied Materials, Inc. Symmetrical inductively coupled plasma source with side RF feeds and RF distribution plates
US10249470B2 (en) * 2012-07-20 2019-04-02 Applied Materials, Inc. Symmetrical inductively coupled plasma source with coaxial RF feed and coaxial shielding
JP5939147B2 (ja) * 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
US9184045B2 (en) 2013-02-08 2015-11-10 Taiwan Semiconductor Manufacturing Co., Ltd. Bottom-up PEALD process
CN107221487B (zh) 2013-03-15 2019-06-28 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
KR101559024B1 (ko) * 2014-03-27 2015-10-13 세메스 주식회사 기판 처리 장치
US9911620B2 (en) * 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10727089B2 (en) * 2016-02-12 2020-07-28 Lam Research Corporation Systems and methods for selectively etching film
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
WO2019241405A1 (en) 2018-06-14 2019-12-19 Mks Instruments, Inc. Radical output monitor for a remote plasma source and method of use
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법
JP7417569B2 (ja) * 2021-10-29 2024-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) * 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
WO1986006923A1 (en) * 1985-05-03 1986-11-20 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
DE69224640T2 (de) * 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
JP2635267B2 (ja) * 1991-06-27 1997-07-30 アプライド マテリアルズ インコーポレイテッド Rfプラズマ処理装置
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
EP0849766A3 (en) * 1992-01-24 1998-10-14 Applied Materials, Inc. Etch process
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014090177A (ja) * 2001-04-03 2014-05-15 Applied Materials Inc プラズマチャンバーにおいて半導体ワークピースを取り巻く導電性カラー
WO2011071073A1 (ja) * 2009-12-10 2011-06-16 東京エレクトロン株式会社 静電チャック装置
US8981263B2 (en) 2009-12-10 2015-03-17 Tokyo Electron Limited Electrostatic chuck apparatus
US9721822B2 (en) 2009-12-10 2017-08-01 Tokyo Electron Limited Electrostatic chuck apparatus
KR20140118912A (ko) * 2013-03-27 2014-10-08 램 리써치 코포레이션 히터 및 공기 증폭기를 이용한 rf 챔버에서의 온도 제어

Also Published As

Publication number Publication date
TW344848B (en) 1998-11-11
KR100515122B1 (ko) 2005-11-28
EP0838842A2 (en) 1998-04-29
KR19980033074A (ko) 1998-07-25
EP0838842A3 (en) 1999-01-13
US5990017A (en) 1999-11-23
US6024826A (en) 2000-02-15

Similar Documents

Publication Publication Date Title
JPH10150021A (ja) ポリマー硬化前駆物質材料ソースを有するプラズマリアクタ
US6036877A (en) Plasma reactor with heated source of a polymer-hardening precursor material
US6818140B2 (en) Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6189484B1 (en) Plasma reactor having a helicon wave high density plasma source
US6238588B1 (en) High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JP3426040B2 (ja) 被加熱掃去面を備えるプラズマエッチング装置
US6589437B1 (en) Active species control with time-modulated plasma
US6790311B2 (en) Plasma reactor having RF power applicator and a dual-purpose window
US6454898B1 (en) Inductively coupled RF Plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP4194164B2 (ja) プラズマ処理装置
EP1154466A1 (en) Method and apparatus for plasma processing

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050104