KR102102003B1 - 플라즈마 처리 장치, 및 플라즈마 처리 방법 - Google Patents

플라즈마 처리 장치, 및 플라즈마 처리 방법 Download PDF

Info

Publication number
KR102102003B1
KR102102003B1 KR1020147029747A KR20147029747A KR102102003B1 KR 102102003 B1 KR102102003 B1 KR 102102003B1 KR 1020147029747 A KR1020147029747 A KR 1020147029747A KR 20147029747 A KR20147029747 A KR 20147029747A KR 102102003 B1 KR102102003 B1 KR 102102003B1
Authority
KR
South Korea
Prior art keywords
processing
plasma
gas
temperature
plasma processing
Prior art date
Application number
KR1020147029747A
Other languages
English (en)
Other versions
KR20150016491A (ko
Inventor
나오키 마츠모토
유고 도미타
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150016491A publication Critical patent/KR20150016491A/ko
Application granted granted Critical
Publication of KR102102003B1 publication Critical patent/KR102102003B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 처리 장치 PM1은, 플라즈마 처리 공간 S를 구획하는 처리 용기(12)와, 피처리 기판 W의 설치용 스테이지(14)와, 플라즈마 반응에 이용되는 처리 가스를 플라즈마 처리 공간 S에 도입하는 가스 공급계(38) 등을 구비한다. 또한, 플라즈마 처리 장치 PM1은, 처리 가스를 플라즈마화하기 위한 전자 에너지를 공급하는 마이크로파 발생기(16)를 구비한다. 또한, 플라즈마 처리 장치 PM1은, 처리 용기(12)의 외부의 기판 반입 스테이지에 설치된 피처리 기판 W에 대한 플라즈마 처리 개시의 지령이 발행되어 피처리 기판 W가 처리 용기(12) 내에 반송되고 있는 동안에, 웨이퍼리스의 상태에서, 처리 가스를 공급함과 아울러 전자 에너지를 공급하는 웜업 처리를 행하는 제어부(100)를 구비한다.

Description

플라즈마 처리 장치, 및 플라즈마 처리 방법{PLASMA PROCESSING DEVICE AND PLASMA PROCESSING METHOD}
본 발명의 다양한 측면 및 실시 형태는, 플라즈마 처리 장치, 및 플라즈마 처리 방법에 관한 것이다.
반도체의 제조 프로세스에서는, 박막의 퇴적 또는 에칭 등을 목적으로 한 플라즈마 처리를 실행하는 플라즈마 처리 장치가 널리 이용되고 있다. 플라즈마 처리 장치는, 예컨대 박막의 퇴적 처리를 행하는 플라즈마 CVD(Chemical Vapor Deposition) 장치나, 에칭 처리를 행하는 플라즈마 에칭 장치를 들 수 있다.
플라즈마 처리 장치는, 피처리 기판을 처리하기 위한 처리실, 처리실 내에 피처리 기판을 설치하는 시료대, 및 플라즈마 반응에 필요한 처리 가스를 처리실 내에 도입하기 위한 가스 공급계 등을 구비한다. 또한, 플라즈마 처리 장치는, 처리실 내의 처리 가스를 플라즈마화하기 위해, 마이크로파, RF파 등의 전자 에너지를 공급하는 플라즈마 생성 기구, 및 바이어스 전압을 시료대에 인가하고, 시료대 위에 설치된 피처리 기판을 향해서 플라즈마 중의 이온을 가속하기 위한 바이어스 전압 인가 기구 등을 구비한다.
그런데, 플라즈마 처리 장치는, 처리실의 내표면 온도의 편차에 기인하여, 동일한 처리 조건에서 플라즈마 처리를 반복하더라도 피처리 기판의 가공 정밀도가 다른 경우가 있다. 일례로서 플라즈마 에칭 장치를 들어 설명한다. 예컨대, 이전의 플라즈마 에칭 처리의 실행 후 어느 정도의 시간을 두고 플라즈마 에칭 처리를 행하면, 이 플라즈마 에칭 처리의 최초의 로트(퍼스트 로트)의 1매째의 피처리 기판의 에칭 레이트가, 후속 피처리 기판에 비하여 에칭 레이트가 낮아지는 경우가 있다. 이것은, 이전의 플라즈마 에칭 처리의 실행 후 어느 정도의 시간을 둔 것에 의해 처리실의 내표면의 온도가 저하하고, 그 상태로 플라즈마 에칭 처리가 실행되는 것에 기인하는 것이라고 생각된다.
종래, 이와 같은 문제에 대해서는, 예컨대, 특허 문헌 1과 같이 퍼스트 로트의 피처리 기판에 대하여 플라즈마 처리를 실행하기 전에, 시즈닝을 행하는 것이 알려져 있다. 시즈닝이란, 퍼스트 로트의 플라즈마 처리를 실행하기 전에, 시료대에 더미 웨이퍼를 설치하여, 플라즈마를 생성시키는 처리를 실행하는 것에 의해, 처리실의 내표면 온도를 상승시키는 것이다. 그리고, 처리실의 내표면 온도가 상승한 후, 통상의 플라즈마 처리를 실행한다. 이것에 의하면, 일단 처리실의 내표면 온도가 저하한 후의 퍼스트 로트의 피처리 기판을 처리하는 경우에도, 에칭 레이트가 저하하는 것을 억제할 수 있다고 생각된다.
(선행 기술 문헌)
(특허 문헌)
(특허 문헌 1) 일본 특허 공개 2005-244065호 공보
그렇지만, 종래 기술은, 시즈닝 프로세스에 의해 퍼스트 로트의 피처리 기판을 처리하기 전에 더미 웨이퍼를 설치하여 플라즈마 처리를 행하므로, 단위 시간당 피처리 기판의 처리 매수가 저하한다. 이 때문에, 종래 기술에서는, 퍼스트 로트의 피처리 기판의 에칭 레이트의 저하를 억제하고, 또한, 피처리 기판의 플라즈마 처리의 스루풋의 저하를 억제하는 것은 어렵다.
본 발명의 일 측면에 따른 플라즈마 처리 장치는, 플라즈마 처리 공간을 구획하는 처리 용기와, 상기 처리 용기 내에 마련되고, 피처리 기판이 설치되는 시료대를 구비한다. 또한, 플라즈마 처리 장치는, 플라즈마 반응에 이용되는 처리 가스를 상기 플라즈마 처리 공간에 도입하는 가스 공급 기구와, 상기 플라즈마 처리 공간 내에 도입된 처리 가스를 플라즈마화하기 위한 전자 에너지를 공급하는 플라즈마 생성 기구를 구비한다. 또한, 플라즈마 처리 장치는, 상기 처리 용기의 외부의 기판 반입 스테이지에 설치된 피처리 기판에 대한 플라즈마 처리 개시의 지령이 발행되어 그 피처리 기판이 상기 기판 반입 스테이지로부터 상기 처리 용기 내에 반송되고 있는 동안에, 상기 시료대에 피처리 기판이 설치되어 있지 않은 상태에서, 상기 가스 공급 기구에 의해 상기 처리 가스를 상기 처리 공간에 공급함과 아울러 상기 플라즈마 생성 기구에 의해 상기 전자 에너지를 공급하는 웜업 처리를 실행하는 제어부를 구비한다.
본 발명의 다양한 측면 및 실시 형태에 의하면, 퍼스트 로트의 피처리 기판의 에칭 레이트의 저하를 억제하고, 또한, 피처리 기판의 플라즈마 처리의 스루풋의 저하를 억제할 수 있는 플라즈마 처리 장치 및 플라즈마 처리 방법이 실현된다.
도 1은 일 실시 형태에 따른 플라즈마 처리 시스템의 개략을 나타내는 도면이다.
도 2는 일 실시 형태에 따른 플라즈마 처리 장치의 개략을 나타내는 도면이다.
도 3은 일 실시 형태에 따른 슬롯판을 축선 X 방향으로부터 본 평면도이다.
도 4는 일 실시 형태에 따른 인젝터 및 유전체창의 관통 구멍을 확대하여 나타내는 단면도이다.
도 5는 일 실시 형태에 따른 플라즈마 처리 방법의 개략을 나타내는 도면이다.
도 6은 일 실시 형태에 따른 플라즈마 처리 방법의 플로차트이다.
도 7(a)는 일 실시 형태에 따른 플라즈마 처리 방법에 의한 효과를 설명하기 위한 도면이다.
도 7(b)는 일 실시 형태에 따른 플라즈마 처리 방법에 의한 효과를 설명하기 위한 도면이다.
도 7(c)는 일 실시 형태에 따른 플라즈마 처리 방법에 의한 효과를 설명하기 위한 도면이다.
도 8은 일 실시 형태에 따른 플라즈마 처리 방법에 있어서의 처리 가스 종류마다의 유전체창의 온도의 추이를 나타내는 도면이다.
도 9는 유전체창의 온도 측정 포인트의 일례를 나타내는 도면이다.
도 10은 방사 온도 측정기에 의한 온도 측정의 일례를 나타내는 도면이다.
도 11은 방사 온도 측정기에 의한 온도 측정의 일례를 나타내는 도면이다.
도 12는 유전체창의 온도 측정 포인트마다의 온도의 추이를 나타내는 도면이다.
도 13은 광파이버 온도계에 의한 온도 측정의 일례를 나타내는 도면이다.
도 14(a)는 로트간에 있어서의 유전체창의 온도의 추이를 나타내는 도면이다.
도 14(b)는 로트간에 있어서의 유전체창의 온도의 추이를 나타내는 도면이다.
도 15는 일 실시 형태에 따른 로트간 웜업 처리의 플로차트이다.
이하, 도면을 참조하여 다양한 실시 형태에 대하여 상세하게 설명한다. 또, 각 도면에 있어서 동일 또는 상당하는 부분에 대해서는 동일한 부호를 붙이기로 한다.
도 1은 일 실시 형태에 따른 플라즈마 처리 시스템의 개략을 나타내는 도면이다. 도 1에 나타내는 바와 같이, 일 실시 형태에 따른 플라즈마 처리 시스템(1000)은, 대기 반송실(11), 반입출 포트(130), 로드록실(150), 얼라인먼트 모듈(160)을 구비한다. 또한, 플라즈마 처리 시스템(1000)은, 진공 반송실(13), 복수의 플라즈마 처리 장치 PM1~PM4, 및 제어부(100)를 구비한다.
대기 반송실(11)은, 대기 압력하에 마련되고, 반입출 포트(130)에 설치된 피처리 기판 W를, 예컨대 플라즈마 처리 장치 PM1에 반송하기 위한 직육면체 형상의 반송 용기이다. 대기 반송실(11) 내에는, 반입출 포트(130), 얼라인먼트 모듈(160), 및 로드록실(150)의 상호간에, 피처리 기판 W를 수수하는 회전 신축이 자유로운 반송 암을 갖는 반송 기구(120)가 마련된다. 반입출 포트(130)는, 대기 반송실(11)의 긴 변의 한쪽의 측벽으로 늘어놓아 복수(본 실시 형태에서는 3개) 마련된다. 각 반입출 포트(130)는, 도어(140)를 거쳐서 대기 반송실(11)의 긴 변의 한쪽의 측벽에 접속되고, 플라즈마 처리가 실시되기 전의 피처리 기판 W를 수납하는 FOUP(Front Opening Unified Pod)를 갖는다.
로드록실(150)은, 대기 반송실(11)의 긴 변의 다른 쪽의 측벽으로 늘어놓아 복수(본 실시 형태에서는 2개) 마련된다. 로드록실(150)은, 게이트 밸브 G1을 거쳐서 대기 반송실(11)의 긴 변의 다른 쪽의 측벽에 접속되고, 대기 압력하의 피처리 기판 W를 진공 압력하의 진공 반송실(13)에 수수하기 위한 예비 진공실이다. 얼라인먼트 모듈(160)은, 대기 반송실(11)의 짧은 변의 한쪽의 측벽에 마련되고, 피처리 기판 W의 위치 조정을 행하기 위한 오리엔터를 갖는다.
진공 반송실(13)은, 평면 형상이 6각형인 피처리 기판 W의 반송 용기이고, 도시하지 않는 진공 펌프에 의해 실내가 진공 분위기로 유지되고 있다. 진공 반송실(13)의 2변의 측벽에는 각각, 게이트 밸브 G2를 거쳐서 로드록실(150)이 접속된다. 또한, 진공 반송실(13)의 나머지의 4변의 측벽에는 각각, 게이트 밸브 G3을 거쳐서 플라즈마 처리 장치 PM1~PM4가 접속된다. 진공 반송실(13) 내에는, 로드록실(150), 및 플라즈마 처리 장치 PM1~PM4의 상호간에 피처리 기판 W를 수수하는, 회전 신축이 자유로운 반송 암을 갖는 반송 기구(220)가 마련되어 있다.
제어부(100)는, 예컨대, 중앙 처리 장치(CPU) 및 메모리 등의 기억 장치를 구비하는 컴퓨터이더라도 좋다. 제어부(100)는, 기억 장치에 기억된 프로그램 및 처리 레시피에 따라서 다양한 제어 신호를 출력한다. 제어부(100)는, 예컨대, 피처리 기판 W의 반송, 각 게이트 밸브 G1~G3 및 도어(140)의 개폐, 각 플라즈마 처리 장치 PM1~PM4에 있어서의 처리, 웜업 처리, 및 로트간 웜업 처리의 제어를 행한다. 제어부(100)로부터 출력되는 다양한 제어 신호는, 반송 기구(120, 220), 각 게이트 밸브 G1~G3, 도어(140), 및 플라즈마 처리 장치 PM1~PM4에 입력된다. 또, 제어부(100)에 의해 실행되는 웜업 처리 및 로트간 웜업 처리의 상세는 후술한다.
다음으로, 일 실시 형태에 따른 플라즈마 처리 장치 PM1~PM4의 구성을 설명한다. 본 실시 형태에서는, 플라즈마 처리 장치 PM1~PM4가 모두 플라즈마 에칭 장치인 경우를 예로 들어 설명하지만, 이것으로는 한정되지 않는다. 이하의 설명에서는, 플라즈마 처리 장치 PM1을 대표하여 설명하지만, 플라즈마 처리 장치 PM2~PM4도 동일한 구성을 갖는 것으로 한다.
도 2는 일 실시 형태에 따른 플라즈마 처리 장치의 개략을 나타내는 도면이다. 도 2에 나타내는 플라즈마 처리 장치 PM1은, 처리 용기(12), 스테이지(14), 마이크로파 발생기(16), 안테나(18), 및 유전체창(20)을 구비하고 있다.
처리 용기(12)는, 플라즈마 처리를 행하기 위한 처리 공간 S를 구획하고 있다. 처리 용기(12)는, 측벽(12a), 및, 저부(12b)를 갖는다. 측벽(12a)은, 대략 통 형상으로 형성되어 있다. 이하, 측벽(12a)의 통 형상의 중심에 있어서 통 형상의 연장되는 축선 X를 가상적으로 설정하고, 축선 X의 연장 방향을 축선 X 방향이라고 한다. 저부(12b)는, 측벽(12a)의 하단측에 마련되고, 측벽(12a)의 바닥측 개구를 덮는다. 저부(12b)에는, 배기용 배기 구멍(12h)이 마련되어 있다. 측벽(12a)의 상단부는 개구하고 있다.
측벽(12a)의 상단부 개구는, 유전체창(20)에 의해 닫혀 있다. 유전체창(20)과 측벽(12a)의 상단부의 사이에는 O링(21)이 개재되어 있다. 유전체창(20)은, O링(21)을 사이에 두고 측벽(12a)의 상단부에 마련된다. O링(21)에 의해, 처리 용기(12)의 밀폐가 보다 확실한 것이 된다. 스테이지(14)는, 처리 공간 S 내에 수용되고, 피처리 기판 W가 탑재된다. 유전체창(20)은, 처리 공간 S에 대향하는 대향면(20a)을 갖는다.
마이크로파 발생기(16)는, 예컨대, 2.45㎓의 마이크로파를 발생시킨다. 본 실시 형태에 있어서는, 플라즈마 처리 장치 PM1은, 튜너(22), 도파관(24), 모드 변환기(26), 및 동축 도파관(28)을 더 구비하고 있다.
마이크로파 발생기(16)는, 튜너(22)를 거쳐서 도파관(24)에 접속되어 있다. 도파관(24)은, 예컨대, 직사각형 도파관이다. 도파관(24)은, 모드 변환기(26)에 접속되어 있고, 모드 변환기(26)는, 동축 도파관(28)의 상단에 접속되어 있다.
동축 도파관(28)은, 축선 X를 따라서 연장되어 있다. 이 동축 도파관(28)은, 외측 도체(28a) 및 내측 도체(28b)를 포함하고 있다. 외측 도체(28a)는, 축선 X 방향으로 연장되는 대략 원통 형상을 갖고 있다. 내측 도체(28b)는, 외측 도체(28a)의 내부에 마련되어 있다. 이 내측 도체(28b)는, 축선 X를 따라서 연장되는 대략 원통 형상을 갖고 있다.
마이크로파 발생기(16)에 의해 발생된 마이크로파는, 튜너(22) 및 도파관(24)을 거쳐서 모드 변환기(26)에 도파된다. 모드 변환기(26)는, 마이크로파의 모드를 변환하여, 모드 변환 후의 마이크로파를 동축 도파관(28)에 공급한다. 동축 도파관(28)으로부터의 마이크로파는, 안테나(18)에 공급된다.
안테나(18)는, 마이크로파 발생기(16)에 의해 발생되는 마이크로파에 근거하여, 플라즈마 여기용 마이크로파를 방사한다. 안테나(18)는, 슬롯판(30), 유전체판(32), 및 냉각 재킷(34)을 갖는다. 안테나(18)는, 유전체창(20)의 대향면(20a)의 반대측의 면(20b) 위에 마련되고, 마이크로파 발생기(16)에 의해 발생되는 마이크로파에 근거하여, 유전체창(20)을 거쳐서 플라즈마 여기용 마이크로파를 처리 공간 S에 방사한다. 또, 마이크로파 발생기(16) 및 안테나(18) 등은, 처리 공간 S 내에 도입된 처리 가스를 플라즈마화하기 위한 전자 에너지를 공급하는 플라즈마 생성 기구가 된다.
슬롯판(30)은, 축선 X에 판면이 직교하는 대략 원판 형상으로 형성된다. 슬롯판(30)은, 유전체창(20)의 대향면(20a)의 반대측의 면(20b) 위에, 유전체창(20)과 서로 판면을 맞추어 배치된다. 슬롯판(30)에는, 축선 X를 중심으로 하여 둘레 방향으로 복수의 슬롯(30a)이 배열된다.
도 3은 일 실시 형태에 따른 슬롯판을 축선 X 방향으로부터 본 평면도이다. 본 실시 형태에 있어서는, 도 3에 나타내는 바와 같이, 슬롯판(30)은, 레이디얼 라인 슬롯 안테나를 구성하는 슬롯판이다. 슬롯판(30)은, 도전성을 갖는 금속제의 원판 형상으로 형성된다. 슬롯판(30)에는, 복수의 슬롯(30a)이 형성된다. 각 슬롯(30a)은, 서로 교차 또는 직교하는 방향으로 연장되는 긴 구멍인 슬롯(30b)과 슬롯(30c)을 포함하고 있다. 복수의 슬롯(30a)은, 지름 방향으로 소정의 간격으로 배치되어 있고, 또한, 둘레 방향으로 소정의 간격으로 배치되어 있다.
바꿔 말하면, 복수의 슬롯(30a)은, 슬롯판(30)의 원주 방향을 따라서 복수 배치되어 형성된 제 1 슬롯군(30a-1)과, 제 1 슬롯군(30a-1)보다 슬롯판(30)의 지름 방향의 바깥쪽에 있어서, 슬롯판(30)의 원주 방향을 따라서 복수 배치되어 형성된 제 2 슬롯군(30a-2)을 갖는다. 또한, 슬롯판(30)의 중앙부에는, 후술하는 도관(36)이 관통 가능한 관통 구멍(30d)이 형성된다.
도 2를 다시 참조한다. 유전체판(32)은, 판면이 축선 X에 직교하는 대략 원판 형상으로 형성된다. 유전체판(32)은, 슬롯판(30)과 냉각 재킷(34)의 아래쪽 표면의 사이에 마련되어 있다. 유전체판(32)은, 예컨대 석영제이고, 대략 원판 형상을 갖고 있다. 또한, 유전체창(20)은, 처리 공간 S에 면한 대향면(20a)의, 원판 형상의 중앙부와 외주부의 사이에 고리 모양의 오목부(20c)가 형성되어 있다. 이것에 의해, 유전체창(20)에는, 원판 형상의 중앙부에 있어서의 후부(厚部)(20d)와, 원판 형상의 중앙부와 외주부의 사이에 있어서의 박부(薄部)(20e)가 형성된다.
냉각 재킷(34)의 표면은, 도전성을 갖는다. 냉각 재킷(34)은, 내부에 냉매가 통류 가능한 유로(34a)가 형성되어 있고, 냉매의 통류에 의해 유전체판(32) 및 슬롯판(30)을 냉각한다. 냉각 재킷(34)의 상부 표면에는, 외측 도체(28a)의 하단이 전기적으로 접속되어 있다. 또한, 내측 도체(28b)의 하단은, 냉각 재킷(34) 및 유전체판(32)의 중앙 부분에 형성된 구멍을 통해서, 슬롯판(30)에 전기적으로 접속되어 있다.
동축 도파관(28)으로부터의 마이크로파는, 유전체판(32)에 전파되고, 슬롯판(30)의 슬롯(30a)으로부터 유전체창(20)을 거쳐서, 처리 공간 S 내에 도입된다. 본 실시 형태에 있어서는, 동축 도파관(28)의 내측 도체(28b)의 안쪽 구멍에는, 도관(36)이 지나고 있다. 슬롯판(30)의 중앙부에는, 도관(36)이 관통 가능한 관통 구멍(30d)이 형성되어 있다. 도관(36)은, 축선 X를 따라서 연장되고 있고, 가스 공급계(38), 가스 공급계(39), 및, 가스 공급계(40)에 접속된다.
가스 공급계(38)는, 도관(36)에 피처리 기판 W를 처리하기 위한 처리 가스를 공급한다. 가스 공급계(38)에 의해 공급되는 처리 가스는, 불소계 가스를 포함한다. 처리 가스는, 본 실시 형태에서는, 에칭 가스이고, 예컨대, CF4 가스, 또는, CH2F2 가스이다. 가스 공급계(38)는, 가스원(38a), 밸브(38b), 및 유량 제어기(38c)를 포함할 수 있다. 가스원(38a)은, 처리 가스의 가스원이다. 밸브(38b)는, 가스원(38a)으로부터의 처리 가스의 공급 및 공급 정지를 전환한다. 유량 제어기(38c)는, 예컨대, 매스 플로 컨트롤러이고, 가스원(38a)으로부터의 처리 가스의 유량을 조정한다.
가스 공급계(39)는, 도관(36)에 피처리 기판 W를 처리하기 위한 처리 가스를 공급한다. 가스 공급계(39)에 의해 공급되는 처리 가스는, 산소 가스(O2 가스)를 포함한다. 가스 공급계(39)는, 가스원(39a), 밸브(39b), 및 유량 제어기(39c)를 포함할 수 있다. 가스원(39a)은, 산소 가스의 가스원이다. 밸브(39b)는, 가스원(39a)으로부터의 가스의 공급 및 공급 정지를 전환한다. 유량 제어기(39c)는, 예컨대, 매스 플로 컨트롤러이고, 가스원(39a)으로부터의 가스의 유량을 조정한다.
가스 공급계(40)는, 아르곤 가스를 도관(36)에 공급한다. 본 실시 형태에 있어서는, 가스 공급계(39)로부터의 처리 가스에 더하여, 가스 공급계(40)로부터 아르곤 가스가 공급된다. 가스 공급계(40)는, 가스원(40a), 밸브(40b), 및 유량 제어기(40c)를 포함할 수 있다. 가스원(40a)은, 아르곤 가스의 가스원이다. 밸브(40b)는, 가스원(40a)으로부터의 아르곤 가스의 공급 및 공급 정지를 전환한다. 유량 제어기(40c)는, 예컨대, 매스 플로 컨트롤러이고, 가스원(40a)으로부터의 아르곤 가스의 유량을 조정한다. 또, 가스 공급계(38, 39, 40)는, 플라즈마 반응에 이용되는 처리 가스를 처리 공간 S에 도입하는 가스 공급 기구가 된다.
본 실시 형태에 있어서는, 플라즈마 처리 장치 PM1은, 인젝터(41)를 더 구비할 수 있다. 인젝터(41)는, 도관(36)으로부터의 가스를 유전체창(20)에 형성된 관통 구멍(20h)에 공급한다. 유전체창(20)의 관통 구멍(20h)에 공급된 가스는, 처리 공간 S에 공급된다. 이하의 설명에서는, 도관(36), 인젝터(41), 및, 관통 구멍(20h)에 의해 구성되는 가스 공급 경로를, 「중앙 가스 도입부」라고 하는 경우가 있다.
본 실시 형태에 있어서는, 플라즈마 처리 장치 PM1은, 가스 공급부(42)를 더 구비한다. 가스 공급부(42)는, 스테이지(14)와 유전체창(20)의 사이에 있어서, 유전체창(20)의 바깥쪽 가장자리의 아래로부터 가스를 처리 공간 S에 공급한다. 이하의 설명에서는, 가스 공급부(42)를, 「주변 가스 도입부」라고 하는 경우가 있다. 가스 공급부(42)는, 도관(42a)을 포함한다. 도관(42a)은, 유전체창(20)과 스테이지(14)의 사이에 있어서 축선 X를 중심으로 고리 모양으로 연장되고 있다. 도관(42a)에는, 복수의 가스 공급 구멍(42b)이 형성되어 있다. 복수의 가스 공급 구멍(42b)은, 고리 모양으로 배열되고, 축선 X를 향해서 개구하고 있고, 도관(42a)에 공급된 가스를, 축선 X를 향해서 공급한다. 이 가스 공급부(42)는, 도관(46)을 거쳐서, 가스 공급계(43), 가스 공급계(44), 및 가스 공급계(45)에 접속되어 있다.
가스 공급계(43)는, 가스 공급부(42)에 피처리 기판 W를 처리하기 위한 처리 가스를 공급한다. 가스 공급계(43)로부터 공급되는 처리 가스는, 가스 공급계(38)의 처리 가스와 마찬가지로, 불소계 가스를 포함한다. 이 처리 가스는, 본 실시 형태에서는, 에칭 가스이고, 예컨대, CF4 가스, 또는, CH2F2 가스이다. 가스 공급계(43)는, 가스원(43a), 밸브(43b), 및 유량 제어기(43c)를 포함할 수 있다. 가스원(43a)은, 처리 가스의 가스원이다. 밸브(43b)는, 가스원(43a)으로부터의 처리 가스의 공급 및 공급 정지를 전환한다. 유량 제어기(43c)는, 예컨대, 매스 플로 컨트롤러이고, 가스원(43a)으로부터의 처리 가스의 유량을 조정한다.
가스 공급계(44)에 의해 공급되는 처리 가스는, 가스 공급계(39)의 처리 가스와 마찬가지로, 산소 가스를 포함한다. 가스 공급계(44)는, 산소 가스(O2 가스)를 포함하는 처리 가스를 가스 공급부(42)에 공급한다. 가스 공급계(44)는, 가스원(44a), 밸브(44b), 및 유량 제어기(44c)를 포함할 수 있다. 가스원(44a)은, 산소 가스의 가스원이다. 밸브(44b)는, 가스원(44a)으로부터의 가스의 공급 및 공급 정지를 전환한다. 유량 제어기(44c)는, 예컨대, 매스 플로 컨트롤러이고, 가스원(44a)으로부터의 가스의 유량을 조정한다.
가스 공급계(45)는, 아르곤 가스를 가스 공급부(42)에 공급한다. 본 실시 형태에 있어서는, 가스 공급계(44)로부터의 처리 가스에 더하여, 가스 공급계(45)로부터 아르곤 가스가 공급된다. 가스 공급계(45)는, 가스원(45a), 밸브(45b), 및 유량 제어기(45c)를 포함할 수 있다. 가스원(45a)은, 아르곤 가스의 가스원이다. 밸브(45b)는, 가스원(45a)으로부터의 아르곤 가스의 공급 및 공급 정지를 전환한다. 유량 제어기(45c)는, 예컨대, 매스 플로 컨트롤러이고, 가스원(45a)으로부터의 아르곤 가스의 유량을 조정한다. 또, 가스 공급계(43, 44, 45)는, 플라즈마 반응에 이용되는 처리 가스를 처리 공간 S에 도입하는 가스 공급 기구가 된다.
스테이지(14)는, 축선 X 방향에 있어서 유전체창(20)과 대면하도록 마련되어 있다. 이 스테이지(14)는, 유전체창(20)과 해당 스테이지(14)의 사이에 처리 공간 S를 두도록 마련되어 있다. 스테이지(14) 위에는, 피처리 기판 W가 탑재된다. 본 실시 형태에 있어서는, 스테이지(14)는, 스탠드(14a), 포커스 링(14b), 및, 정전 척(14c)을 포함한다.
스탠드(14a)는, 통 형상 지지부(48)에 의해 지지되어 있다. 통 형상 지지부(48)는, 절연성 재료로 구성되어 있고, 저부(12b)로부터 수직 위쪽으로 연장되어 있다. 또한, 통 형상 지지부(48)의 외주에는, 도전성의 통 형상 지지부(50)가 마련되어 있다. 통 형상 지지부(50)는, 통 형상 지지부(48)의 외주를 따라서 처리 용기(12)의 저부(12b)로부터 수직 위쪽으로 연장되어 있다. 이 통 형상 지지부(50)와 측벽(12a)의 사이에는, 고리 모양의 배기로(51)가 형성되어 있다.
배기로(51)의 상부에는, 복수의 관통 구멍이 마련된 고리 모양의 배플판(52)이 설치되어 있다. 배기 구멍(12h)의 하부에는 배기관(54)을 거쳐서 배기 장치(56)가 접속되어 있다. 배기 장치(56)는, 터보 분자 펌프 등의 진공 펌프를 갖고 있다. 배기 장치(56)에 의해, 처리 용기(12) 내의 처리 공간 S를 소망하는 진공도까지 감압할 수 있다.
스탠드(14a)는, 고주파 전극을 겸하고 있다. 스탠드(14a)에는, 급전봉(62) 및 매칭 유닛(60)을 거쳐서, RF 바이어스용 고주파 전원(58)이 전기적으로 접속되어 있다. 고주파 전원(58)은, 피처리 기판 W에 끌어들이는 이온의 에너지를 제어하기에 적합한 일정한 주파수, 예컨대, 13.65㎒의 고주파 전력을 소정의 파워로 출력한다. 매칭 유닛(60)은, 고주파 전원(58)측의 임피던스와, 주로 전극, 플라즈마, 처리 용기(12) 등의 부하측의 임피던스의 사이에서 정합을 취하기 위한 정합기를 수용하고 있다. 이 정합기 내에 자기 바이어스 생성용 블로킹 콘덴서가 포함되어 있다.
스탠드(14a)의 상면에는, 정전 척(14c)이 마련되어 있다. 정전 척(14c)은, 피처리 기판 W를 정전 흡착력으로 유지한다. 정전 척(14c)의 지름 방향 바깥쪽에는, 피처리 기판 W의 주위를 고리 모양으로 둘러싸는 포커스 링(14b)이 마련되어 있다. 정전 척(14c)은, 전극(14d), 절연막(14e), 및, 절연막(14f)을 포함하고 있다. 전극(14d)은, 도전막에 의해 구성되어 있고, 절연막(14e)과 절연막(14f)의 사이에 마련되어 있다. 전극(14d)에는, 고압의 직류 전원(64)이 스위치(66) 및 피복선(68)을 거쳐서 전기적으로 접속되어 있다. 정전 척(14c)은, 직류 전원(64)에서 인가되는 직류 전압에 의해 발생하는 쿨롱력에 의해, 피처리 기판 W를 흡착 유지할 수 있다.
스탠드(14a)의 내부에는, 둘레 방향으로 연장되는 고리 모양의 냉매실(14g)이 마련되어 있다. 이 냉매실(14g)에는, 칠러 유닛(도시하지 않음)에서 배관(70, 72)을 거쳐서 소정의 온도의 냉매, 예컨대, 냉각수가 순환 공급된다. 냉매의 온도에 의해 정전 척(14c)의 상면 온도가 제어된다. 전열 가스, 예컨대, He 가스가 가스 공급관(74)을 거쳐서 정전 척(14c)의 상면과 피처리 기판 W의 이면의 사이에 공급되고 있고, 이 정전 척(14c)의 상면 온도에 의해 피처리 기판 W의 온도가 제어된다. 또, 제어부(10)로부터 출력되는 다양한 제어 신호는, 마이크로파 발생기(16), 배기 장치(56), 밸브(38b), 유량 제어기(38c), 밸브(43b), 유량 제어기(43c), 밸브(39b), 유량 제어기(39c), 밸브(40b), 유량 제어기(40c), 밸브(44b), 유량 제어기(44c), 밸브(45b), 및, 유량 제어기(45c)에 입력된다.
이하, 도 4를 참조하여, 인젝터(41) 및 유전체창(20)의 관통 구멍(20h)에 대하여 보다 상세하게 설명한다. 도 4는 일 실시 형태에 따른 인젝터 및 유전체창의 관통 구멍을 확대하여 나타내는 단면도이다.
도 4에 나타내는 바와 같이, 유전체창(20)은, 축선 X를 따라서 위쪽으로부터 차례로 수용 공간(20s), 관통 구멍(20h)을 구획하고 있다. 관통 구멍(20h)은, 수용 공간(20s)과 처리 공간 S를 연통시키고 있다. 관통 구멍(20h) 축선 방향을 따라서 안테나(18)측의 개구와 처리 공간 S측의 개구의 사이에서 연장되고, 안테나(18)측의 개구보다 단면적이 작은 부분을 처리 공간 S측의 개구의 가까이에 갖는다. 여기서, 「면적」이란, 축선 X에 직교하는 면에서의 관통 구멍(20h)의 면적이다. 일례에 있어서는, 도 4에 나타내는 바와 같이, 관통 구멍(20h)은, 축선 X를 따라서 아래쪽으로 향함에 따라 그 직경이 작아지는 테이퍼 형상을 갖고 있다.
수용 공간(20s)에는, 인젝터(41), 및, 도관(36)의 일단부(36b)가 수용되어 있다. 도관(36)은, 도전성을 갖는 금속에 의해 구성될 수 있다. 도관(36)은, 본체부(36a) 및 일단부(36b)를 포함하고 있다. 본체부(36a)는, 축선 X를 따라서 연장되는 통 형상을 갖고 있다. 일단부(36b)는, 대략 원판 형상을 갖고 있고, 본체부(36a)보다 큰 외경을 갖고 있다. 도관(36)에는, 본체부(36a) 및 일단부(36b)에 걸쳐서 관통하는 가스 공급용 안쪽 구멍이 마련되어 있다. 이 도관(36)의 본체부(36a)는, 내측 도체(28b)의 안쪽 구멍을 지나고 있다.
내측 도체(28b)는, 상술한 바와 같이, 슬롯판(30)에 접속되어 있다. 본 실시 형태에 있어서는, 슬롯판(30)의 내측 가장자리 부분은, 내측 도체(28b)의 하단과 금속제의 부재(80)에 의해 끼워져 있다. 이 부재(80)는, 내측 도체(28b)의 하단에 나사(82)에 의해 고정되어 있다. 또한, 슬롯판(30)의 하면에는, 도관(36)의 일단부(36b)의 상면이 접촉하고 있다. 이와 같이, 내측 도체(28b), 슬롯판(30), 및 도관(36)은, 전기적으로 접속되어 있다.
인젝터(41)는, 수용 공간(20s) 내에 있어서, 도관(36)의 일단부(36b)의 아래쪽에 수용되어 있다. 인젝터(41)는, 도전성을 갖고 있고, 대략 원판 형상을 갖고 있다. 인젝터(41)는, 예컨대, 알루미늄 또는 스테인리스제이다.
인젝터(41)는, 일단부(36b)측의 제 1 면(41a)과 관통 구멍(20h)측의 제 2 면(41b)을 포함하고 있다. 인젝터(41)에는, 제 1 면(41a)으로부터 제 2 면(41b)까지 연장되는 복수의 관통 구멍(41h)이 형성되어 있다. 일 실시 형태에 있어서는, 제 2 면(41b)에는, Y2O3의 막이 형성되어 있더라도 좋다. 이 막은, Y2O3을 제 2 면(41b)에 코팅한 후에, 코팅된 막을 전자 빔에 의해 용융시키는 것에 의해 형성되더라도 좋다.
인젝터(41)는, 나사(84)에 의해 도관(36)의 일단부(36b)에 대하여 고정되어 있고, 해당 일단부(36b)에 전기적으로 접속하고 있다. 따라서, 인젝터(41)는, 내측 도체(28b), 슬롯판(30), 및 도관(36)과 동일한 전위로 설정될 수 있다. 인젝터(41)는, 예컨대, 접지 전위로 설정될 수 있다.
본 실시 형태에 있어서는, 인젝터(41)의 제 2 면(41b)과 유전체창(20)의 사이에는 O링(86)이 마련된다. O링(86)은, 복수의 관통 구멍(41h)의 관통 구멍(20h)측의 개구를 둘러싸도록 고리 모양으로 연장되고 있다. 이 O링(86)에 의해, 인젝터(41)와 유전체창(20)의 사이에 있어서의 기밀이 확보된다. 또한, 인젝터(41)의 제 1 면(41a)과 도관(36)의 일단부(36b)의 사이에는 O링(88)이 마련된다. O링(88)은, 복수의 관통 구멍(41h)의 일단부(36b)측의 개구를 둘러싸도록 고리 모양으로 연장되고 있다. 이것에 의해, 인젝터(41)와 도관(36)의 일단부(36b)의 사이의 기밀이 확보된다.
이와 같이 구성된 플라즈마 처리 장치 PM1에서는, 도관(36) 및 인젝터(41)의 관통 구멍(41h)을 거쳐서, 유전체창(20)의 관통 구멍(20h)으로부터 처리 공간 S 내에 축선 X를 따라서 가스가 공급된다. 또한, 관통 구멍(20h)보다 아래쪽에 있어서, 가스 공급부(42)로부터 축선 X를 향해서 가스가 공급된다. 또한, 안테나(18)로부터 유전체창(20)을 거쳐서 처리 공간 S 및/또는 관통 구멍(20h) 내에 마이크로파가 도입된다. 이것에 의해, 처리 공간 S 및/또는 관통 구멍(20h)에 있어서 플라즈마가 발생한다. 이와 같이, 플라즈마 처리 장치 PM1에 의하면, 자장을 가하지 않고서, 플라즈마를 발생시킬 수 있다.
다음으로, 플라즈마 처리 장치 PM1을 이용한 플라즈마 처리 방법에 대하여 설명한다. 도 5는 일 실시 형태에 따른 플라즈마 처리 방법의 개략을 나타내는 도면이다. 도 5는 피처리 기판 W의 반송의 흐름과, 웜업 플라즈마 처리 및 플라즈마 처리의 시퀀스를 나타내는 것이다. 도 5의 예는, 피처리 기판 W가 반입출 포트(130)로부터 플라즈마 처리 장치 PM1에 반송되고, 플라즈마 처리 장치 PM1에 있어서 플라즈마 처리되는 경우를 예로 들지만, 이것으로는 한정되지 않는다.
우선, 피처리 기판 W의 반송에 대하여 설명한다. 도 5에 나타내는 바와 같이, 우선, 피처리 기판 W는 반입출 포트(130)에 설치된다. 그리고, 반입출 포트(130)에 설치된 피처리 기판 W에 대한 플라즈마 처리 개시의 지령이 발령되면, 제어부(100)는, 피처리 기판 W의 반송을 개시한다. 제어부(100)는, 피처리 기판 W를 반입출 포트(130)로부터 도어(140) 및 대기 반송실(11)을 거쳐서 얼라인먼트 모듈(160)에 반송한다. 얼라인먼트 모듈(160)은, 피처리 기판 W의 위치 조정 처리를 행한다.
계속하여, 제어부(100)는, 위치 조정 처리가 행해진 피처리 기판 W를 얼라인먼트 모듈(160)로부터 게이트 밸브 G1을 거쳐서 로드록실(150)에 반송한다. 로드록실(150)은, 피처리 기판 W가 반송된 후, 진공 압력으로 감압된다. 계속하여, 제어부(100)는, 진공 압력하의 로드록실(150)로부터 피처리 기판 W를, 게이트 밸브 G2, 진공 반송실(13), 및 게이트 밸브 G3을 거쳐서 플라즈마 처리 장치 PM1에 반송한다. 플라즈마 처리 장치 PM1은, 반송된 피처리 기판 W에 대하여, 예컨대 에칭 처리 등의 플라즈마 처리를 실행한다.
다음으로, 제어부(100)의 웜업 처리에 대하여 설명한다. 도 5에 나타내는 바와 같이, 제어부(100)는, 반입출 포트(130)에 설치된 피처리 기판 W에 대한 플라즈마 처리 개시의 지령에 따라 피처리 기판 W의 반송을 개시하면, 웜업 처리를 실행한다. 웜업 처리란, 피처리 기판 W가 반송되고 있는 동안에, 스테이지(14)에 피처리 기판 W가 설치되어 있지 않은 상태(웨이퍼리스 상태)에서, 가스 공급 기구에 의해 처리 가스를 플라즈마 처리 공간 S에 공급함과 아울러, 플라즈마 생성 기구에 의해 전자 에너지를 공급하는 처리(웜업 플라즈마 처리)이다.
제어부(100)는, 피처리 기판 W가 플라즈마 처리 장치 PM1의 앞쪽의 게이트 밸브 G3에 도착하여, 플라즈마 처리 장치 PM1에 반송되기 전에, 웜업 처리를 종료한다. 피처리 기판 W가 플라즈마 처리 장치 PM1에 반송되어 스테이지(14)에 설치된 후, 플라즈마 처리 장치 PM1은, 예컨대 에칭 처리 등을 위해, 가스 공급 기구에 의해 처리 가스를 플라즈마 처리 공간 S에 공급함과 아울러 플라즈마 생성 기구에 의해 전자 에너지를 공급하는 처리(플라즈마 처리)를 실행한다.
또, 상기 일 실시 형태에서는, 피처리 기판 W가 게이트 밸브 G3에 도착한 후에 웜업 처리를 종료하는 예를 나타냈지만, 이것으로는 한정되지 않는다. 도 6은 일 실시 형태에 따른 플라즈마 처리 방법의 플로차트이다.
도 6에 나타내는 바와 같이, 제어부(100)는, 반입출 포트(130)에 설치된 피처리 기판 W에 대한 플라즈마 처리 개시의 지령이 발령되면(S101), 반입출 포트(130)에 설치된 피처리 기판 W의 반송을 개시한다(S102). 계속하여, 제어부(100)는, 피처리 기판 W의 반송이 개시되면 웜업 처리를 개시한다(S103). 계속하여, 제어부(100)는, 유전체창(20)의, 예컨대 대향면(20a)의 중앙부의 온도가 미리 설정된 온도 이상이 되거나, 또는 미리 설정된 시간이 경과했는지 여부를 판정한다(S104).
제어부(100)는, 유전체창(20)의, 예컨대 대향면(20a)의 중앙부의 온도가 미리 설정된 온도 이상이 되거나, 또는 미리 설정된 시간이 경과하기까지(S104, 아니오), 웜업 처리를 계속한다. 한편, 제어부(100)는, 유전체창(20)의, 예컨대 대향면(20a)의 중앙부의 온도가 미리 설정된 온도 이상이 되거나, 또는 미리 설정된 시간이 경과하면(S104, 예), 웜업 처리를 종료한다(S105).
계속하여, 제어부(100)는, 피처리 기판 W가 플라즈마 처리 장치 PM1(스테이지(14))에 도착하면(S106), 플라즈마 에칭 등의 플라즈마 처리를 개시한다(S107).
본 실시 형태의 플라즈마 처리 장치 PM1 및 플라즈마 처리 방법에 의하면, 피처리 기판 W에 대한 플라즈마 처리 개시의 지령에 따라 피처리 기판 W가 플라즈마 처리 장치 PM1까지 반송되는 동안에 웜업 처리가 실행된다. 따라서, 피처리 기판 W가 퍼스트 로트였다고 하더라도, 이 퍼스트 로트의 피처리 기판 W에 대한 플라즈마 처리를 실행할 때에는 플라즈마 처리 장치 PM1의, 예컨대 유전체창(20)의 온도가 소망하는 온도까지 가열되고 있다. 이것에 더하여, 웜업 처리는, 피처리 기판 W가 반송되고 있는 동안에 실행되므로, 스루풋에 영향을 미치기 어렵다. 그 결과, 본 실시 형태의 플라즈마 처리 장치 PM1 및 플라즈마 처리 방법에 의하면, 퍼스트 로트의 피처리 기판 W의 에칭 레이트의 저하를 억제하고, 또한, 피처리 기판 W의 플라즈마 처리의 스루풋의 저하를 억제할 수 있다.
다음으로, 본 실시 형태에 따른 플라즈마 처리 방법에 의한 효과에 대하여 설명한다. 도 7(a), 도 7(b), 도 7(c)는 본 실시 형태에 따른 플라즈마 처리 방법에 의한 효과를 설명하기 위한 도면이다. 도 7(a), 도 7(b), 도 7(c)는 일 실시 형태에 따른 플라즈마 처리 방법의 효과를 나타내는 도면이다.
도 7(a), 도 7(b), 도 7(c)에 있어서, 가로축은, 플라즈마 처리 장치 PM1에 투입한 피처리 기판 W의 1로트 내의 처리 순서를 나타낸 번호(슬롯 번호)를 나타내고, 세로축은, 에칭 레이트(㎚/분), 유전체창(20)의 시간 평균 온도(℃)를 나타내고 있다. 또한, 도 7(a)는 본 실시 형태에 따른 플라즈마 처리 방법을 이용하지 않고서, 피처리 기판 W에 대하여 플라즈마 에칭 처리를 실행한 경우의, 유전체창(20)의 온도와 에칭 레이트의 관계를 나타내는 그래프이다. 한편, 도 7(b), 도 7(c)는 본 실시 형태에 따른 플라즈마 처리 방법을 이용하여 피처리 기판 W에 대하여 플라즈마 에칭 처리를 실행한 경우의, 유전체창(20)의 온도와 에칭 레이트의 관계를 나타내는 그래프이다.
우선, 도 7(a)에 나타내는 바와 같이, 본 실시 형태에 따른 플라즈마 처리 방법을 이용하지 않은 경우, 그래프(174)에 나타내는 바와 같이, 슬롯 번호 「1」의 피처리 기판 W를 처리할 때에는, 다른 슬롯 번호의 피처리 기판 W를 처리하는 경우와 비교하여, 유전체창(20)의 온도가 낮아졌다. 또한, 그래프(172)에 나타내는 바와 같이, 슬롯 번호 「1」의 피처리 기판 W에 대한 에칭 레이트는, 다른 슬롯 번호의 피처리 기판 W의 에칭 레이트와 비교하여 낮아졌다. 이것은, First wafer effect라고 불리고, 슬롯 번호 「1」의 피처리 기판 W를 처리할 때에 유전체창(20)(및 처리 공간 S)의 온도가 낮은 것에 기인하여, 슬롯 번호 「1」의 피처리 기판 W의 에칭 레이트가 낮아지는 현상이다.
이것에 비하여, 본 실시 형태에 따른 플라즈마 처리 방법을 이용한 경우, 피처리 기판 W에 대한 플라즈마 처리 개시의 지령에 따라 피처리 기판 W가 플라즈마 처리 장치 PM1까지 반송되는 동안에 웜업 처리가 실행된다. 그 결과, 도 7(b)의 그래프(178)에 나타내는 바와 같이, 슬롯 번호 「1」의 피처리 기판 W를 처리할 때에는, 다른 슬롯 번호의 피처리 기판 W를 처리하는 경우와 비교하여, 유전체창(20)의 온도가 동등 또는 다소 높아졌다. 이와 같이, 본 실시 형태에 따른 플라즈마 처리 방법에 의하면, 슬롯 번호 「1」의 피처리 기판 W를 처리할 때의 유전체창(20)(및 처리 공간 S)의 온도를 높게 유지할 수 있다. 그 결과, 그래프(176)에 나타내는 바와 같이, 슬롯 번호 「1」의 피처리 기판 W에 대한 에칭 레이트를, 다른 슬롯 번호의 피처리 기판 W의 에칭 레이트와 비교하여, 동등하게 유지할 수 있었다.
또한, 도 7(c)는 도 7(b)의 경우보다, 웜업 처리를 장시간 행한 경우의, 유전체창(20)의 온도와 에칭 레이트의 관계를 나타내는 그래프이다. 도 7(c)의 그래프(182)에 나타내는 바와 같이, 슬롯 번호 「1」의 피처리 기판 W를 처리할 때에는, 다른 슬롯 번호의 피처리 기판 W를 처리하는 경우와 비교하여, 유전체창(20)의 온도가 대폭 높아졌다. 이와 같이, 본 실시 형태에 따른 플라즈마 처리 방법에 의하면, 슬롯 번호 「1」의 피처리 기판 W를 처리할 때의 유전체창(20)(및 처리 공간 S)의 온도를 높게 유지할 수 있다. 그 결과, 그래프(180)에 나타내는 바와 같이, 슬롯 번호 「1」의 피처리 기판 W에 대한 에칭 레이트를, 다른 슬롯 번호의 피처리 기판 W의 에칭 레이트와 비교하여, 동등하게 유지할 수 있었다.
그런데, 제어부(100)는, 웜업 처리를 할 때에, 가스 공급 기구에 의해 산소 가스를 포함하는 처리 가스를 처리 공간 S에 공급할 수 있다. 또한, 제어부(100)는, 웜업 처리를 할 때에, 우선 가스 공급 기구에 의해 불소계 가스를 포함하는 제 1 처리 가스를 처리 공간 S에 공급한 후, 산소 가스를 포함하는 제 2 처리 가스를 처리 공간 S에 공급할 수도 있다. 이 점에 대하여, 이하 설명한다.
도 8은 일 실시 형태에 따른 플라즈마 처리 방법에 있어서의 처리 가스 종류마다의 유전체창의 온도의 추이를 나타내는 도면이다. 도 8에 있어서 가로축은, 웜업 처리를 개시하고 나서의 경과 시간(sec)을 나타내고, 세로축은 유전체창(20)의 온도(℃)를 나타내고 있다.
또한, 도 8에 있어서, 그래프(190)는, 웜업 처리에 있어서의 처리 가스로서, 불소계 가스인 SF6:150sccm과 O2:50sccm을 처리 공간 S에 공급한 경우의 유전체창(20)의 온도 추이를 나타내는 것이다. 또한, 그래프(191)는, 웜업 처리에 있어서의 처리 가스로서, SF6:100sccm과 O2:100sccm을 처리 공간 S에 공급한 경우의 유전체창(20)의 온도 추이를 나타내는 것이다. 그래프(192)는, 웜업 처리에 있어서의 처리 가스로서, SF6:50sccm과 O2:150sccm을 처리 공간 S에 공급한 경우의 유전체창(20)의 온도 추이를 나타내는 것이다.
또한, 그래프(193)는, 웜업 처리에 있어서의 처리 가스로서, O2:200sccm을 처리 공간 S에 공급한 경우의 유전체창(20)의 온도 추이를 나타내는 것이다. 그래프(194)는, 웜업 처리에 있어서의 처리 가스로서, O2:350sccm을 처리 공간 S에 공급한 경우의 유전체창(20)의 온도 추이를 나타내는 것이다.
또한, 그래프(195)는, 웜업 처리에 있어서의 처리 가스로서, Ar:200sccm을 처리 공간 S에 공급한 경우의 유전체창(20)의 온도 추이를 나타내는 것이다. 그래프(196)는, 웜업 처리에 있어서의 처리 가스로서, Ar:150sccm과 O2:50sccm을 처리 공간 S에 공급한 경우의 유전체창(20)의 온도 추이를 나타내는 것이다.
또한, 유전체창(20)의 온도가 150(℃)에 도달하기까지의 시간은, SF6:150sccm 및 O2:50sccm의 처리 가스(그래프(190))의 경우, 147(sec)이었다. 또한, 유전체창(20)의 온도가 150(℃)에 도달하기까지의 시간은, SF6:100sccm 및 O2:100sccm의 처리 가스(그래프(191))의 경우, 246(sec)이었다.
또한, 유전체창(20)의 온도가 150(℃)에 도달하기까지의 시간은, O2:200sccm의 처리 가스(그래프(193))의 경우, 264(sec)였다. 또한, 유전체창(20)의 온도가 150(℃)에 도달하기까지의 시간은, SF6:50sccm 및 O2:150sccm의 처리 가스(그래프(192))의 경우, 283(sec)이었다.
또한, 유전체창(20)의 온도가 150(℃)에 도달하기까지의 시간은, O2:350sccm의 처리 가스(그래프(194))의 경우, 299(sec)였다. 또한, Ar:200sccm의 처리 가스(그래프(195))의 경우, Ar:150sccm 및 O2:50sccm의 처리 가스(그래프(196))의 경우, 모두 유전체창(20)의 온도는 150(℃)에 도달하지 않았다.
이들의 결과, 및 도 8로부터 알 수 있는 바와 같이, 처리 가스로서 Ar보다 O2를 이용한 것이, 웜업 처리에 의한 유전체창(20)의 온도 상승은 빨라진다. 그래서, 본 실시 형태에서는, 웜업 처리용 처리 가스로서, 산소 가스를 포함하는 처리 가스를 처리 공간 S에 공급할 수 있다.
또한, 이들의 결과, 및 도 8로부터 알 수 있는 바와 같이, SF6 가스의 농도가 높을수록, 웜업 처리에 의한 유전체창(20)의 온도 상승은 빨라진다. 그 한편으로, 웜업 처리시에 SF6 가스를 이용하여 플라즈마를 발생시킨 경우, 그 후의 에칭 등의 플라즈마 처리 전에 처리 용기(12) 내를 클리닝하는 것이 바람직하다. 그래서, 본 실시 형태에서는, 웜업 처리용 처리 가스로서, 우선 불소계 가스를 포함하는 제 1 처리 가스를 처리 공간 S에 공급한 후, 산소 가스를 포함하는 제 2 처리 가스를 처리 공간 S에 공급할 수 있다. 이것에 의하면, 웜업 처리에 있어서 유전체창(20)의 온도를 신속하게 상승시킴과 아울러, 플라즈마 처리 전에 처리 용기(12) 내를 클리닝할 수 있다.
다음으로, 유전체창(20)의 온도 계측에 대하여 설명한다. 도 9는 유전체창의 온도 측정 포인트의 일례를 나타내는 도면이다. 도 10, 도 11은 방사 온도 측정기에 의한 온도 측정의 일례를 나타내는 도면이다.
도 9에 나타내는 바와 같이, 유전체창(20)의 온도 측정 포인트는, 유전체창(20)에 형성된 오목부(20c)에 의해 마련된 박부(20e)의 내표면의 포인트(202), 및 유전체창(20)의 중앙부의 후부(20d)의 내표면의 포인트(204)를 생각할 수 있다.
포인트(202)의 온도를 계측하는 경우, 도 10에 나타내는 바와 같이, 방사 온도계(210)를 측벽(12a)의 외부에 설치한다. 그리고, 방사 온도계(210)는, 측벽(12a)에 마련된 측정창(212)을 거쳐서, 측정 포인트(202)로부터 방출되는 적외선 등의 방사 에너지를 계측하고, 측정 포인트(202)의 표면 온도를 측정한다.
또한, 포인트(204)의 온도를 계측하는 경우, 도 11에 나타내는 바와 같이, 방사 온도계(210)의 설치 각도를 조정한다. 그리고, 방사 온도계(210)는, 측벽(12a)에 마련된 측정창(212)을 거쳐서, 측정 포인트(204)로부터 방출되는 적외선 등의 방사 에너지를 계측하고, 측정 포인트(204)의 표면 온도를 측정한다.
다음으로, 포인트(202)와 포인트(204)의 온도를 계측한 경우의, 유전체창(20)의 온도의 추이를 설명한다. 도 12는 유전체창의 온도 측정 포인트마다의 온도의 추이를 나타내는 도면이다. 도 12에 있어서 가로축은, 웜업 처리를 개시하고 나서의 경과 시간(sec)을 나타내고, 세로축은 유전체창(20)의 온도(℃)를 나타내고 있다.
또한, 도 12에 있어서 그래프(212)는, 측정 포인트(202)의 온도 추이를 나타내고 있고, 그래프(214)는, 측정 포인트(204)의 온도 추이를 나타내는 것이다. 도 12에 나타내는 바와 같이, 웜업 처리를 개시한 후, 측정 포인트(202), 다시 말해 유전체창(20)의 박부(20e)의 온도가 먼저 급격하게 상승하고, 측정 포인트(204), 다시 말해 유전체창(20)의 후부(20d)의 온도가 완만하게 상승한다.
여기서, 예컨대 퍼스트 로트 등 초기의 로트의 피처리 기판 W의 에칭 레이트가 낮아지는 현상(First wafer effect)은, 만일 박부(20e)가 소정의 온도(예컨대 150(℃))에 도달하고 있더라도, 유전체창(20)의 중앙부의 후부(20d)가 소정의 온도(예컨대 150(℃))에 도달하고 있지 않은 경우에는 발생할 수 있다. 바꿔 말하면, 박부(20e)에 대응하는 측정 포인트(202)의 온도를 계측하고, 계측된 온도가 소정의 온도에 도달한 것에 의해 웜업 처리를 종료한 경우, 유전체창(20)의 후부(20d)가 충분하게 가열되어 있지 않은 것에 기인하여, First wafer effect가 발생할 우려가 있다. 그래서, 일 실시 형태에서는, 방사 온도계(210)를 이용하여 유전체창(20)의 후부(20d)의 온도를 계측하고, 제어부(100)는, 방사 온도계(210)에 의해 측정된 유전체창(20)의 후부(20d)의 온도가 미리 설정된 온도(예컨대 150(℃)) 이상이 되기까지, 웜업 처리를 실행하는 것이 바람직하다.
또, 유전체창(20)의 온도의 측정 방법은, 방사 온도계(210)로 한정되지 않는다. 예컨대, 광파이버 온도계를 이용할 수 있다. 도 13은 광파이버 온도계에 의한 온도 측정의 일례를 나타내는 도면이다.
도 13에 나타내는 바와 같이, 광파이버 온도계(220)는, 처리 용기(12)의 외부로부터 유전체창(20)의 가장자리 부분의 온도를 측정하도록 설치되어 있다. 여기서, 상술한 바와 같이, 유전체창(20)은, 대향면(20a)의 중앙부와 측부에서는 온도 상승의 추이가 상이하고, First wafer effect를 억제하기 위해서는 중앙부의 온도를 측정하면서 웜업 처리를 행하는 것이 바람직하다.
그렇지만, 예컨대 사전의 실험 또는 시뮬레이션에 의해, 유전체창(20)의 중앙부와 측부의 온도의 상관을 계측하는 것에 의해, 간이한 구성으로 유전체창(20)의 온도 계측을 행할 수 있다. 온도의 상관이란, 예컨대, 유전체창(20)의 측부의 온도가 어느 온도(예컨대 250(℃))까지 상승하면, 유전체창(20)의 대향면(20a)의 중앙부의 온도가 소망하는 온도(예컨대 150(℃))까지 상승했다고 간주할 수 있다고 하는 온도의 관계이다.
그래서, 제어부(100)는, 광파이버 온도계(220)에 의해 측정된 유전체창(20)의 측부의 온도가, 광파이버 온도계(220)에 의해 측정된 유전체창(20)의 측부의 온도와 유전체창(20)의 대향면(20a)의 중앙부의 온도의 상관 관계에 근거하여 미리 설정된 온도 이상이 되기까지, 웜업 처리를 실행하는 것이 바람직하다.
이것에 의하면, 유전체창(20)의 대향면(20a)의 중앙부의 온도를 방사 온도계(210)에 의해 계측하는 일 없이, 예컨대 광파이버 온도계(220)로 대기측으로부터 유전체창(20)의 측부의 온도를 계측할 수 있으므로, 온도 계측에 관한 구성을 간이화할 수 있다.
다음으로, 로트간 웜업 처리에 대하여 설명한다. 도 14(a), 도 14(b)는 로트간에 있어서의 유전체창의 온도의 추이를 나타내는 도면이다. 도 14(a), 도 14(b)에 있어서, 가로축은 시간 경과(sec)를 나타내고, 세로축은, 유전체창(20)의 온도(℃) 및 마이크로파의 파워(W)를 나타내고 있다. 또한, 도 14(a)는 로트와 로트의 사이의 시간이 비교적 긴 경우의 유전체창의 온도의 추이를 나타내고, 도 14(b)는 로트와 로트의 사이의 시간이 비교적 짧은 경우의 유전체창의 온도의 추이를 나타내는 것이다. 또한, 도 14(a)에 있어서 그래프(230)는 마이크로파의 파워의 추이를 나타내고, 그래프(232)는 유전체창(20)의 온도의 추이를 나타내는 것이다. 도 14(b)에 있어서 그래프(240)는 마이크로파의 파워의 추이를 나타내고, 그래프(242)는 유전체창(20)의 온도의 추이를 나타내는 것이다.
도 14(a)의 그래프(230)에 나타내는 바와 같이, 최초의 로트로 플라즈마 처리를 실행한 후, 로트 교환 시간(234)이 비교적 긴 경우, 유전체창(20)의 온도는 크게 저하한다. 이 경우, 최초의 로트(퍼스트 로트)에 있어서의 피처리 기판 W의 에칭 레이트의 평균이 15.8㎚/min이었던 것에 비하여, 로트 교환 후의 로트(세컨드 로트)에서는, 피처리 기판 W의 에칭 레이트의 평균이 14.6㎚/min으로 크게 저하했다.
한편, 도 14(b)의 그래프(240)에 나타내는 바와 같이, 최초의 로트로 플라즈마 처리를 실행한 후, 로트 교환 시간(244)이 비교적 짧은 경우, 유전체창(20)의 온도의 저하는 비교적 작다. 이 경우, 최초의 로트(퍼스트 로트)에 있어서의 피처리 기판 W의 에칭 레이트의 평균이 15.4㎚/min이었던 것에 비하여, 로트 교환 후의 로트(세컨드 로트)에서는, 피처리 기판 W의 에칭 레이트의 평균이 15.3㎚/min이 되었다. 이와 같이, 로트 교환의 시간이 짧고, 유전체창(20)의 온도 저하가 비교적 작은 경우에는, 피처리 기판 W의 에칭 레이트의 저하는 비교적 작다.
바꿔 말하면, 로트 교환의 시간이 긴 경우에는, 유전체창(20)의 온도 저하가 비교적 커지고, 로트 교환 후의 피처리 기판 W의 에칭 레이트가 저하하므로, 로트와 로트의 사이의 웜업 처리(로트간 웜업 처리)가 유효하다. 또, 로트간 웜업 처리란, 제 1 로트와 제 2 로트의 사이에, 스테이지(14)에 피처리 기판 W가 설치되어 있지 않은 상태(웨이퍼리스 상태)에서, 가스 공급 기구에 의해 처리 가스를 처리 공간 S에 공급함과 아울러, 플라즈마 생성 기구에 의해 전자 에너지를 공급하는 처리이다.
도 15는 일 실시 형태에 따른 로트간 웜업 처리의 플로차트이다. 도 14에 나타내는 바와 같이, 제어부(100)는, 제 1 로트에 대한 플라즈마 처리가 종료하면(S201), 제 2 로트에 대한 플라즈마 처리 실행 전에 미리 설정된 시간이 경과했는지 여부를 판정한다(S202).
제어부(100)는, 제 2 로트에 대한 플라즈마 처리 실행 전에 미리 설정된 시간이 경과하고 있지 않다고 판정하면(S202, 아니오), 제 2 로트에 대한 플라즈마 처리 실행 전에 유전체창(20)의 온도가 미리 설정된 온도 미만이 되었는지 여부를 판정한다(S203).
제어부(100)는, 제 2 로트에 대한 플라즈마 처리 실행 전에 미리 설정된 시간이 경과했다고 판정하거나(S202, 예), 또는 제 2 로트에 대한 플라즈마 처리 실행 전에 유전체창(20)의 온도가 미리 설정된 온도 미만이 되었다고 판정하면(S203, 예), 로트간 웜업 처리를 개시한다(S204). 계속하여, 제어부(100)는, 로트간 웜업 처리 후, 제 2 로트에 대한 플라즈마 처리를 실행한다(S205).
이와 같이, 제 1 로트와 제 2 로트의 사이에, 미리 설정된 시간이 경과하거나, 또는 유전체창(20)의 온도가 미리 설정된 온도 미만이 된 경우에는, 로트간 웜업 처리를 실행한다. 따라서, 제 1 로트와 제 2 로트의 사이의 로트 교환 시간에 처리 용기(12)의 내표면(유전체창(20))의 온도가 저하하는 것을 억제할 수 있으므로, 로트 교환 후의 피처리 기판 W의 에칭 레이트가 저하하는 것을 억제할 수 있다.
이상, 본 실시 형태의 플라즈마 처리 장치 PM1에 의하면, 피처리 기판 W에 대한 플라즈마 처리 개시의 지령에 따라 피처리 기판 W가 플라즈마 처리 장치 PM1까지 반송되는 동안에 웜업 처리가 실행된다. 따라서, 피처리 기판 W가 퍼스트 로트였다고 하더라도, 이 퍼스트 로트의 피처리 기판 W에 대한 플라즈마 처리를 실행할 때에는 플라즈마 처리 장치 PM1의, 예컨대 유전체창(20)의 온도가 소망하는 온도까지 가열되고 있다. 이것에 더하여, 웜업 처리는, 피처리 기판 W가 반송되고 있는 동안에 실행되므로, 스루풋에 영향을 미치기 어렵다. 그 결과, 일 실시 형태의 플라즈마 처리 장치 PM1 및 플라즈마 처리 방법에 의하면, 퍼스트 로트의 피처리 기판 W의 에칭 레이트의 저하를 억제하고, 또한, 피처리 기판 W의 플라즈마 처리의 스루풋의 저하를 억제할 수 있다.
또한, 본 실시 형태의 플라즈마 처리 장치 PM1에 의하면, 웜업 처리를 할 때에, 산소 가스를 포함하는 처리 가스를 이용하기 때문에, 신속하게 유전체창(20)의 온도를 상승시킬 수 있다. 또한, 본 실시 형태의 플라즈마 처리 장치 PM1은, 웜업 처리를 할 때에, 불소계 가스를 포함하는 제 1 처리 가스를 플라즈마 처리 공간에 공급한 후, 산소 가스를 포함하는 제 2 처리 가스를 플라즈마 처리 공간에 공급한다. 따라서, 본 실시 형태의 플라즈마 처리 장치 PM1에 의하면, 제 1 처리 가스에 의해 신속하게 유전체창(20)의 온도를 상승시킬 수 있음과 아울러, 제 2 처리 가스에 의해 처리 용기(12)를 클리닝할 수 있다.
또한, 본 실시 형태의 플라즈마 처리 장치 PM1은, 로트를 교환할 때에, 미리 설정된 시간이 경과하거나, 또는 유전체창(20)의 온도가 미리 설정된 온도 미만이 된 경우에는, 로트간 웜업 처리를 실행한다. 이 로트간 웜업 처리에 의해 로트를 교환할 때에 유전체창(20)의 온도가 저하하는 것을 억제할 수 있으므로, 로트 교환 후의 피처리 기판 W의 에칭 레이트가 저하하는 것을 억제할 수 있다.
12 : 처리 용기
14 : 스테이지
16 : 마이크로파 발생기
18 : 안테나
20a : 대향면
20d : 후부
20e : 박부
20 : 유전체창
30 : 슬롯판
38, 39, 40, 43, 44, 45 : 가스 공급계
100 : 제어부
120 : 반송 기구
130 : 반입출 포트
150 : 로드록실
160 : 얼라인먼트 모듈
210 : 방사 온도계
220 : 광파이버 온도계
PM1 : 플라즈마 처리 장치

Claims (8)

  1. 플라즈마 처리 공간을 구획하는 처리 용기와,
    상기 처리 용기 내에 마련되고, 피처리 기판이 설치되는 시료대와,
    플라즈마 반응에 이용되는 처리 가스를 상기 플라즈마 처리 공간에 도입하는 가스 공급 기구와,
    상기 플라즈마 처리 공간 내에 도입된 처리 가스를 플라즈마화하기 위한 전자 에너지를 공급하는 플라즈마 생성 기구와,
    상기 처리 용기의 외부의 반입출 포트에 설치된 피처리 기판에 대한 플라즈마 처리 개시의 지령이 발행되어 그 피처리 기판이 상기 반입출 포트로부터 상기 처리 용기 내에 반송되고 있는 동안에, 상기 시료대에 피처리 기판이 설치되어 있지 않은 상태에서, 상기 가스 공급 기구에 의해 상기 처리 가스를 상기 처리 공간에 공급함과 아울러 상기 플라즈마 생성 기구에 의해 상기 전자 에너지를 공급하는 웜업 처리를 실행하는 제어부를 구비하며,
    상기 플라즈마 생성 기구는, 상기 처리 공간에 대향하는 대향면을 갖는 유전체를 갖고,
    상기 제어부는, 상기 유전체의 상기 대향면의 중앙부의 온도가 미리 설정된 온도 이상이 되기까지, 상기 웜업 처리를 실행하는
    것을 특징으로 하는 플라즈마 처리 장치.
  2. 제 1 항에 있어서,
    상기 플라즈마 생성 기구는,
    마이크로파 발생기와,
    상기 유전체의 상기 대향면의 반대측의 면 위에 마련되고, 상기 마이크로파 발생기에 의해 발생되는 마이크로파에 근거하여, 상기 유전체를 사이에 두고 플라즈마 여기용 마이크로파를 상기 처리 공간에 방사하는 안테나
    를 갖는
    것을 특징으로 하는 플라즈마 처리 장치.
  3. 제 2 항에 있어서,
    상기 처리 용기의 외부로부터 상기 유전체의 상기 대향면의 중앙부의 온도를 측정하는 방사 온도계를 구비하고,
    상기 제어부는, 상기 방사 온도계에 의해 측정된 상기 유전체의 상기 대향면의 중앙부의 온도가 미리 설정된 온도 이상이 되기까지, 상기 웜업 처리를 실행하는
    것을 특징으로 하는 플라즈마 처리 장치.
  4. 제 2 항에 있어서,
    상기 처리 용기의 외부로부터 상기 유전체의 측부의 온도를 측정하는 광파이버 온도계를 구비하고,
    상기 제어부는, 상기 광파이버 온도계에 의해 측정된 상기 유전체의 측부의 온도가, 상기 광파이버 온도계에 의해 측정된 상기 유전체의 측부의 온도와 상기 유전체의 상기 대향면의 중앙부의 온도의 상관 관계에 근거하여 미리 설정된 온도 이상이 되기까지, 상기 웜업 처리를 실행하는
    것을 특징으로 하는 플라즈마 처리 장치.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 처리 가스는 산소 가스를 포함하고,
    상기 제어부는, 상기 가스 공급 기구에 의해 상기 산소 가스를 포함하는 처리 가스를 상기 처리 공간에 공급하는
    것을 특징으로 하는 플라즈마 처리 장치.
  6. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 처리 가스는, 불소계 가스를 포함하는 제 1 처리 가스와, 산소 가스를 포함하는 제 2 처리 가스를 포함하고,
    상기 제어부는, 상기 가스 공급 기구에 의해 상기 제 1 처리 가스를 상기 처리 공간에 공급한 후, 상기 가스 공급 기구에 의해 상기 제 2 처리 가스를 상기 처리 공간에 공급하는
    것을 특징으로 하는 플라즈마 처리 장치.
  7. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 제어부는, 제 1 로트에 있어서 피처리 기판에 대하여 플라즈마 처리를 실행한 후, 다음 로트에 있어서 피처리 기판에 대하여 플라즈마 처리를 실행하기 전에, 미리 설정된 시간이 경과하거나, 또는 상기 플라즈마 처리 장치의 미리 설정된 부분의 온도가 미리 설정된 온도 미만이 되면, 상기 시료대에 피처리 기판이 설치되어 있지 않은 상태에서 상기 가스 공급 기구에 의해 상기 처리 가스를 상기 처리 공간에 공급함과 아울러 상기 플라즈마 생성 기구에 의해 상기 전자 에너지를 공급하는 로트간 웜업 처리를 실행하는
    것을 특징으로 하는 플라즈마 처리 장치.
  8. 플라즈마 처리 공간을 구획하는 처리 용기와,
    상기 처리 용기 내에 마련되고, 피처리 기판이 설치되는 시료대와,
    플라즈마 반응에 이용되는 처리 가스를 상기 플라즈마 처리 공간에 도입하는 가스 공급 기구와,
    상기 처리 공간에 대향하는 대향면을 갖는 유전체를 갖고, 상기 플라즈마 처리 공간 내에 도입된 처리 가스를 플라즈마화하기 위한 전자 에너지를 공급하는 플라즈마 생성 기구
    를 구비한 플라즈마 처리 장치를 이용한 플라즈마 처리 방법으로서,
    상기 처리 용기의 외부의 반입출 포트에 설치된 피처리 기판에 대한 플라즈마 처리 개시의 지령이 발행되어 그 피처리 기판이 상기 반입출 포트로부터 상기 처리 용기 내에 반송되고 있는 동안에, 상기 시료대에 피처리 기판이 설치되어 있지 않은 상태에서, 상기 가스 공급 기구에 의해 상기 처리 가스를 상기 처리 공간에 공급함과 아울러 상기 플라즈마 생성 기구에 의해 상기 전자 에너지를 공급하는 웜업 처리를 실행하며,
    상기 유전체의 상기 대향면의 중앙부의 온도가 미리 설정된 온도 이상이 되기까지, 상기 웜업 처리를 실행하는
    것을 특징으로 하는 플라즈마 처리 방법.
KR1020147029747A 2012-05-25 2013-05-21 플라즈마 처리 장치, 및 플라즈마 처리 방법 KR102102003B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JPJP-P-2012-119619 2012-05-25
JP2012119619 2012-05-25
PCT/JP2013/064114 WO2013176144A1 (ja) 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
KR20150016491A KR20150016491A (ko) 2015-02-12
KR102102003B1 true KR102102003B1 (ko) 2020-04-20

Family

ID=49623832

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147029747A KR102102003B1 (ko) 2012-05-25 2013-05-21 플라즈마 처리 장치, 및 플라즈마 처리 방법

Country Status (5)

Country Link
US (1) US9984906B2 (ko)
JP (1) JP6014661B2 (ko)
KR (1) KR102102003B1 (ko)
TW (1) TWI574587B (ko)
WO (1) WO2013176144A1 (ko)

Families Citing this family (253)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10184183B2 (en) 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP2018095901A (ja) * 2016-12-09 2018-06-21 東京エレクトロン株式会社 基板処理装置
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018116836A (ja) * 2017-01-18 2018-07-26 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2019058597A1 (ja) * 2017-09-20 2019-03-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7183090B2 (ja) * 2019-03-20 2022-12-05 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP7267843B2 (ja) * 2019-06-07 2023-05-02 株式会社アルバック プラズマ処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
JP7013618B2 (ja) * 2020-02-03 2022-01-31 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230163001A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Method to eliminate first wafer effects on semiconductor process chambers
KR102619965B1 (ko) * 2022-05-16 2024-01-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009231809A (ja) * 2008-02-26 2009-10-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造装置管理方法
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664942A (en) * 1970-12-31 1972-05-23 Ibm End point detection method and apparatus for sputter etching
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5925212A (en) * 1995-09-05 1999-07-20 Applied Materials, Inc. Apparatus and method for attaining repeatable temperature versus time profiles for plasma heated interactive parts used in mass production plasma processing
JP2943691B2 (ja) * 1996-04-25 1999-08-30 日本電気株式会社 プラズマ処理装置
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JP4053173B2 (ja) * 1999-03-29 2008-02-27 東京エレクトロン株式会社 マイクロ波プラズマ処理装置及び方法
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
KR20040108697A (ko) * 2002-03-29 2004-12-24 동경 엘렉트론 주식회사 전자 디바이스 재료의 제조 방법
US7569497B2 (en) * 2002-07-30 2009-08-04 Tokyo Electron Limited Method and apparatus for forming insulating layer
JP4136630B2 (ja) * 2002-12-03 2008-08-20 キヤノン株式会社 プラズマ処理装置
JP4563729B2 (ja) * 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
JP4361811B2 (ja) * 2004-01-09 2009-11-11 東京エレクトロン株式会社 半導体製造装置
JP4490704B2 (ja) 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
JP4646941B2 (ja) * 2007-03-30 2011-03-09 東京エレクトロン株式会社 基板処理装置及びその処理室内の状態安定化方法
KR101170006B1 (ko) * 2008-07-04 2012-07-31 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치, 플라즈마 처리 방법 및 유전체창의 온도 조절 기구
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
JP2010219198A (ja) 2009-03-16 2010-09-30 Hitachi High-Technologies Corp プラズマ処理装置
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8969210B2 (en) * 2010-09-15 2015-03-03 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009231809A (ja) * 2008-02-26 2009-10-08 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造装置管理方法
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置

Also Published As

Publication number Publication date
TW201410081A (zh) 2014-03-01
JPWO2013176144A1 (ja) 2016-01-14
US20150064923A1 (en) 2015-03-05
KR20150016491A (ko) 2015-02-12
US9984906B2 (en) 2018-05-29
WO2013176144A1 (ja) 2013-11-28
TWI574587B (zh) 2017-03-11
JP6014661B2 (ja) 2016-10-25

Similar Documents

Publication Publication Date Title
KR102102003B1 (ko) 플라즈마 처리 장치, 및 플라즈마 처리 방법
US11404281B2 (en) Method of etching silicon containing films selectively against each other
US8038834B2 (en) Method and system for controlling radical distribution
US9609730B2 (en) Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
US11328904B2 (en) Substrate processing apparatus
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
US20170133202A1 (en) Computer addressable plasma density modification for etch and deposition processes
EP2942806A1 (en) Etching method
US20090139657A1 (en) Etch system
KR102487930B1 (ko) 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
CN109509694B (zh) 等离子体处理装置和等离子体处理方法
CN112655069B (zh) 等离子处理装置以及等离子处理方法
US20130045604A1 (en) Plasma processing apparatus and plasma processing method
KR20200051494A (ko) 배치대, 엣지 링의 위치 결정 방법 및 기판 처리 장치
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
US9646867B2 (en) Plasma processing apparatus, power supply unit and mounting table system
TWI585813B (zh) Plasma processing device and plasma processing method
TWI521594B (zh) 電漿處理方法及電漿處理裝置
KR101768761B1 (ko) 고주파 플라즈마 처리 장치 및 고주파 플라즈마 처리 방법
KR20200051505A (ko) 배치대 및 기판 처리 장치
JP2019192892A (ja) 処理システムおよび処理方法
JP2022023211A (ja) プラズマ処理装置及びプラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right