TW201410081A - 電漿處理裝置、以及電漿處理方法 - Google Patents

電漿處理裝置、以及電漿處理方法 Download PDF

Info

Publication number
TW201410081A
TW201410081A TW102118456A TW102118456A TW201410081A TW 201410081 A TW201410081 A TW 201410081A TW 102118456 A TW102118456 A TW 102118456A TW 102118456 A TW102118456 A TW 102118456A TW 201410081 A TW201410081 A TW 201410081A
Authority
TW
Taiwan
Prior art keywords
processing
plasma
gas
substrate
temperature
Prior art date
Application number
TW102118456A
Other languages
English (en)
Other versions
TWI574587B (zh
Inventor
Naoki Matsumoto
Yugo Tomita
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201410081A publication Critical patent/TW201410081A/zh
Application granted granted Critical
Publication of TWI574587B publication Critical patent/TWI574587B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber

Abstract

電漿處理裝置係具備有區劃電漿處理空間之處理容器、被處理基板設置用之台座、將用於電漿反應之處理氣體朝電漿處理空間導入之氣體供給系統等。又,電漿處理裝置係具備有供給用以將處理氣體電漿化的電磁能之微波產生器。又,電漿處理裝置係具備有控制部,會在下達對處理容器外部之基板搬入台座所設置之被處理基板的電漿處理開始之指令而將被處理基板朝處理容器內搬送期間,在無晶圓狀態下,進行供給處理氣體並供給電磁能之暖機處理。

Description

電漿處理裝置、以及電漿處理方法
本發明之各種面相及實施形態,係關於一種電漿處理裝置、以及電漿處理方法。
半導體製造程序中,廣泛使用有以薄膜沉積或蝕刻等為目的之實行電漿處理的電漿處理裝置。電漿處理裝置舉出有例如進行沉積處理之電漿CVD(Chemical Vapor Deposition)裝置,或進行蝕刻處理之電漿蝕刻裝置。
電漿處理裝置係具備有用以處理被處理基板之處理室、將被處理基板設置於處理室內之試料台、及用以將電漿反應所必要之處理氣體導入處理室內之氣體供給系統。又,電漿處理裝置係具備有為了將處理室內之處理氣體電漿化而供給微波、RF波等之電磁能的電漿產生機構,及將偏壓施加至試料台以朝試料台上所載置之被處理基板施加將電漿中之離子加速的偏壓電壓施加機構等。
然而,電漿處理裝置卻有起因於處理室之內表面溫度的差異而導致相同處理條件下即便反覆電漿處理仍會有被處理基板之加工精度的差異情況。舉出電漿蝕刻裝置作為一範例來加以說明。例如,在前次的電漿蝕刻處理實施後相隔某程度時間再進行電漿蝕刻處理時,此電漿蝕刻處理的最初群組(第一群組)之第1片被處理基板的蝕刻率與後續之被處理基板相比,會有蝕刻率變低的情況。這應該是起因於因為前次電漿蝕刻處理實行後相隔有某程度時間,使得處理室之內表面溫度降低,而在這樣的狀態下進行電漿蝕刻處理之故。
以往,針對此般問題,已知有例如專利文獻1般,在針對第1群組之被處理基板實行電漿處理前,進行試運轉(seasoning)。所謂試運轉係在實行第1群組之電漿處理前,將彷晶圓設置於試料台而實行產生電漿之處理,以使得處理室之內表面溫度上升。如此一來,即便是在暫時處理室之內表面溫 度降低後而處理第1群組的被處理基板之情況,應該也可以抑制蝕刻率的降低。
【先前技術文獻】
專利文獻1:日本特開2005-244065號公報
但是,以往技術由於係在藉由試運轉程序來在第1群組之被處理基板進行處理前,設置彷晶圓來進行電漿處理,故每單位時間的被處理基板之處理片數便會降低。因此,以往技術係難以抑制第1群組之被處理基板蝕刻率降低,且難以抑制被處理基板之電漿處理產能之降低。
本發明之一面相相關之電漿處理裝置係具備有區劃電漿處理空間之處理容器、及設於該處理空間內,而設置有被處理基板之試料台。又,電漿處理裝置係具備有將用於電漿反應之處理氣體朝該電漿處理空間導入之氣體供給機構、及供給用以將導入至該電漿處理空間之處理氣體電漿化之電磁能之電漿產生機構。又,電漿處理裝置係具備有控制部,係在下達對該處理容器外部之基板搬入台座所設置之被處理基板的電漿處理開始之指令而將該被處理基板從該基板搬入台座朝該處理容器內搬送期間,在該試料台未設置有被處理基板之狀態下,實行藉由該氣體供給機構來將該處理氣體朝該處理空間供給,並藉由該電漿產生機構供給電磁能之暖機處理。
依本發明各種面相及實施形態,便可實現抑制第1群組之被處理基板蝕刻率降低,且可抑制被處理基板之電漿處理產能之降低的電漿處理裝置及電漿處理方法。
12‧‧‧處理容器
14‧‧‧台座
16‧‧‧微波產生器
18‧‧‧天線
20a‧‧‧對向面
20d‧‧‧肉厚部
20e‧‧‧肉薄部
20‧‧‧介電體窗
30‧‧‧槽孔板
38,39,40,43,44,45‧‧‧氣體供給系統
100‧‧‧控制部
120‧‧‧搬送機構
130‧‧‧搬出入埠
150‧‧‧加載互鎖室
160‧‧‧對位模組
210‧‧‧輻射溫度計
220‧‧‧光纖溫度計
PM1‧‧‧電漿處理裝置
圖1係顯示一實施形態相關之電漿處理系統之概略圖。
圖2係顯示一實施形態相關之電漿處理裝置之概略圖。
圖3係從軸線X方向觀看一實施形態相關之槽孔板的俯視圖。
圖4係擴大顯示一實施形態相關之噴射器及介電體窗之貫穿孔的剖面圖。
圖5係顯示一實施形態相關之電漿處理方法的概略圖。
圖6係一實施形態相關之電漿處理方法的流程圖。
圖7A係用以說明一實施形態相關之電漿處理方法的效果之圖式。
圖7B係用以說明一實施形態相關之電漿處理方法的效果之圖式。
圖7C係用以說明一實施形態相關之電漿處理方法的效果之圖式。
圖8係顯示一實施形態相關之電漿處理方法中依處理氣體種類而介電體窗之溫度推移的圖式。
圖9係顯示介電體窗溫度測量點之一範例的圖式。
圖10係顯示輻射溫度測量器之溫度測量一範例之圖式。
圖11係顯示輻射溫度測量器之溫度測量一範例之圖式。
圖12係顯示依介電體窗溫度測量點之溫度推移的圖式。
圖13係顯示光纖溫度計之溫度測量一範例之圖式。
圖14A係顯示群組間之介電體窗溫度推移之圖式。
圖14B係顯示群組間之介電體窗溫度推移之圖式。
圖15係一實施形態相關之群組間暖機處理之流程圖。
以下,便參照圖式,就各種實施形態加以詳細說明。另外,各圖式中,係針對相同或相當部分賦予相同符號。
圖1係顯示一實施形態相關之電漿處理系統之概略圖。如圖1所示,一實施形態相關之電漿處理系統1000係具備有大氣搬送室11、搬出入埠130、加載互鎖室150、對位模組160。又,電漿處理系統1000尚具備有真空搬送室13、複數之電漿處理裝置PM1~PM4、及控制部100。
大氣搬送室11係設於大氣壓力下,為用以將搬出入埠130所設置之被處理基板W朝例如電漿處理裝置PM1搬送用之直方體狀的搬送容器。大氣搬送室11內係設有在搬出入埠130、對位模組160及加載互鎖室150之相互間收授被處理基板W之具有可旋轉伸縮的搬送臂之搬送機構120。搬出入埠130係並列於大氣搬送室11之長邊一邊的側壁而設有複數個(一實施形態中為3個)。各搬出入埠130係透過門140而連接於大氣搬送室11之長邊一邊的側壁,具有收納被施以電漿處理前之被處理基板W的FOUP(Front Opening Unified Pod)。
加載互鎖室150係並列於大氣搬送室11之長邊另一邊的側壁而設有複數個(一實施形態中為2個)。加載互鎖室150係透過閘閥G1而連接於大氣搬送室11之長邊另一邊的側壁,為用以將大氣壓下的被處理基板W朝真空 壓力下之真空搬送室13收授之預備真空室。對位室160係設於大氣搬送室11之短邊一邊的側壁,具有用以進行被處理基板W之對位的導向器(ORIENTER)。
真空搬送室13係平面形狀為6角形之被處理基板W的搬送容器,藉由未圖示之真空泵而將室內保持為真空氛圍。真空搬送室13之兩邊側壁分別透過閘閥G2而連接有加載互鎖室150。又,真空搬送室13剩下的4個側壁分別透過閘閥G3而連接有電漿處理裝置PM1~PM4。真空搬送室13內係設有在加載互鎖室150及電漿處理裝置PM1~PM4之相互間收授被處理基板W,而具有可旋轉伸縮之搬送臂的搬送機構220。
控制部100可為具備有例如中央處理單元(CPU)及記憶體之記憶裝置的電腦。控制部100會依記憶裝置所記憶之程式及處理配方而輸出各種控制訊號。控制部100會進行例如被處理基板W之搬送、各閘閥G1~G3及門140之開閉、各電漿處理裝置PM1~PM4中之處理、暖機處理、及群組間暖機處理之控制。從控制部100所輸出之各種控制訊號會朝搬送機構120,220、各閘閥G1~G3、門140、及電漿處理裝置PM1~PM4輸入。另外,藉由控制部100所實行之暖機處理及群組間暖機處理之詳細則於後述。
接著,說明一實施形態相關之電漿處理裝置PM1~PM4之構成。本實施形態中,雖電漿處理裝置PM1~PM4均係以電漿蝕刻裝置的情況舉例說明,但不限於此。以下說明中,雖係以電漿處理裝置PM1為代表說明,但電漿處理裝置PM2~PM4亦係具有相同構成。
圖2係顯示一實施形態相關之電漿處理裝置之概略圖。圖2所示之電漿處理裝置PM1係具備有處理容器12、台座14、微波產生器16、天線18及介電體窗20。
處理容器12係區劃出用以進行電漿處理之處理空間S。處理容器12具有側壁12a、及底部12b。側壁12a係形成為略筒狀。以下,假定設有於側壁12a之筒狀中心中延伸於筒狀之軸線X,將軸線X之延伸方向稱為軸線X方向。底部12b係設於側壁12a下端側,而覆蓋側壁12a之底側開口。底部12b係設有排氣用之排氣孔12h。側壁12a之上端部呈開口。
側壁12a之上端部開口係藉由介電體窗20加以封閉。介電體窗20與側壁12a之上端部之間介設有O型環21。介電體窗20係透過O型環21而設 置於側壁12a之上端部。藉由O型環21,處裡容器12之密閉便更確實。台座14係被收納於處理空間S而載置有被處理基板W。介電體窗20具有對向於處理空間S之對向面20a。微波產生器16會產生例如2.45GHz之微波。一實施形態中,電漿處理裝置PM1更具備有調諧器22、導波管24、模式轉換器26,及同軸導波管28。
微波產生器16係透過調諧器22連接至導波管24。導波管24為例如矩形導波管。導波管24係連接至模式轉換器26,模式轉換器26係連接至同軸導波管28上端。
同軸導波管28係沿軸線X延伸。此同軸導波管28包含有外側導體28a及內側導體28b。外側導體28a係具有沿軸線X方向延伸之略圓筒形狀。內側導體28b係設於外側導體28a之內部。此內側導體28b係具有沿軸線X延伸之略圓筒形狀。
藉由微波產生器16所產生之微波係透過調諧器22及導波管24而導波至模式轉換器26。模式轉換器26會轉換微波之模式,將模式轉換後之微波供給至同軸導波管28。來自同軸導波管28之微波會被供給至天線18。
天線18會基於微波產生器16所產生之微波將電漿激發用微波加以放射。天線18係具有槽孔板30、介電體板32及冷卻套頭34。天線18係設於介電體窗20之對向面的相反面20a之面20b上,基於微波產生器16所產生之微波,透過介電體窗20將電漿激發用微波朝處理空間S放射。另外,微波產生器16及天線18等係構成用以供給將被導入至處理空間S之處理氣體加以電漿化之電磁能的電漿產生機構。
槽孔板30係形成為板面正交於軸線X之略圓板狀。槽孔板30係板面與介電體窗20相互對合地配置於介電體窗20之對向面20a相反側之面20b上。槽孔板30係以軸線X為中心於周圍方向配列有複數槽孔30a。
圖3係從軸線X方向觀看一實施形態相關之槽孔板的俯視圖。一實施形態中,如圖3所示,槽孔板30係構成輻射狀槽孔天線之槽孔板。槽孔板30係形成為具有導電性之金屬製圓板狀。槽孔板30係形成有複數槽孔30a。各槽孔30a係包含有為延伸於相互交叉或正交之方向的長孔之槽孔30b及槽孔30c。複數槽孔30a係於徑向以既定間隔加以配置,又,於周圍方向以既定間隔加以配置。
換言之,複數槽孔30a係具有沿著槽孔板30之圓周方向配置有複數個所形成之第1槽孔群30a-1、與較第1槽孔群30a-1要位於槽孔板30之徑向外側,沿著槽孔板30之圓周方向配置有複數個所形成之第2槽孔群30a-2。又,槽孔板30之中央部係形成有後述導管36可貫穿之貫穿孔30d。
再參照圖2。介電體板32係形成為板面正交於軸線X之略圓板狀。介電體板32係設於槽孔板30與冷卻套頭34下側表面之間。介電體板32為例如石英製,具有略圓板形狀。又,介電體窗20係形成有面對處理空間S之對向面20a,而位於圓板狀中央部與外周部之間的環狀凹部20c。藉此,介電體窗20便形成有位於圓板狀中央部之肉厚部20d,及位於圓板狀中央部與外周部之間的肉薄部20e。
冷卻套頭34表面具有導電性。冷卻套頭34係於內部形成有可讓冷媒流通之流道34a,藉由冷媒的流通以將介電體板32及槽孔板30冷卻。冷卻套頭34之上部表面係電連接於外側導體28a之下端。又,內側導體28b之下端係通過冷卻套頭34及介電體板32中央部分所形成之孔而電連接至槽孔板30。
來自同軸導波管28之微波會傳遞於介電體板32,從槽孔板30之槽孔30a透過介電體窗20而導入至處理空間S。一實施形態中,同軸導波管28之內側導管28b之內孔係通過有導管36。槽孔板30之中央部係形成有可讓導管36貫穿之貫穿孔30d。導管36係沿著軸線X延伸,而連接至氣體供給系統38、氣體供給系統39、及氣體供給系統40。
氣體供給系統38係將用以處理被處理基板W之處理氣體供給至導管36。氣體供給系統38所供給之處理氣體係含有氟系氣體。處理氣體在一實施形態中為蝕刻氣體,例如為CF4氣體,或CH2F2氣體。氣體供給系統38可含有氣體源38a、閥38b及流量控制器38c。氣體源38a為處理氣體之氣體源。閥38b會切換來自氣體源38a之處理氣體的供給及供給停止。流量控制器38c為例如質流控制器,會調整來自氣體源38a之處理氣體的流量。
氣體供給系統39係將用以處理被處理基板W之處理氣體供給至導管36。氣體供給系統39所供給之處理氣體係含有氧氣(O2氣體)。氣體供給系統39可含有氣體源39a、閥39b及流量控制器39c。氣體源38a為氧氣之氣體源。閥39b會切換來自氣體源39a之氣體的供給及供給停止。流量控制器 39c為例如質流控制器,會調整來自氣體源39a之氣體的流量。
氣體供給系統40會將氬氣供給至導管36。一實施形態中,除了來自氣體供給系統39之處理氣體,尚從氣體供給系統40供給氬氣。氣體供給系統40可含有氣體源40a、閥40b及流量控制器40c。氣體源40a為氬氣之氣體源。閥40b會切換來自氣體源40a之氬氣的供給及供給停止。流量控制器40c為例如質流控制器,會調整來自氣體源40a之氬氣的流量。另外,氣體供給系統38,39,40係成為將用於電漿反應之處理氣體朝處理空間S導入之氣體供給機構。
一實施形態中,電漿處理裝置PM1更可具有噴射器41。噴射器41會將來自導管36之氣體供給至介電體窗20所形成之貫穿孔20h。被供給至介電體窗20之貫穿孔20h的氣體會被供給至處理空間S。以下說明中,有將導管36、噴射器41及以貫穿孔20h所構成之氣體供給路徑稱為「中央氣體導入部」的情況。
一實施形態中,電漿處理裝置PM1更具備有氣體供給部42。氣體供給部42係在台座14與介電體窗20之間,從軸線X周圍將氣體供給至處理空間S。以下說明中,有將氣體供給部42稱為「周邊氣體導入部」的情況。氣體供給部42包含有導管42a。導管42a係在介電體窗20與台座14之間以軸線X為中心而延伸為環狀。導管42a係形成有複數氣體供給孔42b。複數氣體供給孔42b係配列呈環狀,朝軸線X開口,而將被供給至導管42a之氣體朝軸線X供給。此氣體供給部42係透過導管46而連接至氣體供給系統43、氣體供給系統44、及氣體供給系統45。
氣體供給系統43係將用以處理被處理基板W之處理氣體供給至氣體供給部42。氣體供給系統43所供給之處理氣體與氣體供給系統38之處理氣體同樣地係含有氟系氣體。此處理氣體在一實施形態中為蝕刻氣體,例如為CF4氣體,或CH2F2氣體。氣體供給系統43可含有氣體源43a、閥43b及流量控制器43c。氣體源43a為處理氣體之氣體源。閥43b會切換來自氣體源43a之處理氣體的供給及供給停止。流量控制器43c為例如質流控制器,會調整來自氣體源43a之處理氣體的流量。
氣體供給系統44與氣體供給系統39之處理氣體同樣地係含有氧氣。氣體供給系統44會將含氧氣(O2氣體)之處理氣體供給至氣體供給部42。氣體 供給系統44可含有氣體源44a、閥44b及流量控制器44c。氣體源44a為氧氣之氣體源。閥44b會切換來自氣體源44a之氣體的供給及供給停止。流量控制器44c為例如質流控制器,會調整來自氣體源44a之氣體的流量。
氣體供給系統45會將氬氣供給至氣體供給部42。一實施形態中,除了來自氣體供給系統44處理氣體,尚從氣體供給系統45供給氬氣。氣體供給系統45可含有氣體源45a、閥45b及流量控制器45c。氣體源45a為氬氣之氣體源。閥45b會切換來自氣體源45a之氬氣的供給及供給停止。流量控制器45c為例如質流控制器,會調整來自氣體源45a之氬氣的流量。另外,氣體供給系統43,44,45係成為將用於電漿反應之處理氣體朝處理空間S導入之氣體供給機構。
台座14係以對向於軸線X方向中介電體窗20之方式加以設置。此台座14係以在介電體窗20與該台座之間夾置處理空間S之方式加以設置。台座14上係載置有被處理基板W。一實施形態中,台座14係包含有台14a、聚焦環14b、及靜電夾具14c。
台14a係藉由筒狀支撐部48加以支撐。筒狀支撐部48係以絕緣性材料所構成,從底部12b朝垂直上方延伸。又,筒狀支撐部48外周係設有導電性筒狀支撐部50。筒狀支撐部50係沿著筒狀支撐部48外周從處理容器12之底部12b朝垂直上方延伸。此筒狀支撐部50與側壁12a之間係形成有環狀之排氣道51。
排氣到51之上部係組裝有設有複數貫穿孔之環狀緩衝板52。排氣孔12h下部係透過排氣管54而連接有排氣裝置56。排氣裝置56係具有渦輪分子泵等之真空泵。藉由排氣裝置56,可將處理容器12內之處理空間S減壓至所欲之真空度。
台14a兼作為高頻電極。台14a係透過供電棒62及匹配單元60而電連接有RF偏壓用高頻電源58。高頻電源58會控制將離子吸引至被處理基板W之能量而將適合的既定頻率,例如13.65MHz之高頻電功率以既定之功率加以輸出。匹配單元60係收納有取得高頻電源58側之阻抗、與主要為電極、電漿、處理容器12之負荷側阻抗之間的整合之匹配器。此匹配器中亦含有自偏壓產生用之耦合電容器。
台14a上面係設有靜電夾具14c。靜電夾具14c係以靜電吸附力保持被 處理基板W。靜電夾具14c之徑向外側係設有環狀地包圍被處理基板W之聚焦環14b。靜電夾具14c包含有電極14d、絕緣膜14e及絕緣膜14f。電極14d由絕緣膜所構成,係設於絕緣膜14e及絕緣膜14f之間。電極14d係透過開關66及披覆線68而電連接有高壓直流電源64。靜電夾具14c係藉由直流電源64所施加之直流電壓而產生的庫倫力,便可靜電保持被處理基板W。
台14a內部係設有延伸於周圍方向之環狀冷媒室14g。此冷媒室14g係由冷卻單元(未圖示)透過配管70,72而循環供給有既定溫度之冷媒,例如冷卻水。藉由冷媒之溫度來控制靜電夾具14c之上面溫度。傳熱氣體,例如He氣體係透過氣體供給管74而被供給至靜電夾具14c上面及被處理基板W內面之間,而藉由此靜電夾具14c之上面溫度來控制被處理基板W之溫度。另外,從控制部10所輸出之各種控制訊號會朝微波產生器16、排氣裝置56、閥38b、流量控制器38c、閥43b、流量控制器43c、閥39b、流量控制器39c、閥40b、流量控制器40c、閥44b、流量控制器44c、閥45b及流量控制器45c輸入。
以下,參照圖4,就噴射器41及介電體窗20之貫穿孔20h更詳細地加以說明。圖4係擴大顯示一實施形態相關之噴射器及介電體窗之貫穿孔的剖面圖。
如圖4所示,介電體窗20係沿著軸線X從上方依序區劃出收容空間20s、貫穿孔20h。貫穿孔20h係與收容空間20s及處理空間S連通。此貫穿孔20h於天線18側之開口與處理空間S側之開口間的一部份面積係構成為會較與天線18側開口之間的該貫穿孔20h的其他部分之面積要小。此處,所謂「面積」係指在正交於軸線X之面的貫穿孔20h面積。一範例中,如圖4所示,管穿孔20h係具有隨著軸線X朝下方而其直徑會變小的錐狀。
收容空間s係收容有噴射器41、及導管36之一端部36b。導管36可由具導電性之金屬所構成。導管36包含有本體部36a及一端部36b。本體部36a具有沿軸線X延伸之筒狀。一端部36b具有略圓板狀,具有較本體部36a要大的外徑。導體36係設有貫穿過本體部36a及一端部36b之氣體供給用內孔。此導管36之本體部36a係通過內側導體28b之內孔。
內側導體28b如上述般,係連接至槽孔板30。一實施形態中,槽孔板 的內側緣部係藉由內側導體28b之下端與金屬製構件80加以夾置。此構件80係藉由螺絲82固定於內側導體28b之下端。又,槽孔板30下面係接觸有導管36之一端部36b之上面。如此般,內側導體28b、槽孔板30及導管36便會電連接。
噴射器41係收容在收容空間20s內之導管36一端部36b下方。噴射器41具有導電性,具有略圓板狀。噴射器41為例如鋁或不鏽鋼製。
噴射器41係含有一端部36b側之第一面41a及貫穿孔20h側之第2面41b。噴射器41係形成有從第1面41a延伸至第2面41b之複數貫穿孔41h。一實施形態中,第2面41b亦可形成有Y2O3膜。此膜亦可在將Y2O3披覆於第2面41b後,藉由電子束將披覆膜熔融來加以形成。
噴射器41係藉由螺絲84固定於導管36之一端部36b,而電連接至該一端部36b。從而,噴射器41可設定為與內側導體28b、槽孔板30及導管36為相同電位。噴射器41可設定為例如接地電位。
一實施形態中,噴射器41之第2面41b與介電體窗20之間係設有O型環86。O型環86係以包圍複數貫穿孔41h之貫穿孔20h側開口之方式而環狀地延伸。藉由此O型環86,便會確保噴射器41與介電體窗20之間的氣密。再者,噴射器41之第1面41a與導管36之一端部36b之間係設有O型環88。O型環86係以包圍複數貫穿孔41h之一端部36b側開口之方式而環狀地延伸。藉此,便會確保噴射器41與導管36之一端部36b之間的氣密。
此般構成之電漿處理裝置PM1中,係透過導管36及噴射器41之貫穿孔41h而從介電體窗20之貫穿孔20h沿軸線X將氣體供給至處理空間S內。又,較貫穿孔20h要下方中,係從氣體供給部42朝軸線X供給氣體。再者,從天線18透過介電體窗20將微波導入至處理空間S及/或貫穿孔20h。藉此,在處理空間S及/或貫穿孔20h中便會產生電漿。如此般,依電漿處理裝置PM1,便可不施加磁場而產生電漿。
接著,就使用電漿處理裝置PM1之電漿處理方法加以說明。圖5係顯示一實施形態相關之電漿處理方法的概略圖。圖5係顯示被處理基板W之搬送流程,及暖機電漿處理及電漿處理之順序。圖5範例雖係以從搬出入埠130將被處理基板W朝電漿處理裝置PM1搬送,而在電漿處理裝置PM1中施以電漿處理之情況為例加以說明,但不限於此。
首先,就被處理基板W之搬送加以說明。如圖5所示,首先,被處理基板W係設置於搬出入埠130。然後,控制部100對搬出入埠130所設置之被處理基板W下達電漿處理開始之指令時,便開始被處理基板W之搬送。控制部100會將被處理基板W從搬出入埠130透過門140及大氣搬送室11朝對位模組160搬送。對位模組160會進行被處理基板W之對位處理。
接著,控制部100會將進行對位處理後之被處理基板W從對位模組160透過閘閥G1朝加載互鎖室150搬送。加載互鎖室150在搬送被處理基板W後,會減壓至真空壓力。接著,控制部100會從真空壓力下之加載互鎖室150將被處理基板W透過閘閥G2、真空搬送室13及閘閥G3而朝電漿處理裝置PM1搬送。電漿處理裝置PM1對所搬送之被處理基板W實行例如蝕刻處理等之電漿處理。
接著,就控制部100之暖機處理加以說明。如圖5所示,控制部100當針對搬出入埠130所設置之被處理基板W對應於電漿處理開始指令而開始被處理基板W之搬送後,便實行暖機處理。所謂暖機處理係指在被處理基板W搬送期間,在台座14尚未載置被處理基板W之狀態(無晶圓狀態)下,藉由氣體供給機構將處理氣體朝處理空間S供給,並藉由電漿產生機構供給電磁能之處理(暖機電漿處理)。
控制部100會使被處理基板W到達電漿處理裝置PM1前方之閘閥G3,在朝電漿處理裝置PM1搬送前,會結束暖機處理。被處理基板W會被搬送至電漿處理裝置PM1而設置於台座14後,電漿處理裝置PM1會實行例如為了蝕刻處理等而藉由氣體供給機構將處理氣體朝處理空間S供給,並藉由電漿產生機構供給電磁能之處理(電漿處理)。
另外,上述一實施形態中,雖係例示使被處理基板W到達閘閥G3後而結束暖機處理,但不限於此。圖6係一實施形態相關之電漿處理方法的流程圖。
如圖6所示,控制部100對搬出入埠130所設置之被處理基板W下達電漿處理開始之指令後(S101),會開始搬出入埠130所設置之被處理基板W的搬送(S102)。接著,控制部100在被處理基板W之搬送開始後會開始暖機處理(S103)。接著,控制部100會判斷介電體窗20之例如對向面20a之中央部的溫度是否到達預設溫度以上,或是否已經過預設時間(S104)。
控制部100在介電體窗20之例如對向面20a之中央部的溫度是否到達預設溫度以上,或是否已經過預設時間(S104,No)為止,會持續暖機處理。另一方面,控制部100在介電體窗20之例如對向面20a之中央部的溫度是否到達預設溫度以上,或是否已經過預設時間(S104,Yes)時,會結束暖機處理(S105)。
接著,控制部100使被處理基板W到達電漿處理裝置PM1(台座14)時(S106),便開始電漿蝕刻等之電漿處理(S107)。
依一實施形態之電漿處理裝置PM1及電漿處理方法,在對應於對被處理基板W之電漿處理開始指令而使被處理基板W被搬送至電漿處理裝置PM1之期間會實行暖機處理。從而,即便被處理基板W為第1群組,在對此第1群組之被處理基板W實行電漿處理時,電漿處理裝置PM1之例如介電體窗20之溫度乃會被加熱至所欲溫度。此外,暖機處理由於係在被處理基板W搬送期間所實行,故難以影響產能。其結果,依一實施形態之電漿處理裝置PM1及電漿處理方法,便可抑制第1群組之被處理基板W蝕刻率降低,且可抑制被處理基板W之電漿處理產能之降低。
接著,就一實施形態相關之電漿處理方法的效果加以說明。圖7A、圖7B、圖7C係用以說明一實施形態相關之電漿處理方法的效果之圖式。圖7A、圖7B、圖7C係顯示一實施形態相關之電漿處理方法的效果之圖式。
圖7A、圖7B、圖7C中,橫軸係顯示朝電漿處理裝置PM1置入之被處理基板W之1群組內的處理順序的號碼(Slot number),縱軸係顯示蝕刻率(nm/分)、介電體窗20之時間平均溫度(℃)。又,圖7A係不使用一實施形態相關之電漿處理方法,而對被處理基板W實行電漿蝕刻處理情況時,介電體窗20溫度與蝕刻率之關係的圖表。另一方面,圖7B、圖7C係使用一實施形態相關之電漿處理方法,而對被處理基板W實行電漿蝕刻處理情況時,介電體窗20溫度與蝕刻率之關係的圖表。
首先,如圖7A所示,在未使用一實施形態相關之電漿處理方法的情況,如圖線174所示,Slot number「1」之被處理基板W在處理時,與其他Slotnumber之被處理基板W之處理情況相比,介電體窗20之溫度會變低。又,如圖線172所示,Slot number「1」之被處理基板W的蝕刻率,與其他Slot number之被處理基板W的蝕刻率相比,會變低。這是被稱作First wafer effect,起因於Slot number「1」之被處理基板W之處理時,介電體窗20(及處理空間S)之溫度較低,而使得Sl0t number「1」之被處理基板W的蝕刻率變低之現象。
相對於此,使用一實施形態相關之電漿處理方法的情況,在對應於對被處理基板W之電漿處理開始指令而使被處理基板W被搬送至電漿處理裝置PM1之期間實行暖機處理。其結果,如圖7B之圖線178所示,Slot number「1」之被處理基板W在處理時,與其他Slot number之被處理基板W之處理情況相比,介電體窗20之溫度會相等或稍微變高。如此般,依一實施形態相關之電漿處理方法,便可確保Slot number「1」之被處理基板W在處理時之介電體窗20(及處裡空間S)之溫度較高。其結果,如圖線176所示,Slot number「1」之被處理基板W的蝕刻率,與其他Slot number之被處理基板W的蝕刻率相比,可保持為相等。
又,圖7C係較圖7B的情況,要進行更長時間之暖機處理的情況之介電體窗20溫度與蝕刻率之關係的圖表。如圖7C之圖線182所示,Sl0t number「1」之被處理基板W在處理時,與其他Slot number之被處理基板W之處理情況相比,介電體窗20之溫度會大幅提高。如此般,依一實施形態相關之電漿處理方法,可確保Slot number「1」之被處理基板W在處理時之介電體窗20(及處裡空間S)之溫度較高。其結果,如圖線180所示,Slot number「1」之被處理基板W的蝕刻率,與其他Slot number之被處理基板W的蝕刻率相比,可保持為相等。
然而,控制部100在暖機處理時,可藉由氣體供給機構將含氧氣之處理氣體朝處理空間S供給。又,控制部100在暖機處理時,首先亦可藉由氣體供給機構將含氟系氣體之第1處理氣體朝處理空間S供給後,再將含氧氣之第2處理氣體朝處理空間S供給。關於此點,將在以下說明。
圖8係顯示一實施形態相關之電漿處理方法中依處理氣體種類而介電體窗之溫度推移的圖式。圖8中橫軸係顯示從暖機處理開始的經過時間(sec),縱軸係顯示介電體窗20之溫度(℃)。
又,圖8中,圖線190係顯示暖機處理中作為處理氣體係將氟系氣體之SF6:150sccm與O2:50sccm供給至處理空間S情況之介電體窗20的溫度推移。又,圖線191係顯示暖機處理中作為處理氣體係將SF6:100sccm與O2: 100sccm供給至處理空間S情況之介電體窗20的溫度推移。圖線192係顯示暖機處理中作為處理氣體係將SF6:50sccm與O2:150sccm供給至處理空間S情況之介電體窗20的溫度推移。
又,圖線193係顯示暖機處理中作為處理氣體係將O2:200sccm供給至處理空間S情況之介電體窗20的溫度推移。圖線194係顯示暖機處理中作為處理氣體係將O2:350sccm供給至處理空間S情況之介電體窗20的溫度推移。
又,圖線195係顯示暖機處理中作為處理氣體係將Ar:200sccm供給至處理空間S情況之介電體窗20的溫度推移。圖線196係顯示暖機處理中作為處理氣體係將Ar:150sccm及O2:50sccm供給至處理空間S情況之介電體窗20的溫度推移。
又,介電體窗20之溫度到達150(℃)之時間,在SF6:150sccm與O2:50sccm之處理氣體(圖線190)的情況,為147(sec)。又,介電體窗20之溫度到達150(℃)之時間,在SF6:100sccm與O2:100sccm之處理氣體(圖線191)的情況,為246(sec)。
又,介電體窗20之溫度到達150(℃)之時間,在O2:200sccm之處理氣體(圖線193)的情況,為264(sec)。又,介電體窗20之溫度到達150(℃)之時間,在SF6:50sccm與O2:150sccm之處理氣體(圖線192)的情況,為283(sec)。
又,介電體窗20之溫度到達150(℃)之時間,在O2:350sccm之處理氣體(圖線194)的情況,為299(sec)。又,在Ar:200sccm之處理氣體(圖線195)的情況、Ar:150sccm及O2:50sccm之處理氣體(圖線196)的情況,介電體窗20之溫度均未到達150(℃)。
由該等結果及圖8可知,使用O2作為處理氣體會較Ar要使得暖機處理之介電體窗20之溫度上升變快。於是,一實施形態中,便可將含氧氣之處理氣體作為暖機處理用之處理氣體而朝處理空間S供給。
又,由該等結果及圖8可知,SF6的濃度越高,則暖機處理之介電體窗20之溫度上升會變快。另一方面,在使用SF6於暖機處理時而產生電漿的情況,會在之後的蝕刻等之電漿處理前清潔處理容器12內,故較佳。於是,一實施形態中,作為暖機處理用之處理氣體便可首先將含氟系氣體之第1處理氣體朝處理空間S供給後,再將含氧氣之第2處理氣體來朝處理空間S 供給。藉此,便能使暖機處理中介電體窗之溫度迅速上升,並在電漿處理前清潔處理容器12內。
接著,就介電體窗20之溫度測量加以說明。圖9係顯示介電體窗溫度測量點之一範例的圖式。圖10、圖11係顯示輻射溫度測量器之溫度測量一範例之圖式。
如圖9所示,介電體窗20之溫度測量點應為介電體窗20所形成之凹部20c所設置之肉薄部20e內表面之點202、及介電體窗20中央部之肉厚部20d內表面之點204。
測量點202之溫度的情況,如圖10所示,係將輻射溫度計210設置於側壁12a的外部。然後,輻射溫度計210會透過側壁12a所設置之測量窗212測量從測量點202所釋出之紅外線等之輻射能,來測量測量點202之表面溫度。
又,測量點204之溫度的情況,如圖11所示,係調整輻射溫度計210之設置角度。然後,輻射溫度計210會透過側壁12a所設置之測量窗212測量從測量點204所釋出之紅外線等之輻射能,來測量測量點204之表面溫度。
接著,說明測量點202及點204之溫度情況時,介電體窗20之溫度推移。圖12係顯示依介電體窗溫度測量點之溫度推移的圖式。圖12中橫軸係顯示從暖機處理開始之經過時間(sec),縱軸係顯示介電體窗20之溫度(℃)。
又,圖12中之圖線212係顯示測量點202之溫度推移,圖線214係顯示測量點204之溫度推移。如圖12所示,開始暖機處理後,測量點202,即介電體窗20之肉薄部20e的溫度會先急遽上升,測量點204,即介電體窗20之肉厚部20d之溫度則緩緩上升。
此處,例如第1群組等之初期群組的被處理基板W的蝕刻率變低現象(First wafer effect)係假設肉薄部20e即便到達既定溫度(例如150(℃)),也可能發生介電體窗20之中央部肉厚部20d不會到達既定溫度(例如150(℃))之情況。換言之,對應肉厚部20e測量測量點202之溫度,而所測量之溫度到達既定溫度而結束暖機處理的情況,會有介電體窗20之肉厚部20d無法被充分加熱,導致有發生First wafer effect之虞。於是,一實施形態中,便使用輻射溫度計210來測量介電體窗20之肉厚部20d的溫度,較佳地,控制部100係在輻射溫度計210所測量之介電體窗20之肉厚部20d的溫度到達 預定溫度(例如150(℃))以上為止來實行暖機處理。
另外,介電體窗20溫度之測量方法不限於輻射溫度計210。例如可使用光纖溫度計。圖13係顯示光纖溫度計之溫度測量一範例之圖式。
如圖13所示,光纖溫度計220係以從處理容器12外部來量測介電體窗20側部之溫度的方式加以設置。此處,如上述般,介電體窗20在對向面20a之中央部及側部處之溫度上升推移有所差異,而為了抑制First wafer effect,較佳地係一邊測量中央部溫度一邊進行暖機處理。
然而,藉由例如事前的實驗或模擬,藉由量測介電體窗20之中央部與側部之溫度關係,便可以簡易的構成來進行介電體窗20之溫度量測。所謂溫度關係係指例如介電體窗20側部之溫度上升至某溫度(例如250(℃)),則介電體窗20之對向面20a中央部之溫度便會上升至所欲溫度(例如150(℃))之溫度關係。
此處,控制部100較佳地,係在光纖溫度計220所測量之介電體窗20側部之溫度係到達基於光纖溫度計220所測量之介電體窗20之側部溫度與介電體窗20之對向面20a中央部之溫度的相關關係所預設的溫度以上為止,來實行暖機處理。
藉此,便不需要藉由輻射溫度計210來測量介電體窗20之對向面20a中央部之溫度,由於可以例如光纖溫度計220從大氣側來量測介電體窗20之側部的溫度,故可將溫度測量相關構成加以簡化。
接著,就群組間暖機處理加以說明。圖14A、圖14B係顯示群組間之介電體窗溫度推移之圖式。圖14A、圖14B中,橫軸係顯示經過時間(sec),縱軸係顯示介電體窗20之溫度(℃)及微波之功率(W)。又,圖14A係顯示群組與群組間之時間較長情況的介電體窗之溫度推移,圖14B係顯示群組與群組間之時間較短情況的介電體窗之溫度推移。又,圖14A中,圖線230係顯示微波之功率推移,圖線232係顯示介電體窗20之溫度推移。圖14B中,圖線240係顯示微波之功率推移,圖線242係顯示介電體窗20之溫度推移。
如圖14A之圖線230所示,在最初群組實行電漿處理後,群組交換時間234較長的情況,介電體窗20溫度會大幅下降。此情況,最初群組(第1群組)中之被處理基板W的蝕刻率平均為15.8nm/min,相對於此,在群組交換後之群組(第2群組),被處理基板W之蝕刻率平均大幅降低至14.6nm/min。
另一方面,如圖14B之圖線240所示,在最初群組實行電漿處理後,群組交換時間244較短的情況,介電體窗20溫度下降較小。此情況,最初群組(第1群組)中之被處理基板W的蝕刻率平均為15.4nm/min,相對於此,在群組交換後之群組(第2群組),被處理基板W之蝕刻率平均則為15.3nm/min。如此般,在群組交換時間較短,介電體窗20之溫度降低較小的情況,則被處理基板W之蝕刻率的降低便較小。
換言之,群組交換時間較長的情況,介電體窗20之溫度降低較大,由於群組交換後之被處理基板W的蝕刻率降低,故在群組與群組間之暖機處理(群組間暖機處理)便會有效。另外,所謂群組間暖機處理係在第1群組與第2群組間,在台座14未載置有被處理基板W的狀態(無晶圓狀態)下,藉由氣體供給機構將處理氣體朝處理空間S供給,並藉由電漿產生機構供給電磁能之處理。
圖15係一實施形態相關之群組間暖機處理之流程圖。如圖14所示,控制部100在對第1群組之電漿處理結束時(S201),會判斷在對第2群組之電漿處理實行前是否已經過預設時間(S202)。
控制部100在判斷對第2群組之電漿處理實行前尚未經過預設時間時(S202,No),會判斷對第2群組之電漿處理實行前介電體窗20之溫度是否未達預設溫度(S203)。
控制部100在判斷對第2群組之電漿處理實行前已經過預設時間時(S202,Yes),或對第2群組之電漿處理實行前介電體窗20之溫度未達預設溫度時(S203,Yes),會開始群組間暖機處理(S204)。接著,控制部00在群組間暖機處理後,會對第2群組實行電漿處理(S205)。
如此般,在第1群組與第2群組間,經過了預設時間,或介電體窗20之溫度未達預設溫度的情況,便會實行群組間暖機處理。從而,可抑制第1群組與第2群組間之群組交換時間導致之處理容器12之內表面(介電體窗20)的溫度降低,故可抑制群組交換後之被處理基板W的蝕刻率降低。
以上,依本實施形態之電漿處理裝置PM1,便會在對被處理基板W下達電漿開始指令而使被處理基板W搬送至電漿處理裝置PM1為止之期間,實行暖機處理。從而,即便被處理基板W為第1群組,在對此第1群組之被處理基板W實行電漿處理時,電漿處理裝置PM1之例如介電體窗20之 溫度仍會被加熱至所欲溫度。此外,暖機處理由於係在被處理基板W搬送期間所實行,故難以影響產能。其結果,依一實施形態之電漿處理裝置PM1及電漿處理方法,便可抑制第1群組之被處理基板W的蝕刻率降低,且抑制被處理基板W之電漿處理之產能降低。
又,依本實施形態之電漿處理裝置PM1,由於暖機處理時係使用含氧氣之處理氣體,故可迅速地將介電體窗20之溫度上升。又,本實施形態之電漿處理裝置PM1在暖機處理時,係在將含氟系氣體之第1處理氣體朝電漿處理空間供給後,再將含氧氣之第2處理氣體朝電漿處理空間供給。從而,依本實施形態之電漿處理裝置PM1,可藉由第1處理氣體來迅速地將介電體窗20的溫度上升,並藉由第2處理氣體來清潔處理容器12。
又,本實施形態之電漿處理裝置PM1於群組交換時,在經過預設時間,或介電體窗20之溫度未達預設溫度的情況,會實行群組間暖機處理。由於藉由此群組間暖機處理可抑制群組交換時之介電體窗20的溫度降低,故可抑制群組交換後之被處理基板W的蝕刻率降低。
S101‧‧‧電漿處理開始指令
S102‧‧‧被處理基板W之搬送開始
S103‧‧‧暖機處理開始
S104‧‧‧介電體窗之溫度在既定值以上,或經過既定時間
S105‧‧‧暖機處理結束
S106‧‧‧被處理基板W到達電漿處理裝置PM1
S107‧‧‧電漿處理開始

Claims (8)

  1. 一種電漿處理裝置,係具備有:處理容器,係區劃電漿處理空間;試料台,係設於該處理空間內,而設置有被處理基板;氣體供給機構,係將用於電漿反應之處理氣體朝該電漿處理空間導入;電漿產生機構,係供給用以將導入至該電漿處理空間之處理氣體電漿化之電磁能;以及控制部,係在下達對該處理容器外部之基板搬入台座所設置之被處理基板的電漿處理開始之指令而將該被處理基板從該基板搬入台座朝該處理容器內搬送期間,在該試料台未設置有被處理基板之狀態下,實行藉由該氣體供給機構來將該處理氣體朝該處理空間供給,並藉由該電漿產生機構供給電磁能之暖機處理。
  2. 如申請專利範圍第1項之電漿處理裝置,其中該電漿產生機構係具有:微波產生器;介電體,係具有對向於該處理空間之對向面;以及天線,係設於該介電體之該對向面的相反側的面上,基於該微波產生器所產生之微波,透過該介電體將微波激發用微波朝該處理空間放射;該控制部係在該介電體之該對向面中央部的溫度到達預設溫度以上為止,實行該暖機處理。
  3. 如申請專利範圍第2項之電漿處理裝置,其具備有由該處理容器外部測量該介電體之該對向面中央部之溫度的輻射溫度計;該控制部係在該輻射溫度計所測量之該介電體的該對向面中央部之溫度到達預設溫度以上為止,實行該暖機處理。
  4. 如申請專利範圍第2項之電漿處理裝置,其具備有由該處理容器外部測量該介電體之側部溫度的光纖溫度計;該控制部係在該光纖溫度計所測量之該介電體的側部溫度到達基於該光纖溫度計所測量之該介電體側部溫度與該介電體之該對向面中央部溫度之相關關係所預先設定之溫度以上為止,實行該暖機處理。
  5. 如申請專利範圍第1至4項中任一項之電漿處理裝置,其中該處理氣體包含氧氣;該控制部係藉由該氣體供給機構將包含該氧氣之處理氣體朝該處理空間供給。
  6. 如申請專利範圍第1至4項中任一項之電漿處理裝置,其中該處理氣體包含有含氟系氣體之第1處理氣體與包含氧氣之第2處理氣體;該控制部係藉由該氣體供給機構將該第1處理氣體朝該處理空間供給後,藉由該氣體供給機構將該第2處理氣體朝該處理空間供給。
  7. 如申請專利範圍第1至4項中任一項之電漿處理裝置,其中該控制部係在該第1群組中針對被處理基板實行電漿處理後,於下一群組中針對被處理基板實行電漿處理前,已經過預設時間,或者該電漿處理裝置之預設位置的溫度未達預設溫度,而在該試料台未設置有被處理基板的狀態下,藉由該氣體供給機構將該處理氣體朝該處理空間供給,並藉由該電漿產生機構供給該電磁能之群組期間,實行暖機處理。
  8. 一種電漿處理方法,係使用電漿處理裝置之電漿處理方法,該電漿處理裝置具備有:處理容器,係區劃電漿處理空間;試料台,係設於該處理空間內,而設置有被處理基板;氣體供給機構,係將用於電漿反應之處理氣體朝該電漿處理空間導入;以及電漿產生機構,係供給用以將導入至該電漿處理空間之處理氣體電漿化之電磁能;其中,係在下達對該處理容器外部之基板搬入台座所設置之被處理基板的電漿處理開始之指令而將該被處理基板從該基板搬入台座朝該處理容器內搬送期間,在該試料台未設置有被處理基板之狀態下,藉由該氣體供給機構來將該處理氣體朝該處理空間供給,並藉由該電漿產生機構供給電磁能。
TW102118456A 2012-05-25 2013-05-24 A plasma processing apparatus, and a plasma processing method TWI574587B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012119619 2012-05-25

Publications (2)

Publication Number Publication Date
TW201410081A true TW201410081A (zh) 2014-03-01
TWI574587B TWI574587B (zh) 2017-03-11

Family

ID=49623832

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102118456A TWI574587B (zh) 2012-05-25 2013-05-24 A plasma processing apparatus, and a plasma processing method

Country Status (5)

Country Link
US (1) US9984906B2 (zh)
JP (1) JP6014661B2 (zh)
KR (1) KR102102003B1 (zh)
TW (1) TWI574587B (zh)
WO (1) WO2013176144A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10184183B2 (en) 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring

Families Citing this family (240)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP2018095901A (ja) * 2016-12-09 2018-06-21 東京エレクトロン株式会社 基板処理装置
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018116836A (ja) * 2017-01-18 2018-07-26 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102393155B1 (ko) * 2017-09-20 2022-05-02 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7183090B2 (ja) * 2019-03-20 2022-12-05 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
JP7267843B2 (ja) * 2019-06-07 2023-05-02 株式会社アルバック プラズマ処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
CN113498546B (zh) * 2020-02-03 2024-04-12 株式会社日立高新技术 等离子处理装置以及等离子处理方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230163001A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Method to eliminate first wafer effects on semiconductor process chambers
KR102619965B1 (ko) * 2022-05-16 2024-01-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664942A (en) * 1970-12-31 1972-05-23 Ibm End point detection method and apparatus for sputter etching
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5925212A (en) * 1995-09-05 1999-07-20 Applied Materials, Inc. Apparatus and method for attaining repeatable temperature versus time profiles for plasma heated interactive parts used in mass production plasma processing
JP2943691B2 (ja) * 1996-04-25 1999-08-30 日本電気株式会社 プラズマ処理装置
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JP4053173B2 (ja) * 1999-03-29 2008-02-27 東京エレクトロン株式会社 マイクロ波プラズマ処理装置及び方法
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
AU2003221059A1 (en) * 2002-03-29 2003-10-27 Tokyo Electron Limited Method for producing material of electronic device
US7569497B2 (en) * 2002-07-30 2009-08-04 Tokyo Electron Limited Method and apparatus for forming insulating layer
JP4136630B2 (ja) * 2002-12-03 2008-08-20 キヤノン株式会社 プラズマ処理装置
JP4563729B2 (ja) * 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
JP4361811B2 (ja) * 2004-01-09 2009-11-11 東京エレクトロン株式会社 半導体製造装置
JP4490704B2 (ja) 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
JP4646941B2 (ja) * 2007-03-30 2011-03-09 東京エレクトロン株式会社 基板処理装置及びその処理室内の状態安定化方法
JP5545795B2 (ja) * 2008-02-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体製造装置管理方法
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
US20110168673A1 (en) * 2008-07-04 2011-07-14 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, and mechanism for regulating temperature of dielectric window
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
JP2010219198A (ja) 2009-03-16 2010-09-30 Hitachi High-Technologies Corp プラズマ処理装置
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
US8969210B2 (en) * 2010-09-15 2015-03-03 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10184183B2 (en) 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring
TWI665708B (zh) * 2016-06-21 2019-07-11 美商應用材料股份有限公司 基板支撐組件及具有其之處理腔室
US11053592B2 (en) 2016-06-21 2021-07-06 Applied Materials, Inc. Substrate temperature monitoring

Also Published As

Publication number Publication date
US9984906B2 (en) 2018-05-29
TWI574587B (zh) 2017-03-11
WO2013176144A1 (ja) 2013-11-28
JP6014661B2 (ja) 2016-10-25
JPWO2013176144A1 (ja) 2016-01-14
KR20150016491A (ko) 2015-02-12
US20150064923A1 (en) 2015-03-05
KR102102003B1 (ko) 2020-04-20

Similar Documents

Publication Publication Date Title
TWI574587B (zh) A plasma processing apparatus, and a plasma processing method
US20200058467A1 (en) Plasma processing apparatus
TW583343B (en) Plasma processing apparatus and dielectric plate adapted to be provided between a process chamber of a plasma processing apparatus and slot electrode guiding a microwave used for a plasma process
US7718030B2 (en) Method and system for controlling radical distribution
US11328904B2 (en) Substrate processing apparatus
US8012305B2 (en) Exhaust assembly for a plasma processing system
TWI390605B (zh) Processing device
JP3314151B2 (ja) プラズマcvd装置及び半導体装置の製造方法
US9028191B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US20070254113A1 (en) Plasma processing apparatus having an evacuating arrangement to evacuate gas from gas-introducing part of a process chamber
US20070175393A1 (en) Substrate processing apparatus, substrate processing method, and storage medium storing program for implementing the method
KR20160019375A (ko) 플라즈마 처리 장치 및 포커스 링
US10546725B2 (en) Plasma processing apparatus
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
WO2020106386A1 (en) A cluster processing system for forming a transition metal material
TWI585813B (zh) Plasma processing device and plasma processing method
US20120180953A1 (en) Plasma processing apparatus and wave retardation plate used therein
US20150176125A1 (en) Substrate processing apparatus
TW201833982A (zh) 基板處理裝置及隔熱板
KR102630443B1 (ko) 개선된 온도 균일성을 갖는 공간적 웨이퍼 처리
KR101597054B1 (ko) 플라즈마 처리 장치
US20210202298A1 (en) Semiconductor device manufacturing method and semiconductor device manufacturing system
KR20230144636A (ko) 하나 이상의 비정질 탄소 하드마스크 층들을 사용하여 기판들을 프로세싱하기 위한 방법들, 시스템들, 및 장치

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees