JPWO2013176144A1 - プラズマ処理装置、及びプラズマ処理方法 - Google Patents

プラズマ処理装置、及びプラズマ処理方法 Download PDF

Info

Publication number
JPWO2013176144A1
JPWO2013176144A1 JP2014516813A JP2014516813A JPWO2013176144A1 JP WO2013176144 A1 JPWO2013176144 A1 JP WO2013176144A1 JP 2014516813 A JP2014516813 A JP 2014516813A JP 2014516813 A JP2014516813 A JP 2014516813A JP WO2013176144 A1 JPWO2013176144 A1 JP WO2013176144A1
Authority
JP
Japan
Prior art keywords
processing
plasma
gas
substrate
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014516813A
Other languages
English (en)
Other versions
JP6014661B2 (ja
Inventor
松本 直樹
直樹 松本
祐吾 富田
祐吾 富田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014516813A priority Critical patent/JP6014661B2/ja
Publication of JPWO2013176144A1 publication Critical patent/JPWO2013176144A1/ja
Application granted granted Critical
Publication of JP6014661B2 publication Critical patent/JP6014661B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

プラズマ処理装置PM1は、プラズマ処理空間Sを画成する処理容器12と、被処理基板Wの設置用のステージ14と、プラズマ反応に用いられる処理ガスをプラズマ処理空間Sへ導入するガス供給系38等とを備える。また、プラズマ処理装置PM1は、処理ガスをプラズマ化するための電磁エネルギーを供給するマイクロ波発生器16を備える。また、プラズマ処理装置PM1は、処理容器12の外部の基板搬入ステージに設置された被処理基板Wに対するプラズマ処理開始の指令が発行されて被処理基板Wが処理容器12内へ搬送されている間に、ウェーハレスの状態で、処理ガスを供給するとともに電磁エネルギーを供給するウォームアップ処理を行う制御部100を備える。

Description

本発明の種々の側面及び実施形態は、プラズマ処理装置、及びプラズマ処理方法に関するものである。
半導体の製造プロセスでは、薄膜の堆積又はエッチング等を目的としたプラズマ処理を実行するプラズマ処理装置が広く用いられている。プラズマ処理装置は、例えば薄膜の堆積処理を行うプラズマCVD(Chemical Vapor Deposition)装置や、エッチング処理を行うプラズマエッチング装置が挙げられる。
プラズマ処理装置は、被処理基板を処理するための処理室、処理室内に被処理基板を設置する試料台、及びプラズマ反応に必要な処理ガスを処理室内に導入するためのガス供給系などを備える。また、プラズマ処理装置は、処理室内の処理ガスをプラズマ化するため、マイクロ波、RF波などの電磁エネルギーを供給するプラズマ生成機構、及びバイアス電圧を試料台に印加し、試料台上に設置された被処理基板に向けてプラズマ中のイオンを加速するためのバイアス電圧印加機構などを備える。
ところで、プラズマ処理装置は、処理室の内表面温度のばらつきに起因して、同じ処理条件でプラズマ処理を繰り返しても被処理基板の加工精度がばらつく場合がある。一例としてプラズマエッチング装置を挙げて説明する。例えば、前回のプラズマエッチング処理の実行後ある程度の時間をあけてプラズマエッチング処理を行うと、このプラズマエッチング処理の最初のロット(ファーストロット)の1枚目の被処理基板のエッチングレートが、後続の被処理基板に比べてエッチングレートが低くなる場合がある。これは、前回のプラズマエッチング処理の実行後ある程度の時間をあけたことにより処理室の内表面の温度が低下し、その状態のままプラズマエッチング処理が実行されることに起因するものと考えられる。
従来、このような問題に対しては、例えば、特許文献1のようにファーストロットの被処理基板に対してプラズマ処理を実行する前に、シーズニングを行うことが知られている。シーズニングとは、ファーストロットのプラズマ処理を実行する前に、試料台にダミーウェハを設置して、プラズマを生成させる処理を実行することにより、処理室の内表面温度を上昇させるものである。そして、処理室の内表面温度が上昇した後、通常のプラズマ処理を実行する。これによれば、いったん処理室の内表面温度が低下した後のファーストロットの被処理基板を処理する場合でも、エッチングレートが低下することを抑制することができると考えられる。
特開2005−244065号公報
しかしながら、従来技術は、シーズニングプロセスによってファーストロットの被処理基板を処理する前にダミーウェハを設置してプラズマ処理を行うので、単位時間あたりの被処理基板の処理枚数が低下する。このため、従来技術では、ファーストロットの被処理基板のエッチングレートの低下を抑制し、かつ、被処理基板のプラズマ処理のスループットの低下を抑制することは難しい。
本発明の一側面に係るプラズマ処理装置は、プラズマ処理空間を画成する処理容器と、前記処理容器内に設けられ、被処理基板が設置される試料台とを備える。また、プラズマ処理装置は、プラズマ反応に用いられる処理ガスを前記プラズマ処理空間へ導入するガス供給機構と、前記プラズマ処理空間内に導入された処理ガスをプラズマ化するための電磁エネルギーを供給するプラズマ生成機構とを備える。また、プラズマ処理装置は、前記処理容器の外部の基板搬入ステージに設置された被処理基板に対するプラズマ処理開始の指令が発行されて該被処理基板が前記基板搬入ステージから前記処理容器内へ搬送されている間に、前記試料台に被処理基板が設置されていない状態で、前記ガス供給機構によって前記処理ガスを前記処理空間へ供給するとともに前記プラズマ生成機構によって前記電磁エネルギーを供給するウォームアップ処理を実行する制御部を備える。
本発明の種々の側面及び実施形態によれば、ファーストロットの被処理基板のエッチングレートの低下を抑制し、かつ、被処理基板のプラズマ処理のスループットの低下を抑制することができるプラズマ処理装置及びプラズマ処理方法が実現される。
図1は、一実施形態に係るプラズマ処理システムの概略を示す図である。 図2は、一実施形態に係るプラズマ処理装置の概略を示す図である。 図3は、一実施形態に係るスロット板を軸線X方向から見た平面図である。 図4は、一実施形態に係るインジェクタ及び誘電体窓の貫通孔を拡大して示す断面図である。 図5は、一実施形態に係るプラズマ処理方法の概略を示す図である。 図6は、一実施形態に係るプラズマ処理方法のフローチャートである。 図7Aは、一実施形態に係るプラズマ処理方法による効果を説明するための図である。 図7Bは、一実施形態に係るプラズマ処理方法による効果を説明するための図である。 図7Cは、一実施形態に係るプラズマ処理方法による効果を説明するための図である。 図8は、一実施形態に係るプラズマ処理方法における処理ガス種類ごとの誘電体窓の温度の推移を示す図である。 図9は、誘電体窓の温度測定ポイントの一例を示す図である。 図10は、放射温度測定器による温度測定の一例を示す図である。 図11は、放射温度測定器による温度測定の一例を示す図である。 図12は、誘電体窓の温度測定ポイントごとの温度の推移を示す図である。 図13は、光ファイバ温度計による温度測定の一例を示す図である。 図14Aは、ロット間における誘電体窓の温度の推移を示す図である。 図14Bは、ロット間における誘電体窓の温度の推移を示す図である。 図15は、一実施形態に係るロット間ウォームアップ処理のフローチャートである。
以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
図1は、一実施形態に係るプラズマ処理システムの概略を示す図である。図1に示すように、一実施形態に係るプラズマ処理システム1000は、大気搬送室11、搬入出ポート130、ロードロック室150、アライメントモジュール160を備える。また、プラズマ処理システム1000は、真空搬送室13、複数のプラズマ処理装置PM1〜PM4、及び制御部100を備える。
大気搬送室11は、大気圧力下に設けられ、搬入出ポート130に設置された被処理基板Wを例えばプラズマ処理装置PM1へ搬送するための直方体状の搬送容器である。大気搬送室11内には、搬入出ポート130、アライメントモジュール160、及びロードロック室150の相互の間で、被処理基板Wを受け渡す回転伸縮自在な搬送アームを有する搬送機構120が設けられる。搬入出ポート130は、大気搬送室11の長辺の一方の側壁に並べて複数(一実施形態では3つ)設けられる。各搬入出ポート130は、ドア140を介して大気搬送室11の長辺の一方の側壁に接続され、プラズマ処理が施される前の被処理基板Wを収納するFOUP(Front Opening Unified Pod)を有する。
ロードロック室150は、大気搬送室11の長辺の他方の側壁に並べて複数(一実施形態では2つ)設けられる。ロードロック室150は、ゲートバルブG1を介して大気搬送室11の長辺の他方の側壁に接続され、大気圧力下の被処理基板Wを真空圧力下の真空搬送室13へ受け渡すための予備真空室である。アライメントモジュール160は、大気搬送室11の短辺の一方の側壁に設けられ、被処理基板Wの位置合わせを行うためのオリエンタを有する。
真空搬送室13は、平面形状が6角形の被処理基板Wの搬送容器であり、図示しない真空ポンプにより室内が真空雰囲気に保たれている。真空搬送室13の2辺の側壁にはそれぞれ、ゲートバルブG2を介してロードロック室150が接続される。また、真空搬送室13の残りの4辺の側壁にはそれぞれ、ゲートバルブG3を介してプラズマ処理装置PM1〜PM4が接続される。真空搬送室13内には、ロードロック室150、及びプラズマ処理装置PM1〜PM4の相互の間で被処理基板Wを受け渡す、回転伸縮自在な搬送アームを有する搬送機構220が設けられている。
制御部100は、例えば、中央処理装置(CPU)及びメモリといった記憶装置を備えるコンピュータであってもよい。制御部100は、記憶装置に記憶されたプログラム及び処理レシピに従って種々の制御信号を出力する。制御部100は、例えば、被処理基板Wの搬送、各ゲートバルブG1〜G3及びドア140の開閉、各プラズマ処理装置PM1〜PM4における処理、ウォームアップ処理、及びロット間ウォームアップ処理の制御を行う。制御部100から出力される種々の制御信号は、搬送機構120,220、各ゲートバルブG1〜G3、ドア140、及びプラズマ処理装置PM1〜PM4へ入力される。なお、制御部100によって実行されるウォームアップ処理及びロット間ウォームアップ処理の詳細は後述する。
次に、一実施形態に係るプラズマ処理装置PM1〜PM4の構成を説明する。本実施形態では、プラズマ処理装置PM1〜PM4がいずれもプラズマエッチング装置である場合を例に挙げて説明するが、これには限られない。以下の説明では、プラズマ処理装置PM1を代表して説明するが、プラズマ処理装置PM2〜PM4も同様の構成を有するものとする。
図2は、一実施形態に係るプラズマ処理装置の概略を示す図である。図2に示すプラズマ処理装置PM1は、処理容器12、ステージ14、マイクロ波発生器16、アンテナ18、及び誘電体窓20を備えている。
処理容器12は、プラズマ処理を行うための処理空間Sを画成している。処理容器12は、側壁12a、及び、底部12bを有する。側壁12aは、略筒形状に形成されている。以下、側壁12aの筒形状の中心において筒形状の延在する軸線Xを仮想的に設定し、軸線Xの延在方向を軸線X方向という。底部12bは、側壁12aの下端側に設けられ、側壁12aの底側開口を覆う。底部12bには、排気用の排気孔12hが設けられている。側壁12aの上端部は開口している。
側壁12aの上端部開口は、誘電体窓20によって閉じられている。誘電体窓20と側壁12aの上端部との間にはOリング21が介在している。誘電体窓20は、Oリング21を介して側壁12aの上端部に設けられる。Oリング21により、処理容器12の密閉がより確実なものとなる。ステージ14は、処理空間S内に収容され、被処理基板Wが載置される。誘電体窓20は、処理空間Sに対向する対向面20aを有する。
マイクロ波発生器16は、例えば、2.45GHzのマイクロ波を発生する。一実施形態においては、プラズマ処理装置PM1は、チューナ22、導波管24、モード変換器26、及び同軸導波管28を更に備えている。
マイクロ波発生器16は、チューナ22を介して導波管24に接続されている。導波管24は、例えば、矩形導波管である。導波管24は、モード変換器26に接続されており、モード変換器26は、同軸導波管28の上端に接続されている。
同軸導波管28は、軸線Xに沿って延びている。この同軸導波管28は、外側導体28a及び内側導体28bを含んでいる。外側導体28aは、軸線X方向に延びる略円筒形状を有している。内側導体28bは、外側導体28aの内部に設けられている。この内側導体28bは、軸線Xに沿って延びる略円筒形状を有している。
マイクロ波発生器16によって発生されたマイクロ波は、チューナ22及び導波管24を介してモード変換器26に導波される。モード変換器26は、マイクロ波のモードを変換して、モード変換後のマイクロ波を同軸導波管28に供給する。同軸導波管28からのマイクロ波は、アンテナ18に供給される。
アンテナ18は、マイクロ波発生器16によって発生されるマイクロ波に基づいて、プラズマ励起用のマイクロ波を放射する。アンテナ18は、スロット板30、誘電体板32、及び冷却ジャケット34を有する。アンテナ18は、誘電体窓20の対向面20aの反対側の面20b上に設けられ、マイクロ波発生器16によって発生されるマイクロ波に基づいて、誘電体窓20を介してプラズマ励起用のマイクロ波を処理空間Sへ放射する。なお、マイクロ波発生器16及びアンテナ18等は、処理空間S内に導入された処理ガスをプラズマ化するための電磁エネルギーを供給するプラズマ生成機構となる。
スロット板30は、軸線Xに板面が直交する略円板状に形成される。スロット板30は、誘電体窓20の対向面20aの反対側の面20b上に、誘電体窓20と互いに板面を合わせて配置される。スロット板30には、軸線Xを中心にして周方向に複数のスロット30aが配列される。
図3は、一実施形態に係るスロット板を軸線X方向から見た平面図である。一実施形態においては、図3に示すように、スロット板30は、ラジアルラインスロットアンテナを構成するスロット板である。スロット板30は、導電性を有する金属製の円板状に形成される。スロット板30には、複数のスロット30aが形成される。各スロット30aは、互いに交差又は直交する方向に延びる長孔であるスロット30bとスロット30cを含んでいる。複数のスロット30aは、径方向に所定の間隔で配置されており、また、周方向に所定の間隔で配置されている。
言い換えれば、複数のスロット30aは、スロット板30の円周方向に沿って複数配置されて形成された第1のスロット群30a−1と、第1のスロット群30a−1よりスロット板30の径方向の外側において、スロット板30の円周方向に沿って複数配置されて形成された第2のスロット群30a−2とを有する。また、スロット板30の中央部には、後述する導管36が貫通可能な貫通孔30dが形成される。
図2を再び参照する。誘電体板32は、板面が軸線Xに直交する略円板状に形成される。誘電体板32は、スロット板30と冷却ジャケット34の下側表面との間に設けられている。誘電体板32は、例えば石英製であり、略円板形状を有している。また、誘電体窓20は、処理空間Sに面した対向面20aの、円板形状の中央部と外周部との間に環状の凹部20cが形成されている。これにより、誘電体窓20には、円板形状の中央部における肉厚部20dと、円板形状の中央部と外周部との間における肉薄部20eが形成される。
冷却ジャケット34の表面は、導電性を有する。冷却ジャケット34は、内部に冷媒が通流可能な流路34aが形成されており、冷媒の通流により誘電体板32及びスロット板30を冷却する。冷却ジャケット34の上部表面には、外側導体28aの下端が電気的に接続されている。また、内側導体28bの下端は、冷却ジャケット34及び誘電体板32の中央部分に形成された孔を通って、スロット板30に電気的に接続されている。
同軸導波管28からのマイクロ波は、誘電体板32に伝播され、スロット板30のスロット30aから誘電体窓20を介して、処理空間S内に導入される。一実施形態においては、同軸導波管28の内側導体28bの内孔には、導管36が通っている。スロット板30の中央部には、導管36が貫通可能な貫通孔30dが形成されている。導管36は、軸線Xに沿って延在しており、ガス供給系38、ガス供給系39、及び、ガス供給系40に接続される。
ガス供給系38は、導管36に被処理基板Wを処理するための処理ガスを供給する。ガス供給系38によって供給される処理ガスは、フッ素系ガスを含む。処理ガスは、一実施形態では、エッチングガスであり、例えば、CF4ガス、又は、CH2F2ガスである。ガス供給系38は、ガス源38a、弁38b、及び流量制御器38cを含み得る。ガス源38aは、処理ガスのガス源である。弁38bは、ガス源38aからの処理ガスの供給及び供給停止を切り替える。流量制御器38cは、例えば、マスフローコントローラであり、ガス源38aからの処理ガスの流量を調整する。
ガス供給系39は、導管36に被処理基板Wを処理するための処理ガスを供給する。ガス供給系39によって供給される処理ガスは、酸素ガス(O2ガス)を含む。ガス供給系39は、ガス源39a、弁39b、及び流量制御器39cを含み得る。ガス源39aは、酸素ガスのガス源である。弁39bは、ガス源39aからのガスの供給及び供給停止を切り替える。流量制御器39cは、例えば、マスフローコントローラであり、ガス源39aからのガスの流量を調整する。
ガス供給系40は、アルゴンガスを導管36に供給する。一実施形態においては、ガス供給系39からの処理ガスに加えて、ガス供給系40からアルゴンガスが供給される。ガス供給系40は、ガス源40a、弁40b、及び流量制御器40cを含み得る。ガス源40aは、アルゴンガスのガス源である。弁40bは、ガス源40aからのアルゴンガスの供給及び供給停止を切り替える。流量制御器40cは、例えば、マスフローコントローラであり、ガス源40aからのアルゴンガスの流量を調整する。なお、ガス供給系38,39,40は、プラズマ反応に用いられる処理ガスを処理空間Sへ導入するガス供給機構となる。
一実施形態においては、プラズマ処理装置PM1は、更に、インジェクタ41を更に備え得る。インジェクタ41は、導管36からのガスを誘電体窓20に形成された貫通孔20hに供給する。誘電体窓20の貫通孔20hに供給されたガスは、処理空間Sに供給される。以下の説明では、導管36、インジェクタ41、及び、貫通孔20hによって構成されるガス供給経路を、「中央ガス導入部」ということがある。
一実施形態においては、プラズマ処理装置PM1は、ガス供給部42を更に備える。ガス供給部42は、ステージ14と誘電体窓20との間において、軸線Xの周囲からガスを処理空間Sに供給する。以下の説明では、ガス供給部42のことを、「周辺ガス導入部」ということがある。ガス供給部42は、導管42aを含む。導管42aは、誘電体窓20とステージ14との間において軸線Xを中心に環状に延在している。導管42aには、複数のガス供給孔42bが形成されている。複数のガス供給孔42bは、環状に配列され、軸線Xに向けて開口しており、導管42aに供給されたガスを、軸線Xに向けて供給する。このガス供給部42は、導管46を介して、ガス供給系43、ガス供給系44、及びガス供給系45に接続されている。
ガス供給系43は、ガス供給部42に被処理基板Wを処理するための処理ガスを供給する。ガス供給系43から供給される処理ガスは、ガス供給系38の処理ガスと同様に、フッ素系ガスを含む。この処理ガスは、一実施形態では、エッチングガスであり、例えば、CF4ガス、又は、CH2F2ガスである。ガス供給系43は、ガス源43a、弁43b、及び流量制御器43cを含み得る。ガス源43aは、処理ガスのガス源である。弁43bは、ガス源43aからの処理ガスの供給及び供給停止を切り替える。流量制御器43cは、例えば、マスフローコントローラであり、ガス源43aからの処理ガスの流量を調整する。
ガス供給系44は、ガス供給系39の処理ガスと同様に、酸素ガスを含む。ガス供給系44は、酸素ガス(O2ガス)を含む処理ガスをガス供給部42に供給する。ガス供給系44は、ガス源44a、弁44b、及び流量制御器44cを含み得る。ガス源44aは、酸素ガスのガス源である。弁44bは、ガス源44aからのガスの供給及び供給停止を切り替える。流量制御器44cは、例えば、マスフローコントローラであり、ガス源44aからのガスの流量を調整する。
ガス供給系45は、アルゴンガスをガス供給部42に供給する。一実施形態においては、ガス供給系44からの処理ガスに加えて、ガス供給系45からアルゴンガスが供給される。ガス供給系45は、ガス源45a、弁45b、及び流量制御器45cを含み得る。ガス源45aは、アルゴンガスのガス源である。弁45bは、ガス源45aからのアルゴンガスの供給及び供給停止を切り替える。流量制御器45cは、例えば、マスフローコントローラであり、ガス源45aからのアルゴンガスの流量を調整する。なお、ガス供給系43,44,45は、プラズマ反応に用いられる処理ガスを処理空間Sへ導入するガス供給機構となる。
ステージ14は、軸線X方向において誘電体窓20と対面するように設けられている。このステージ14は、誘電体窓20と当該ステージ14との間に処理空間Sを挟むように設けられている。ステージ14上には、被処理基板Wが載置される。一実施形態においては、ステージ14は、台14a、フォーカスリング14b、及び、静電チャック14cを含む。
台14aは、筒状支持部48によって支持されている。筒状支持部48は、絶縁性の材料で構成されており、底部12bから垂直上方に延びている。また、筒状支持部48の外周には、導電性の筒状支持部50が設けられている。筒状支持部50は、筒状支持部48の外周に沿って処理容器12の底部12bから垂直上方に延びている。この筒状支持部50と側壁12aとの間には、環状の排気路51が形成されている。
排気路51の上部には、複数の貫通孔が設けられた環状のバッフル板52が取り付けられている。排気孔12hの下部には排気管54を介して排気装置56が接続されている。排気装置56は、ターボ分子ポンプなどの真空ポンプを有している。排気装置56により、処理容器12内の処理空間Sを所望の真空度まで減圧することができる。
台14aは、高周波電極を兼ねている。台14aには、給電棒62及びマッチングユニット60を介して、RFバイアス用の高周波電源58が電気的に接続されている。高周波電源58は、被処理基板Wに引き込むイオンのエネルギーを制御するのに適した一定の周波数、例えば、13.65MHzの高周波電力を所定のパワーで出力する。マッチングユニット60は、高周波電源58側のインピーダンスと、主に電極、プラズマ、処理容器12といった負荷側のインピーダンスとの間で整合をとるための整合器を収容している。この整合器の中に自己バイアス生成用のブロッキングコンデンサが含まれている。
台14aの上面には、静電チャック14cが設けられている。静電チャック14cは、被処理基板Wを静電吸着力で保持する。静電チャック14cの径方向外側には、被処理基板Wの周囲を環状に囲むフォーカスリング14bが設けられている。静電チャック14cは、電極14d、絶縁膜14e、及び、絶縁膜14fを含んでいる。電極14dは、導電膜によって構成されており、絶縁膜14eと絶縁膜14fの間に設けられている。電極14dには、高圧の直流電源64がスイッチ66および被覆線68を介して電気的に接続されている。静電チャック14cは、直流電源64より印加される直流電圧により発生するクーロン力によって、被処理基板Wを吸着保持することができる。
台14aの内部には、周方向に延びる環状の冷媒室14gが設けられている。この冷媒室14gには、チラーユニット(図示せず)より配管70,72を介して所定の温度の冷媒、例えば、冷却水が循環供給される。冷媒の温度によって静電チャック14cの上面温度が制御される。伝熱ガス、例えば、Heガスがガス供給管74を介して静電チャック14cの上面と被処理基板Wの裏面との間に供給されており、この静電チャック14cの上面温度により被処理基板Wの温度が制御される。なお、制御部10から出力される種々の制御信号は、マイクロ波発生器16、排気装置56、弁38b、流量制御器38c、弁43b、流量制御器43c、弁39b、流量制御器39c、弁40b、流量制御器40c、弁44b、流量制御器44c、弁45b、及び、流量制御器45cへ入力される。
以下、図4を参照して、インジェクタ41及び誘電体窓20の貫通孔20hについてより詳細に説明する。図4は、一実施形態に係るインジェクタ及び誘電体窓の貫通孔を拡大して示す断面図である。
図4に示すように、誘電体窓20は、軸線Xに沿って上方から順に収容空間20s、貫通孔20hを画成している。貫通孔20hは、収容空間20sと処理空間Sとを連通させている。この貫通孔20hは、アンテナ18側の開口と処理空間S側の開口との間の一部における面積が、当該一部とアンテナ18側の開口との間の当該貫通孔20hの他の一部における面積より小さくなるように、構成されている。ここで、「面積」とは、軸線Xに直交する面での貫通孔20hの面積である。一例においては、図4に示すように、貫通孔20hは、軸線Xに沿って下方に向かうにつれてその直径が小さくなるテーパー形状を有している。
収容空間20sには、インジェクタ41、及び、導管36の一端部36bが収容されている。導管36は、導電性を有する金属により構成され得る。導管36は、本体部36a及び一端部36bを含んでいる。本体部36aは、軸線Xに沿って延在する筒形状を有している。一端部36bは、略円板形状を有しており、本体部36aより大きな外径を有している。導管36には、本体部36a及び一端部36bにわたって貫通するガス供給用の内孔が設けられている。この導管36の本体部36aは、内側導体28bの内孔を通っている。
内側導体28bは、上述したように、スロット板30に接続されている。一実施形態においては、スロット板30の内側縁部は、内側導体28bの下端と金属製の部材80とにより、狭持されている。この部材80は、内側導体28bの下端にねじ82によって固定されている。また、スロット板30の下面には、導管36の一端部36bの上面が接触している。このように、内側導体28b、スロット板30、及び導管36は、電気的に接続されている。
インジェクタ41は、収容空間20s内において、導管36の一端部36bの下方に収容されている。インジェクタ41は、導電性を有しており、略円板形状を有している。インジェクタ41は、例えば、アルミニウム又はステンレス製である。
インジェクタ41は、一端部36b側の第1の面41aと貫通孔20h側の第2の面41bとを含んでいる。インジェクタ41には、第1の面41aから第2の面41bまで延在する複数の貫通孔41hが形成されている。一実施形態においては、第2の面41bには、Y2O3の膜が形成されていてもよい。この膜は、Y2O3を第2の面41bにコーティングした後に、コーティングされた膜を電子ビームにより溶融させることによって形成されてもよい。
インジェクタ41は、ねじ84により導管36の一端部36bに対して固定されており、当該一端部36bに電気的に接続している。したがって、インジェクタ41は、内側導体28b、スロット板30、及び導管36と同電位に設定され得る。インジェクタ41は、例えば、接地電位に設定され得る。
一実施形態においては、インジェクタ41の第2の面41bと誘電体窓20との間にはOリング86が設けられる。Oリング86は、複数の貫通孔41hの貫通孔20h側の開口を囲むように環状に延在している。このOリング86により、インジェクタ41と誘電体窓20との間における気密が確保される。更に、インジェクタ41の第1の面41aと導管36の一端部36bとの間にはOリング88が設けられる。Oリング88は、複数の貫通孔41hの一端部36b側の開口を囲むように環状に延在している。これにより、インジェクタ41と導管36の一端部36bとの間の気密が確保される。
このように構成されたプラズマ処理装置PM1では、導管36及びインジェクタ41の貫通孔41hを介して、誘電体窓20の貫通孔20hから処理空間S内に軸線Xに沿ってガスが供給される。また、貫通孔20hよりも下方において、ガス供給部42から軸線Xに向けてガスが供給される。さらに、アンテナ18から誘電体窓20を介して処理空間S及び/又は貫通孔20h内にマイクロ波が導入される。これにより、処理空間S及び/又は貫通孔20hにおいてプラズマが発生する。このように、プラズマ処理装置PM1によれば、磁場を加えずに、プラズマを発生させることができる。
次に、プラズマ処理装置PM1を用いたプラズマ処理方法について説明する。図5は、一実施形態に係るプラズマ処理方法の概略を示す図である。図5は、被処理基板Wの搬送の流れと、ウォームアッププラズマ処理及びプラズマ処理のシーケンスを示すものである。図5の例は、被処理基板Wが搬入出ポート130からプラズマ処理装置PM1へ搬送され、プラズマ処理装置PM1においてプラズマ処理される場合を例に挙げるが、これには限られない。
まずは、被処理基板Wの搬送について説明する。図5に示すように、まず、被処理基板Wは搬入出ポート130に設置される。そして、搬入出ポート130に設置された被処理基板Wに対するプラズマ処理開始の指令が発令されると、制御部100は、被処理基板Wの搬送を開始する。制御部100は、被処理基板Wを搬入出ポート130からドア140及び大気搬送室11を介してアライメントモジュール160へ搬送する。アライメントモジュール160は、被処理基板Wの位置合わせ処理を行う。
続いて、制御部100は、位置合わせ処理が行われた被処理基板Wをアライメントモジュール160からゲートバルブG1を介してロードロック室150へ搬送する。ロードロック室150は、被処理基板Wが搬送された後、真空圧力に減圧される。続いて、制御部100は、真空圧力下のロードロック室150から被処理基板Wを、ゲートバルブG2、真空搬送室13、及びゲートバルブG3を介してプラズマ処理装置PM1へ搬送する。プラズマ処理装置PM1は、搬送された被処理基板Wに対して、例えばエッチング処理等のプラズマ処理を実行する。
次に、制御部100のウォームアップ処理について説明する。図5に示すように、制御部100は、搬入出ポート130に設置された被処理基板Wに対するプラズマ処理開始の指令に応じて被処理基板Wの搬送を開始したら、ウォームアップ処理を実行する。ウォームアップ処理とは、被処理基板Wが搬送されている間に、ステージ14に被処理基板Wが設置されていない状態(ウェーハレス状態)で、ガス供給機構によって処理ガスをプラズマ処理空間Sへ供給するとともに、プラズマ生成機構によって電磁エネルギーを供給する処理(ウォームアッププラズマ処理)である。
制御部100は、被処理基板Wがプラズマ処理装置PM1の手前のゲートバルブG3に到着して、プラズマ処理装置PM1に搬送される前に、ウォームアップ処理を終了する。被処理基板Wがプラズマ処理装置PM1に搬送されてステージ14に設置された後、プラズマ処理装置PM1は、例えばエッチング処理などのために、ガス供給機構によって処理ガスをプラズマ処理空間Sへ供給するとともにプラズマ生成機構によって電磁エネルギーを供給する処理(プラズマ処理)を実行する。
なお、上記の一実施形態では、被処理基板WがゲートバルブG3に到着した後にウォームアップ処理を終了する例を示したが、これには限られない。図6は、一実施形態に係るプラズマ処理方法のフローチャートである。
図6に示すように、制御部100は、搬入出ポート130に設置された被処理基板Wに対するプラズマ処理開始の指令が発令されたら(S101)、搬入出ポート130に設置された被処理基板Wの搬送を開始する(S102)。続いて、制御部100は、被処理基板Wの搬送が開始されたらウォームアップ処理を開始する(S103)。続いて、制御部100は、誘電体窓20の例えば対向面20aの中央部の温度があらかじめ設定された温度以上になるか、又はあらかじめ設定された時間が経過したか否かを判定する(S104)。
制御部100は、誘電体窓20の例えば対向面20aの中央部の温度があらかじめ設定された温度以上になるか、又はあらかじめ設定された時間が経過するまで(S104,No)、ウォームアップ処理を継続する。一方、制御部100は、誘電体窓20の例えば対向面20aの中央部の温度があらかじめ設定された温度以上になるか、又はあらかじめ設定された時間が経過したら(S104,Yes)、ウォームアップ処理を終了する(S105)。
続いて、制御部100は、被処理基板Wがプラズマ処理装置PM1(ステージ14)へ到着したら(S106)、プラズマエッチング等のプラズマ処理を開始する(S107)。
一実施形態のプラズマ処理装置PM1及びプラズマ処理方法によれば、被処理基板Wに対するプラズマ処理開始の指令に応じて被処理基板Wがプラズマ処理装置PM1まで搬送される間にウォームアップ処理が実行される。したがって、被処理基板Wがファーストロットであったとしても、このファーストロットの被処理基板Wに対するプラズマ処理を実行する際にはプラズマ処理装置PM1の例えば誘電体窓20の温度が所望の温度まで加熱されている。これに加えて、ウォームアップ処理は、被処理基板Wが搬送されている間に実行されるので、スループットに影響を及ぼし難い。その結果、一実施形態のプラズマ処理装置PM1及びプラズマ処理方法によれば、ファーストロットの被処理基板Wのエッチングレートの低下を抑制し、かつ、被処理基板Wのプラズマ処理のスループットの低下を抑制することができる。
次に、一実施形態に係るプラズマ処理方法による効果について説明する。図7A,図7B,図7Cは、一実施形態に係るプラズマ処理方法による効果を説明するための図である。図7A,図7B,図7Cは、一実施形態に係るプラズマ処理方法の効果を示す図である。
図7A,図7B,図7Cにおいて、横軸は、プラズマ処理装置PM1へ投入した被処理基板Wの1ロット内の処理順番を示した番号(スロット番号)を示し、縦軸は、エッチングレート(nm/分)、誘電体窓20の時間平均温度(℃)を示している。また、図7Aは、一実施形態に係るプラズマ処理方法を用いずに、被処理基板Wに対してプラズマエッチング処理を実行した場合の、誘電体窓20の温度とエッチングレートとの関係を示すグラフである。一方、図7B,図7Cは、一実施形態に係るプラズマ処理方法を用いて被処理基板Wに対してプラズマエッチング処理を実行した場合の、誘電体窓20の温度とエッチングレートとの関係を示すグラフである。
まず、図7Aに示すように、一実施形態に係るプラズマ処理方法を用いなかった場合、グラフ174に示すように、スロット番号「1」の被処理基板Wを処理する際には、他のスロット番号の被処理基板Wを処理する場合と比べて、誘電体窓20の温度が低くなった。また、グラフ172に示すように、スロット番号「1」の被処理基板Wに対するエッチングレートは、他のスロット番号の被処理基板Wのエッチングレートと比べて、低くなった。これは、First wafer effectと呼ばれ、スロット番号「1」の被処理基板Wを処理する際に誘電体窓20(及び処理空間S)の温度が低いことに起因して、スロット番号「1」の被処理基板Wのエッチングレートが低くなる現象である。
これに対して、一実施形態に係るプラズマ処理方法を用いた場合、被処理基板Wに対するプラズマ処理開始の指令に応じて被処理基板Wがプラズマ処理装置PM1まで搬送される間にウォームアップ処理が実行される。その結果、図7Bのグラフ178に示すように、スロット番号「1」の被処理基板Wを処理する際には、他のスロット番号の被処理基板Wを処理する場合と比べて、誘電体窓20の温度が同等又は多少高くなった。このように、一実施形態に係るプラズマ処理方法によれば、スロット番号「1」の被処理基板Wを処理する際の誘電体窓20(及び処理空間S)の温度を高く保つことができる。その結果、グラフ176に示すように、スロット番号「1」の被処理基板Wに対するエッチングレートを、他のスロット番号の被処理基板Wのエッチングレートと比べて、同等に保つことができた。
また、図7Cは、図7Bの場合よりも、ウォームアップ処理を長時間行った場合の、誘電体窓20の温度とエッチングレートとの関係を示すグラフである。図7Cのグラフ182に示すように、スロット番号「1」の被処理基板Wを処理する際には、他のスロット番号の被処理基板Wを処理する場合と比べて、誘電体窓20の温度が大幅に高くなった。このように、一実施形態に係るプラズマ処理方法によれば、スロット番号「1」の被処理基板Wを処理する際の誘電体窓20(及び処理空間S)の温度を高く保つことができる。その結果、グラフ180に示すように、スロット番号「1」の被処理基板Wに対するエッチングレートを、他のスロット番号の被処理基板Wのエッチングレートと比べて、同等に保つことができた。
ところで、制御部100は、ウォームアップ処理の際に、ガス供給機構によって酸素ガスを含む処理ガスを処理空間Sへ供給することができる。また、制御部100は、ウォームアップ処理の際に、まずガス供給機構によってフッ素系ガスを含む第1の処理ガスを処理空間Sへ供給した後、酸素ガスを含む第2の処理ガスを処理空間Sへ供給することもできる。この点について、以下説明する。
図8は、一実施形態に係るプラズマ処理方法における処理ガス種類ごとの誘電体窓の温度の推移を示す図である。図8において横軸は、ウォームアップ処理を開始してからの経過時間(sec)を示し、縦軸は誘電体窓20の温度(℃)を示している。
また、図8において、グラフ190は、ウォームアップ処理における処理ガスとして、フッ素系ガスであるSF6:150sccmとO2:50sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。また、グラフ191は、ウォームアップ処理における処理ガスとして、SF6:100sccmとO2:100sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。グラフ192は、ウォームアップ処理における処理ガスとして、SF6:50sccmとO2:150sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。
また、グラフ193は、ウォームアップ処理における処理ガスとして、O2:200sccmを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。グラフ194は、ウォームアップ処理における処理ガスとして、O2:350sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。
また、グラフ195は、ウォームアップ処理における処理ガスとして、Ar:200sccmを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。グラフ196は、ウォームアップ処理における処理ガスとして、Ar:150sccmとO2:50sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。
また、誘電体窓20の温度が150(℃)に達するまでの時間は、SF6:150sccm及びO2:50sccmの処理ガス(グラフ190)の場合、147(sec)であった。また、誘電体窓20の温度が150(℃)に達するまでの時間は、SF6:100sccm及びO2:100sccmの処理ガス(グラフ191)の場合、246(sec)であった。
また、誘電体窓20の温度が150(℃)に達するまでの時間は、O2:200sccmの処理ガス(グラフ193)の場合、264(sec)であった。また、誘電体窓20の温度が150(℃)に達するまでの時間は、SF6:50sccm及びO2:150sccmの処理ガス(グラフ192)の場合、283(sec)であった。
また、誘電体窓20の温度が150(℃)に達するまでの時間は、O2:350sccmの処理ガス(グラフ194)の場合、299(sec)であった。また、Ar:200sccmの処理ガス(グラフ195)の場合、Ar:150sccm及びO2:50sccmの処理ガス(グラフ196)の場合、いずれも誘電体窓20の温度は150(℃)に達しなかった。
これらの結果、及び図8からわかるように、処理ガスとしてArよりもO2を用いたほうが、ウォームアップ処理による誘電体窓20の温度上昇は速くなる。そこで、一実施形態では、ウォームアップ処理用の処理ガスとして、酸素ガスを含む処理ガスを処理空間Sへ供給することができる。
また、これらの結果、及び図8からわかるように、SF6ガスの濃度が高いほど、ウォームアップ処理による誘電体窓20の温度上昇は速くなる。その一方で、ウォームアップ処理時にSF6ガスを用いてプラズマを発生させた場合、その後のエッチング等のプラズマ処理前に処理容器12内をクリーニングすることが好ましい。そこで、一実施形態では、ウォームアップ処理用の処理ガスとして、まずフッ素系ガスを含む第1の処理ガスを処理空間Sへ供給した後、酸素ガスを含む第2の処理ガスを処理空間Sへ供給することができる。これによれば、ウォームアップ処理において誘電体窓20の温度を迅速に上昇させるとともに、プラズマ処理前に処理容器12内をクリーニングすることができる。
次に、誘電体窓20の温度計測について説明する。図9は、誘電体窓の温度測定ポイントの一例を示す図である。図10,図11は、放射温度測定器による温度測定の一例を示す図である。
図9に示すように、誘電体窓20の温度測定ポイントは、誘電体窓20に形成された凹部20cによって設けられた肉薄部20eの内表面のポイント202、及び誘電体窓20の中央部の肉厚部20dの内表面のポイント204が考えられる。
ポイント202の温度を計測する場合、図10に示すように、放射温度計210を側壁12aの外部に設置する。そして、放射温度計210は、側壁12aに設けられた測定窓212を介して、測定ポイント202から放出される赤外線等の放射エネルギーを計測し、測定ポイント202の表面温度を測定する。
また、ポイント204の温度を計測する場合、図11に示すように、放射温度計210の設置角度を調整する。そして、放射温度計210は、側壁12aに設けられた測定窓212を介して、測定ポイント204から放出される赤外線等の放射エネルギーを計測し、測定ポイント204の表面温度を測定する。
次に、ポイント202とポイント204の温度を計測した場合の、誘電体窓20の温度の推移を説明する。図12は、誘電体窓の温度測定ポイントごとの温度の推移を示す図である。図12において横軸は、ウォームアップ処理を開始してからの経過時間(sec)を示し、縦軸は誘電体窓20の温度(℃)を示している。
また、図12においてグラフ212は、測定ポイント202の温度推移を示しており、グラフ214は、測定ポイント204の温度推移を示すものである。図12に示すように、ウォームアップ処理を開始後、測定ポイント202、つまり誘電体窓20の肉薄部20eの温度が先に急激に上昇し、測定ポイント204、つまり誘電体窓20の肉厚部20dの温度がなだらかに上昇する。
ここで、例えばファーストロットなど初期のロットの被処理基板Wのエッチングレートが低くなる現象(First wafer effect)は、仮に肉薄部20eが所定の温度(例えば150(℃))に到達していても、誘電体窓20の中央部の肉厚部20dが所定の温度(例えば150(℃))に到達していない場合には発生し得る。言い換えると、肉薄部20eに対応する測定ポイント202の温度を計測し、計測された温度が所定の温度に到達したことによってウォームアップ処理を終了した場合、誘電体窓20の肉厚部20dが十分に加熱されていないことに起因して、First wafer effectが発生するおそれがある。そこで、一実施形態では、放射温度計210を用いて誘電体窓20の肉厚部20dの温度を計測し、制御部100は、放射温度計210によって測定された誘電体窓20の肉厚部20dの温度があらかじめ設定された温度(例えば150(℃))以上になるまで、ウォームアップ処理を実行することが好ましい。
なお、誘電体窓20の温度の測定方法は、放射温度計210に限られない。例えば、光ファイバ温度計を用いることができる。図13は、光ファイバ温度計による温度測定の一例を示す図である。
図13に示すように、光ファイバ温度計220は、処理容器12の外部から誘電体窓20の側部の温度を測定するように設置されている。ここで、上述のように、誘電体窓20は、対向面20aの中央部と側部では温度上昇の推移が異なり、First wafer effectを抑制するためには中央部の温度を測定しながらウォームアップ処理を行うのが好ましい。
しかしながら、例えば事前の実験又はシミュレーションにより、誘電体窓20の中央部と側部との温度の相関を計測することにより、簡易な構成で誘電体窓20の温度計測を行うことができる。温度の相関とは、例えば、誘電体窓20の側部の温度がある温度(例えば250(℃))まで上昇すれば、誘電体窓20の対向面20aの中央部の温度が所望の温度(例えば150(℃))まで上昇したと見なせるといった温度の関係である。
そこで、制御部100は、光ファイバ温度計220によって測定された誘電体窓20の側部の温度が、光ファイバ温度計220によって測定された誘電体窓20の側部の温度と誘電体窓20の対向面20aの中央部の温度との相関関係に基づいてあらかじめ設定された温度以上になるまで、ウォームアップ処理を実行することが好ましい。
これによれば、誘電体窓20の対向面20aの中央部の温度を放射温度計210によって計測することなく、例えば光ファイバ温度計220で大気側から誘電体窓20の側部の温度を計測することができるので、温度計測に関する構成を簡易化することができる。
次に、ロット間ウォームアップ処理について説明する。図14A,図14Bは、ロット間における誘電体窓の温度の推移を示す図である。図14A,図14Bにおいて、横軸は時間経過(sec)を示し、縦軸は、誘電体窓20の温度(℃)及びマイクロ波のパワー(W)を示している。また、図14Aは、ロットとロットの間の時間が比較的長い場合の誘電体窓の温度の推移を示し、図14Bは、ロットとロットの間の時間が比較的短い場合の誘電体窓の温度の推移を示すものである。また、図14Aにおいてグラフ230はマイクロ波のパワーの推移を示し、グラフ232は誘電体窓20の温度の推移を示すものである。図14Bにおいてグラフ240はマイクロ波のパワーの推移を示し、グラフ242は誘電体窓20の温度の推移を示すものである。
図14Aのグラフ230に示すように、最初のロットでプラズマ処理を実行した後、ロット交換時間234が比較的長い場合、誘電体窓20の温度は大きく低下する。この場合、最初のロット(ファーストロット)における被処理基板Wのエッチングレートの平均が15.8nm/minであったのに対して、ロット交換後のロット(セカンドロット)では、被処理基板Wのエッチングレートの平均が14.6nm/minと大きく低下した。
一方、図14Bのグラフ240に示すように、最初のロットでプラズマ処理を実行した後、ロット交換時間244が比較的短い場合、誘電体窓20の温度の低下は比較的小さい。この場合、最初のロット(ファーストロット)における被処理基板Wのエッチングレートの平均が15.4nm/minであったのに対して、ロット交換後のロット(セカンドロット)では、被処理基板Wのエッチングレートの平均が15.3nm/minとなった。このように、ロット交換の時間が短く、誘電体窓20の温度低下が比較的小さい場合には、被処理基板Wのエッチングレートの低下は比較的小さい。
言い換えれば、ロット交換の時間が長い場合には、誘電体窓20の温度低下が比較的大きくなり、ロット交換後の被処理基板Wのエッチングレートが低下するので、ロットとロットの間のウォームアップ処理(ロット間ウォームアップ処理)が有効である。なお、ロット間ウォームアップ処理とは、第1のロットと第2のロットとの間に、ステージ14に被処理基板Wが設置されていない状態(ウェーハレス状態)で、ガス供給機構によって処理ガスを処理空間Sへ供給するとともに、プラズマ生成機構によって電磁エネルギーを供給する処理である。
図15は、一実施形態に係るロット間ウォームアップ処理のフローチャートである。図14に示すように、制御部100は、第1のロットに対するプラズマ処理が終了したら(S201)、第2のロットに対するプラズマ処理実行前にあらかじめ設定された時間が経過したか否かを判定する(S202)。
制御部100は、第2のロットに対するプラズマ処理実行前にあらかじめ設定された時間が経過していないと判定したら(S202,No)、第2のロットに対するプラズマ処理実行前に誘電体窓20の温度があらかじめ設定された温度未満になったか否かを判定する(S203)。
制御部100は、第2のロットに対するプラズマ処理実行前にあらかじめ設定された時間が経過したと判定するか(S202,Yes)、又は第2のロットに対するプラズマ処理実行前に誘電体窓20の温度があらかじめ設定された温度未満になったと判定したら(S203,Yes)、ロット間ウォームアップ処理を開始する(S204)。続いて、制御部100は、ロット間ウォームアップ処理の後、第2のロットに対するプラズマ処理を実行する(S205)。
このように、第1のロットと第2のロットの間に、あらかじめ設定された時間が経過するか、又は誘電体窓20の温度があらかじめ設定された温度未満になった場合には、ロット間ウォームアップ処理を実行する。したがって、第1のロットと第2のロットの間のロット交換時間に処理容器12の内表面(誘電体窓20)の温度が低下するのを抑制することができるので、ロット交換後の被処理基板Wのエッチングレートが低下するのを抑制することができる。
以上、本実施形態のプラズマ処理装置PM1によれば、被処理基板Wに対するプラズマ処理開始の指令に応じて被処理基板Wがプラズマ処理装置PM1まで搬送される間にウォームアップ処理が実行される。したがって、被処理基板Wがファーストロットであったとしても、このファーストロットの被処理基板Wに対するプラズマ処理を実行する際にはプラズマ処理装置PM1の例えば誘電体窓20の温度が所望の温度まで加熱されている。これに加えて、ウォームアップ処理は、被処理基板Wが搬送されている間に実行されるので、スループットに影響を及ぼし難い。その結果、一実施形態のプラズマ処理装置PM1及びプラズマ処理方法によれば、ファーストロットの被処理基板Wのエッチングレートの低下を抑制し、かつ、被処理基板Wのプラズマ処理のスループットの低下を抑制することができる。
また、本実施形態のプラズマ処理装置PM1によれば、ウォームアップ処理の際に、酸素ガスを含む処理ガスを用いるため、迅速に誘電体窓20の温度を上昇させることができる。また、本実施形態のプラズマ処理装置PM1は、ウォームアップ処理の際に、フッ素系ガスを含む第1の処理ガスをプラズマ処理空間へ供給した後、酸素ガスを含む第2の処理ガスをプラズマ処理空間へ供給する。したがって、本実施形態のプラズマ処理装置PM1によれば、第1の処理ガスによって迅速に誘電体窓20の温度を上昇させることができるとともに、第2の処理ガスによって処理容器12をクリーニングすることができる。
また、本実施形態のプラズマ処理装置PM1は、ロット交換の際に、あらかじめ設定された時間が経過するか、又は誘電体窓20の温度があらかじめ設定された温度未満になった場合には、ロット間ウォームアップ処理を実行する。このロット間ウォームアップ処理によってロット交換の際に誘電体窓20の温度が低下するのを抑制することができるので、ロット交換後の被処理基板Wのエッチングレートが低下するのを抑制することができる。
12 処理容器
14 ステージ
16 マイクロ波発生器
18 アンテナ
20a 対向面
20d 肉厚部
20e 肉薄部
20 誘電体窓
30 スロット板
38,39,40,43,44,45 ガス供給系
100 制御部
120 搬送機構
130 搬入出ポート
150 ロードロック室
160 アライメントモジュール
210 放射温度計
220 光ファイバ温度計
PM1 プラズマ処理装置

Claims (8)

  1. プラズマ処理空間を画成する処理容器と、
    前記処理容器内に設けられ、被処理基板が設置される試料台と、
    プラズマ反応に用いられる処理ガスを前記プラズマ処理空間へ導入するガス供給機構と、
    前記プラズマ処理空間内に導入された処理ガスをプラズマ化するための電磁エネルギーを供給するプラズマ生成機構と、
    前記処理容器の外部の基板搬入ステージに設置された被処理基板に対するプラズマ処理開始の指令が発行されて該被処理基板が前記基板搬入ステージから前記処理容器内へ搬送されている間に、前記試料台に被処理基板が設置されていない状態で、前記ガス供給機構によって前記処理ガスを前記処理空間へ供給するとともに前記プラズマ生成機構によって前記電磁エネルギーを供給するウォームアップ処理を実行する制御部と、
    を備えたことを特徴とするプラズマ処理装置。
  2. 前記プラズマ生成機構は、
    マイクロ波発生器と、
    前記処理空間に対向する対向面を有する誘電体と、
    前記誘電体の前記対向面の反対側の面上に設けられ、前記マイクロ波発生器によって発生されるマイクロ波に基づいて、前記誘電体を介してプラズマ励起用のマイクロ波を前記処理空間へ放射するアンテナとを有し、
    前記制御部は、前記誘電体の前記対向面の中央部の温度があらかじめ設定された温度以上になるまで、前記ウォームアップ処理を実行する
    ことを特徴とする請求項1に記載のプラズマ処理装置。
  3. 前記処理容器の外部から前記誘電体の前記対向面の中央部の温度を測定する放射温度計を備え、
    前記制御部は、前記放射温度計によって測定された前記誘電体の前記対向面の中央部の温度があらかじめ設定された温度以上になるまで、前記ウォームアップ処理を実行する
    ことを特徴とする請求項2に記載のプラズマ処理装置。
  4. 前記処理容器の外部から前記誘電体の側部の温度を測定する光ファイバ温度計を備え、
    前記制御部は、前記光ファイバ温度計によって測定された前記誘電体の側部の温度が、前記光ファイバ温度計によって測定された前記誘電体の側部の温度と前記誘電体の前記対向面の中央部の温度との相関関係に基づいてあらかじめ設定された温度以上になるまで、前記ウォームアップ処理を実行する
    ことを特徴とする請求項2に記載のプラズマ処理装置。
  5. 前記処理ガスは酸素ガスを含み、
    前記制御部は、前記ガス供給機構によって前記酸素ガスを含む処理ガスを前記処理空間へ供給する
    ことを特徴とする請求項1〜4のいずれか1項に記載のプラズマ処理装置。
  6. 前記処理ガスは、フッ素系ガスを含む第1の処理ガスと、酸素ガスを含む第2の処理ガスとを含み、
    前記制御部は、前記ガス供給機構によって前記第1の処理ガスを前記処理空間へ供給した後、前記ガス供給機構によって前記第2の処理ガスを前記処理空間へ供給する
    ことを特徴とする請求項1〜4のいずれか1項に記載のプラズマ処理装置。
  7. 前記制御部は、第1のロットにおいて被処理基板に対してプラズマ処理を実行した後、次ロットにおいて被処理基板に対してプラズマ処理を実行する前に、あらかじめ設定された時間が経過するか、又は前記プラズマ処理装置のあらかじめ設定された箇所の温度があらかじめ設定された温度未満になったら、前記試料台に被処理基板が設置されていない状態で前記ガス供給機構によって前記処理ガスを前記処理空間へ供給するとともに前記プラズマ生成機構によって前記電磁エネルギーを供給するロット間ウォームアップ処理を実行する
    ことを特徴とする請求項1〜4のいずれか1項に記載のプラズマ処理装置。
  8. プラズマ処理空間を画成する処理容器と、
    前記処理容器内に設けられ、被処理基板が設置される試料台と、
    プラズマ反応に用いられる処理ガスを前記プラズマ処理空間へ導入するガス供給機構と、
    前記プラズマ処理空間内に導入された処理ガスをプラズマ化するための電磁エネルギーを供給するプラズマ生成機構と、
    を備えたプラズマ処理装置を用いたプラズマ処理方法であって、
    前記処理容器の外部の基板搬入ステージに設置された被処理基板に対するプラズマ処理開始の指令が発行されて該被処理基板が前記基板搬入ステージから前記処理容器内へ搬送されている間に、前記試料台に被処理基板が設置されていない状態で、前記ガス供給機構によって前記処理ガスを前記処理空間へ供給するとともに前記プラズマ生成機構によって前記電磁エネルギーを供給する
    ことを特徴とするプラズマ処理方法。
JP2014516813A 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法 Expired - Fee Related JP6014661B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2014516813A JP6014661B2 (ja) 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2012119619 2012-05-25
JP2012119619 2012-05-25
PCT/JP2013/064114 WO2013176144A1 (ja) 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法
JP2014516813A JP6014661B2 (ja) 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法

Publications (2)

Publication Number Publication Date
JPWO2013176144A1 true JPWO2013176144A1 (ja) 2016-01-14
JP6014661B2 JP6014661B2 (ja) 2016-10-25

Family

ID=49623832

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014516813A Expired - Fee Related JP6014661B2 (ja) 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法

Country Status (5)

Country Link
US (1) US9984906B2 (ja)
JP (1) JP6014661B2 (ja)
KR (1) KR102102003B1 (ja)
TW (1) TWI574587B (ja)
WO (1) WO2013176144A1 (ja)

Families Citing this family (256)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10217615B2 (en) * 2013-12-16 2019-02-26 Lam Research Corporation Plasma processing apparatus and component thereof including an optical fiber for determining a temperature thereof
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6499835B2 (ja) * 2014-07-24 2019-04-10 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10184183B2 (en) * 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP2018095901A (ja) * 2016-12-09 2018-06-21 東京エレクトロン株式会社 基板処理装置
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018116836A (ja) * 2017-01-18 2018-07-26 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
SG11202002510YA (en) 2017-09-20 2020-04-29 Kokusai Electric Corp Substrate processing apparatus, method of manufacturing semiconductor device, and program
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7183090B2 (ja) * 2019-03-20 2022-12-05 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
JP7267843B2 (ja) * 2019-06-07 2023-05-02 株式会社アルバック プラズマ処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
JP7013618B2 (ja) * 2020-02-03 2022-01-31 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230163001A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Method to eliminate first wafer effects on semiconductor process chambers
KR102619965B1 (ko) * 2022-05-16 2024-01-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172003A (ja) * 1995-09-05 1997-06-30 Applied Materials Inc プラズマ処理における温度制御の方法及び装置
JP2008251967A (ja) * 2007-03-30 2008-10-16 Tokyo Electron Ltd 基板処理装置及びその処理室内の状態安定化方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664942A (en) * 1970-12-31 1972-05-23 Ibm End point detection method and apparatus for sputter etching
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JP2943691B2 (ja) * 1996-04-25 1999-08-30 日本電気株式会社 プラズマ処理装置
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JP4053173B2 (ja) * 1999-03-29 2008-02-27 東京エレクトロン株式会社 マイクロ波プラズマ処理装置及び方法
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
KR20040108697A (ko) * 2002-03-29 2004-12-24 동경 엘렉트론 주식회사 전자 디바이스 재료의 제조 방법
US7569497B2 (en) * 2002-07-30 2009-08-04 Tokyo Electron Limited Method and apparatus for forming insulating layer
JP4136630B2 (ja) * 2002-12-03 2008-08-20 キヤノン株式会社 プラズマ処理装置
JP4563729B2 (ja) * 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
JP4361811B2 (ja) * 2004-01-09 2009-11-11 東京エレクトロン株式会社 半導体製造装置
JP4490704B2 (ja) 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
JP5545795B2 (ja) * 2008-02-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体製造装置管理方法
JP5444218B2 (ja) * 2008-07-04 2014-03-19 東京エレクトロン株式会社 プラズマ処理装置および誘電体窓の温度調節機構
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
JP2010219198A (ja) 2009-03-16 2010-09-30 Hitachi High-Technologies Corp プラズマ処理装置
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
JP5845754B2 (ja) * 2010-09-15 2016-01-20 東京エレクトロン株式会社 プラズマエッチング処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172003A (ja) * 1995-09-05 1997-06-30 Applied Materials Inc プラズマ処理における温度制御の方法及び装置
JP2008251967A (ja) * 2007-03-30 2008-10-16 Tokyo Electron Ltd 基板処理装置及びその処理室内の状態安定化方法

Also Published As

Publication number Publication date
JP6014661B2 (ja) 2016-10-25
KR20150016491A (ko) 2015-02-12
WO2013176144A1 (ja) 2013-11-28
US20150064923A1 (en) 2015-03-05
KR102102003B1 (ko) 2020-04-20
TWI574587B (zh) 2017-03-11
TW201410081A (zh) 2014-03-01
US9984906B2 (en) 2018-05-29

Similar Documents

Publication Publication Date Title
JP6014661B2 (ja) プラズマ処理装置、及びプラズマ処理方法
US11328904B2 (en) Substrate processing apparatus
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
CN109509694B (zh) 等离子体处理装置和等离子体处理方法
US20070068625A1 (en) Method and system for controlling radical distribution
KR102487930B1 (ko) 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
JP2019176030A (ja) プラズマ処理装置
US20170236690A1 (en) Plasma processing apparatus
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
KR20180054495A (ko) 이중 주파수 표면파 플라즈마 소스
KR20180099504A (ko) 반도체 제조 방법 및 플라즈마 처리 장치
US20190355598A1 (en) Processing apparatus, member, and temperature control method
JP2019176032A (ja) プラズマ処理装置
JP7246451B2 (ja) プラズマ処理装置及びプラズマ処理方法
CN115497801A (zh) 基板处理装置及基板处理方法
US10593522B2 (en) Electrostatic chuck, placing table and plasma processing apparatus
KR20150117227A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
TW202205348A (zh) 邊緣環及電漿處理裝置
JP2006128529A (ja) 成膜装置、成膜方法及び記憶媒体

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160419

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160906

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160926

R150 Certificate of patent or registration of utility model

Ref document number: 6014661

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees