WO2013176144A1 - プラズマ処理装置、及びプラズマ処理方法 - Google Patents

プラズマ処理装置、及びプラズマ処理方法 Download PDF

Info

Publication number
WO2013176144A1
WO2013176144A1 PCT/JP2013/064114 JP2013064114W WO2013176144A1 WO 2013176144 A1 WO2013176144 A1 WO 2013176144A1 JP 2013064114 W JP2013064114 W JP 2013064114W WO 2013176144 A1 WO2013176144 A1 WO 2013176144A1
Authority
WO
WIPO (PCT)
Prior art keywords
processing
plasma
gas
temperature
substrate
Prior art date
Application number
PCT/JP2013/064114
Other languages
English (en)
French (fr)
Inventor
松本 直樹
祐吾 富田
Original Assignee
東京エレクトロン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京エレクトロン株式会社 filed Critical 東京エレクトロン株式会社
Priority to KR1020147029747A priority Critical patent/KR102102003B1/ko
Priority to US14/396,606 priority patent/US9984906B2/en
Priority to JP2014516813A priority patent/JP6014661B2/ja
Publication of WO2013176144A1 publication Critical patent/WO2013176144A1/ja

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32238Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32743Means for moving the material to be treated for introducing the material into processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Definitions

  • Various aspects and embodiments of the present invention relate to a plasma processing apparatus and a plasma processing method.
  • plasma processing apparatuses that perform plasma processing for the purpose of thin film deposition or etching are widely used.
  • the plasma processing apparatus include a plasma CVD (Chemical Vapor Deposition) apparatus that performs a thin film deposition process and a plasma etching apparatus that performs an etching process.
  • CVD Chemical Vapor Deposition
  • plasma etching apparatus that performs an etching process.
  • the plasma processing apparatus includes a processing chamber for processing a substrate to be processed, a sample stage for installing the substrate to be processed in the processing chamber, a gas supply system for introducing a processing gas necessary for plasma reaction into the processing chamber, and the like.
  • the plasma processing apparatus is installed on the sample stage by applying a plasma generation mechanism that supplies electromagnetic energy such as microwaves and RF waves to the sample stage and a bias voltage in order to turn the processing gas in the processing chamber into plasma. And a bias voltage application mechanism for accelerating ions in the plasma toward the substrate to be processed.
  • a plasma etching apparatus will be described as an example.
  • the etching rate of the first substrate to be processed in the first lot of the plasma etching process is changed to the following rate.
  • the etching rate may be lower than that of the substrate to be processed. This is considered to be due to the fact that the temperature of the inner surface of the processing chamber is lowered after a certain period of time after the execution of the previous plasma etching process, and the plasma etching process is executed in that state.
  • seasoning is performed before plasma processing is performed on a first lot substrate to be processed as disclosed in Patent Document 1.
  • Seasoning is to raise the inner surface temperature of the processing chamber by performing a process of generating a plasma by setting a dummy wafer on the sample stage before executing the plasma processing of the first lot. Then, after the inner surface temperature of the processing chamber rises, normal plasma processing is performed. According to this, even when the first lot substrate to be processed after the inner surface temperature of the processing chamber is once decreased, it is considered that the etching rate can be prevented from decreasing.
  • a plasma processing apparatus includes a processing container that defines a plasma processing space, and a sample stage that is provided in the processing container and on which a substrate to be processed is installed.
  • the plasma processing apparatus includes a gas supply mechanism that introduces a processing gas used for a plasma reaction into the plasma processing space, and a plasma that supplies electromagnetic energy for converting the processing gas introduced into the plasma processing space into plasma. And a generation mechanism.
  • the plasma processing apparatus is configured to issue a plasma processing start command to a substrate to be processed installed on a substrate carry-in stage outside the processing vessel, and to transfer the substrate to be treated from the substrate carry-in stage into the processing vessel. While the substrate to be processed is not installed on the sample stage, the process gas is supplied to the processing space by the gas supply mechanism and the electromagnetic energy is supplied by the plasma generation mechanism.
  • the control part which performs is provided.
  • a plasma processing apparatus capable of suppressing a decrease in the etching rate of a substrate to be processed in the first lot and suppressing a decrease in the throughput of plasma processing of the substrate to be processed; A plasma processing method is realized.
  • FIG. 1 is a diagram schematically illustrating a plasma processing system according to an embodiment.
  • FIG. 2 is a diagram showing an outline of a plasma processing apparatus according to an embodiment.
  • FIG. 3 is a plan view of the slot plate according to the embodiment as viewed from the direction of the axis X.
  • FIG. 4 is an enlarged cross-sectional view of the injector and the through hole of the dielectric window according to the embodiment.
  • FIG. 5 is a diagram illustrating an outline of a plasma processing method according to an embodiment.
  • FIG. 6 is a flowchart of a plasma processing method according to an embodiment.
  • FIG. 7A is a diagram for explaining an effect of the plasma processing method according to the embodiment.
  • FIG. 7B is a diagram for explaining the effect of the plasma processing method according to the embodiment.
  • FIG. 7A is a diagram for explaining an effect of the plasma processing method according to the embodiment.
  • FIG. 7B is a diagram for explaining the effect of the plasma processing method according to the embodiment.
  • FIG. 7C is a diagram for explaining the effect of the plasma processing method according to the embodiment.
  • FIG. 8 is a diagram illustrating a transition of the temperature of the dielectric window for each processing gas type in the plasma processing method according to the embodiment.
  • FIG. 9 is a diagram illustrating an example of a temperature measurement point of a dielectric window.
  • FIG. 10 is a diagram illustrating an example of temperature measurement by a radiation temperature measuring device.
  • FIG. 11 is a diagram illustrating an example of temperature measurement by a radiation temperature measuring device.
  • FIG. 12 is a diagram showing a change in temperature for each temperature measurement point of the dielectric window.
  • FIG. 13 is a diagram illustrating an example of temperature measurement using an optical fiber thermometer.
  • FIG. 14A is a diagram showing the transition of the temperature of the dielectric window between lots.
  • FIG. 14B is a diagram showing the transition of the temperature of the dielectric window between lots.
  • FIG. 15 is a flowchart of inter-lot warm-up processing according to an embodiment.
  • FIG. 1 is a diagram showing an outline of a plasma processing system according to an embodiment.
  • the plasma processing system 1000 according to an embodiment includes an atmospheric transfer chamber 11, a carry-in / out port 130, a load lock chamber 150, and an alignment module 160.
  • the plasma processing system 1000 includes a vacuum transfer chamber 13, a plurality of plasma processing apparatuses PM1 to PM4, and a control unit 100.
  • the atmospheric transfer chamber 11 is a rectangular parallelepiped transfer container for transferring the substrate W to be processed, which is provided at atmospheric pressure and installed in the carry-in / out port 130, to the plasma processing apparatus PM1, for example.
  • a transfer mechanism 120 having a transfer arm that is rotatable and extendable to deliver the substrate W to be processed is provided between the carry-in / out port 130, the alignment module 160, and the load lock chamber 150.
  • a plurality (three in one embodiment) of carry-in / out ports 130 are provided side by side on one side wall of the long side of the atmospheric transfer chamber 11.
  • Each loading / unloading port 130 is connected to one side wall of the long side of the atmospheric transfer chamber 11 via a door 140, and a FOUP (Front Opening Unified Pod) for storing the substrate W to be processed before plasma processing is performed.
  • FOUP Front Opening Unified Pod
  • a plurality of load lock chambers 150 are provided side by side on the other side wall of the long side of the atmospheric transfer chamber 11.
  • the load lock chamber 150 is connected to the other side wall of the long side of the atmospheric transfer chamber 11 via the gate valve G1, and is a spare for transferring the substrate W to be processed under atmospheric pressure to the vacuum transfer chamber 13 under vacuum pressure. It is a vacuum chamber.
  • the alignment module 160 is provided on one side wall of the short side of the atmospheric transfer chamber 11 and has an orienter for aligning the substrate to be processed W.
  • the vacuum transfer chamber 13 is a transfer container for the target substrate W having a hexagonal plan shape, and the chamber is kept in a vacuum atmosphere by a vacuum pump (not shown).
  • a load lock chamber 150 is connected to the two side walls of the vacuum transfer chamber 13 via a gate valve G2.
  • Plasma processing apparatuses PM1 to PM4 are connected to the remaining four side walls of the vacuum transfer chamber 13 through gate valves G3.
  • a transfer mechanism 220 including a load lock chamber 150 and a transfer arm that is capable of rotating and expanding and contracting, and delivers a substrate W to be processed between the plasma processing apparatuses PM 1 to PM 4.
  • the control unit 100 may be a computer including a storage device such as a central processing unit (CPU) and a memory, for example.
  • the control unit 100 outputs various control signals according to programs and processing recipes stored in the storage device.
  • the control unit 100 controls, for example, transport of the substrate W to be processed, opening / closing of the gate valves G1 to G3 and the door 140, processing in the plasma processing apparatuses PM1 to PM4, warm-up processing, and inter-lot warm-up processing. .
  • Various control signals output from the control unit 100 are input to the transport mechanisms 120 and 220, the gate valves G1 to G3, the door 140, and the plasma processing apparatuses PM1 to PM4. Details of the warm-up process and the lot-to-lot warm-up process executed by the control unit 100 will be described later.
  • the configuration of the plasma processing apparatuses PM1 to PM4 according to an embodiment will be described.
  • the case where all of the plasma processing apparatuses PM1 to PM4 are plasma etching apparatuses will be described as an example, but the present invention is not limited to this.
  • the plasma processing apparatus PM1 will be described as a representative, but it is assumed that the plasma processing apparatuses PM2 to PM4 have the same configuration.
  • FIG. 2 is a diagram showing an outline of a plasma processing apparatus according to an embodiment.
  • the plasma processing apparatus PM1 shown in FIG. 2 includes a processing container 12, a stage 14, a microwave generator 16, an antenna 18, and a dielectric window 20.
  • the processing container 12 defines a processing space S for performing plasma processing.
  • the processing container 12 has a side wall 12a and a bottom 12b.
  • the side wall 12a is formed in a substantially cylindrical shape.
  • the axial line X extending in the cylindrical shape at the cylindrical center of the side wall 12a is virtually set, and the extending direction of the axial line X is referred to as the axial X direction.
  • the bottom 12b is provided on the lower end side of the side wall 12a and covers the bottom opening of the side wall 12a.
  • the bottom 12b is provided with an exhaust hole 12h for exhaust.
  • the upper end of the side wall 12a is open.
  • the upper end opening of the side wall 12 a is closed by the dielectric window 20.
  • An O-ring 21 is interposed between the dielectric window 20 and the upper end portion of the side wall 12a.
  • the dielectric window 20 is provided at the upper end portion of the side wall 12 a via the O-ring 21.
  • the O-ring 21 makes the sealing of the processing container 12 more reliable.
  • the stage 14 is accommodated in the processing space S, and the substrate W to be processed is placed thereon.
  • the dielectric window 20 has a facing surface 20 a that faces the processing space S.
  • the microwave generator 16 generates a microwave of 2.45 GHz, for example.
  • the plasma processing apparatus PM1 further includes a tuner 22, a waveguide 24, a mode converter 26, and a coaxial waveguide 28.
  • the microwave generator 16 is connected to the waveguide 24 via the tuner 22.
  • the waveguide 24 is, for example, a rectangular waveguide.
  • the waveguide 24 is connected to a mode converter 26, and the mode converter 26 is connected to the upper end of the coaxial waveguide 28.
  • the coaxial waveguide 28 extends along the axis X.
  • the coaxial waveguide 28 includes an outer conductor 28a and an inner conductor 28b.
  • the outer conductor 28a has a substantially cylindrical shape extending in the axis X direction.
  • the inner conductor 28b is provided inside the outer conductor 28a.
  • the inner conductor 28b has a substantially cylindrical shape extending along the axis X.
  • the microwave generated by the microwave generator 16 is guided to the mode converter 26 via the tuner 22 and the waveguide 24.
  • the mode converter 26 converts a microwave mode and supplies the microwave after the mode conversion to the coaxial waveguide 28. Microwaves from the coaxial waveguide 28 are supplied to the antenna 18.
  • the antenna 18 radiates a microwave for plasma excitation based on the microwave generated by the microwave generator 16.
  • the antenna 18 includes a slot plate 30, a dielectric plate 32, and a cooling jacket 34.
  • the antenna 18 is provided on a surface 20 b opposite to the facing surface 20 a of the dielectric window 20, and based on the microwave generated by the microwave generator 16, a plasma excitation micro via the dielectric window 20.
  • a wave is radiated into the processing space S.
  • the microwave generator 16 and the antenna 18 and the like serve as a plasma generation mechanism that supplies electromagnetic energy for converting the processing gas introduced into the processing space S into plasma.
  • the slot plate 30 is formed in a substantially disc shape whose plate surface is orthogonal to the axis X.
  • the slot plate 30 is disposed on the surface 20b opposite to the opposing surface 20a of the dielectric window 20 so that the plate surfaces of the dielectric window 20 and the dielectric plate 20 are aligned with each other.
  • a plurality of slots 30 a are arranged in the circumferential direction about the axis X.
  • FIG. 3 is a plan view of the slot plate according to the embodiment as seen from the direction of the axis X.
  • the slot plate 30 is a slot plate constituting a radial line slot antenna.
  • the slot plate 30 is formed in a metal disk shape having conductivity.
  • a plurality of slots 30 a are formed in the slot plate 30.
  • Each slot 30a includes a slot 30b and a slot 30c which are long holes extending in a direction intersecting or orthogonal to each other.
  • the plurality of slots 30a are arranged at predetermined intervals in the radial direction, and are arranged at predetermined intervals in the circumferential direction.
  • the plurality of slots 30a includes a first slot group 30a-1 formed by being arranged in a plurality along the circumferential direction of the slot plate 30, and the diameter of the slot plate 30 from the first slot group 30a-1. And a second slot group 30 a-2 formed in a plurality along the circumferential direction of the slot plate 30.
  • a through hole 30 d through which a conduit 36 described later can pass is formed in the center portion of the slot plate 30.
  • the dielectric plate 32 is formed in a substantially disc shape whose plate surface is orthogonal to the axis X.
  • the dielectric plate 32 is provided between the slot plate 30 and the lower surface of the cooling jacket 34.
  • the dielectric plate 32 is made of, for example, quartz and has a substantially disk shape.
  • the dielectric window 20 is formed with an annular recess 20c between the disk-shaped central portion and the outer peripheral portion of the facing surface 20a facing the processing space S. As a result, the dielectric window 20 is formed with a thick portion 20d at the central portion of the disk shape and a thin portion 20e between the central portion and the outer peripheral portion of the disk shape.
  • the surface of the cooling jacket 34 has conductivity.
  • the cooling jacket 34 has a flow path 34a through which a refrigerant can flow, and cools the dielectric plate 32 and the slot plate 30 by the flow of the refrigerant.
  • a lower end of the outer conductor 28 a is electrically connected to the upper surface of the cooling jacket 34.
  • the lower end of the inner conductor 28 b is electrically connected to the slot plate 30 through a hole formed in the cooling jacket 34 and the central portion of the dielectric plate 32.
  • the microwave from the coaxial waveguide 28 is propagated to the dielectric plate 32 and is introduced into the processing space S from the slot 30 a of the slot plate 30 through the dielectric window 20.
  • a conduit 36 passes through the inner hole of the inner conductor 28 b of the coaxial waveguide 28.
  • a through hole 30 d through which the conduit 36 can penetrate is formed at the center of the slot plate 30.
  • the conduit 36 extends along the axis X and is connected to a gas supply system 38, a gas supply system 39, and a gas supply system 40.
  • the gas supply system 38 supplies a processing gas for processing the substrate W to be processed to the conduit 36.
  • the processing gas supplied by the gas supply system 38 includes a fluorine-based gas.
  • the processing gas is an etching gas, for example, CF4 gas or CH2F2 gas.
  • the gas supply system 38 may include a gas source 38a, a valve 38b, and a flow controller 38c.
  • the gas source 38a is a processing gas source.
  • the valve 38b switches supply and stop of supply of the processing gas from the gas source 38a.
  • the flow rate controller 38c is a mass flow controller, for example, and adjusts the flow rate of the processing gas from the gas source 38a.
  • the gas supply system 39 supplies a processing gas for processing the substrate to be processed W to the conduit 36.
  • the processing gas supplied by the gas supply system 39 includes oxygen gas (O 2 gas).
  • the gas supply system 39 may include a gas source 39a, a valve 39b, and a flow rate controller 39c.
  • the gas source 39a is a gas source of oxygen gas.
  • the valve 39b switches between supply and stop of gas supply from the gas source 39a.
  • the flow rate controller 39c is a mass flow controller, for example, and adjusts the flow rate of the gas from the gas source 39a.
  • the gas supply system 40 supplies argon gas to the conduit 36.
  • argon gas is supplied from the gas supply system 40.
  • the gas supply system 40 may include a gas source 40a, a valve 40b, and a flow rate controller 40c.
  • the gas source 40a is a gas source of argon gas.
  • the valve 40b switches supply and stop of supply of argon gas from the gas source 40a.
  • the flow rate controller 40c is, for example, a mass flow controller, and adjusts the flow rate of argon gas from the gas source 40a.
  • the gas supply systems 38, 39, and 40 serve as a gas supply mechanism that introduces a processing gas used for the plasma reaction into the processing space S.
  • the plasma processing apparatus PM1 may further include an injector 41.
  • the injector 41 supplies the gas from the conduit 36 to the through hole 20 h formed in the dielectric window 20.
  • the gas supplied to the through hole 20 h of the dielectric window 20 is supplied to the processing space S.
  • the gas supply path constituted by the conduit 36, the injector 41, and the through hole 20h may be referred to as a “central gas introduction unit”.
  • the plasma processing apparatus PM1 further includes a gas supply unit.
  • the gas supply unit 42 supplies gas from the periphery of the axis X to the processing space S between the stage 14 and the dielectric window 20.
  • the gas supply unit 42 may be referred to as “peripheral gas introduction unit”.
  • the gas supply unit 42 includes a conduit 42a.
  • the conduit 42 a extends annularly around the axis X between the dielectric window 20 and the stage 14.
  • a plurality of gas supply holes 42b are formed in the conduit 42a.
  • the plurality of gas supply holes 42b are arranged in an annular shape and open toward the axis X, and supply the gas supplied to the conduit 42a toward the axis X.
  • the gas supply unit 42 is connected to a gas supply system 43, a gas supply system 44, and a gas supply system 45 through a conduit 46.
  • the gas supply system 43 supplies a processing gas for processing the substrate W to be processed to the gas supply unit 42.
  • the processing gas supplied from the gas supply system 43 includes a fluorine-based gas, like the processing gas in the gas supply system 38. In one embodiment, this processing gas is an etching gas, for example, CF4 gas or CH2F2 gas.
  • the gas supply system 43 may include a gas source 43a, a valve 43b, and a flow rate controller 43c.
  • the gas source 43a is a gas source of the processing gas.
  • the valve 43b switches supply and stop of supply of the processing gas from the gas source 43a.
  • the flow rate controller 43c is a mass flow controller, for example, and adjusts the flow rate of the processing gas from the gas source 43a.
  • the gas supply system 44 contains oxygen gas, similar to the processing gas of the gas supply system 39.
  • the gas supply system 44 supplies a processing gas including oxygen gas (O 2 gas) to the gas supply unit 42.
  • the gas supply system 44 may include a gas source 44a, a valve 44b, and a flow rate controller 44c.
  • the gas source 44a is a gas source of oxygen gas.
  • the valve 44b switches between supply and stop of gas supply from the gas source 44a.
  • the flow rate controller 44c is a mass flow controller, for example, and adjusts the flow rate of the gas from the gas source 44a.
  • the gas supply system 45 supplies argon gas to the gas supply unit 42.
  • argon gas is supplied from the gas supply system 45.
  • the gas supply system 45 may include a gas source 45a, a valve 45b, and a flow rate controller 45c.
  • the gas source 45a is a gas source of argon gas.
  • the valve 45b switches between supply and stop of supply of argon gas from the gas source 45a.
  • the flow rate controller 45c is, for example, a mass flow controller, and adjusts the flow rate of argon gas from the gas source 45a.
  • the gas supply systems 43, 44, 45 serve as a gas supply mechanism that introduces a processing gas used for the plasma reaction into the processing space S.
  • the stage 14 is provided so as to face the dielectric window 20 in the axis X direction.
  • the stage 14 is provided so as to sandwich the processing space S between the dielectric window 20 and the stage 14.
  • a substrate W to be processed is placed on the stage 14.
  • the stage 14 includes a table 14a, a focus ring 14b, and an electrostatic chuck 14c.
  • the base 14 a is supported by a cylindrical support portion 48.
  • the cylindrical support portion 48 is made of an insulating material and extends vertically upward from the bottom portion 12b.
  • a conductive cylindrical support 50 is provided on the outer periphery of the cylindrical support 48.
  • the cylindrical support portion 50 extends vertically upward from the bottom portion 12 b of the processing container 12 along the outer periphery of the cylindrical support portion 48.
  • An annular exhaust passage 51 is formed between the cylindrical support portion 50 and the side wall 12a.
  • An annular baffle plate 52 provided with a plurality of through holes is attached to the upper part of the exhaust passage 51.
  • An exhaust device 56 is connected to the lower portion of the exhaust hole 12 h via an exhaust pipe 54.
  • the exhaust device 56 has a vacuum pump such as a turbo molecular pump. The exhaust device 56 can depressurize the processing space S in the processing container 12 to a desired degree of vacuum.
  • the stand 14a also serves as a high-frequency electrode.
  • a high frequency power source 58 for RF bias is electrically connected to the base 14 a via a power feed rod 62 and a matching unit 60.
  • the high frequency power supply 58 outputs a predetermined frequency suitable for controlling the energy of ions drawn into the substrate W to be processed, for example, a high frequency power of 13.65 MHz at a predetermined power.
  • the matching unit 60 accommodates a matching unit for matching between the impedance on the high-frequency power source 58 side and the impedance on the load side such as electrodes, plasma, and the processing container 12.
  • This matching unit includes a blocking capacitor for generating a self-bias.
  • An electrostatic chuck 14c is provided on the upper surface of the table 14a.
  • the electrostatic chuck 14c holds the substrate W to be processed with an electrostatic attraction force.
  • a focus ring 14b that surrounds the periphery of the substrate W to be processed is provided on the outer side in the radial direction of the electrostatic chuck 14c.
  • the electrostatic chuck 14c includes an electrode 14d, an insulating film 14e, and an insulating film 14f.
  • the electrode 14d is made of a conductive film, and is provided between the insulating film 14e and the insulating film 14f.
  • a high-voltage DC power supply 64 is electrically connected to the electrode 14 d via a switch 66 and a covered wire 68.
  • the electrostatic chuck 14c can attract and hold the substrate W to be processed by the Coulomb force generated by the DC voltage applied from the DC power source 64.
  • An annular refrigerant chamber 14g extending in the circumferential direction is provided inside the table 14a.
  • a refrigerant having a predetermined temperature for example, cooling water, is circulated and supplied to the refrigerant chamber 14g from a chiller unit (not shown) via pipes 70 and 72.
  • the upper surface temperature of the electrostatic chuck 14c is controlled by the temperature of the refrigerant.
  • a heat transfer gas, for example, He gas is supplied between the upper surface of the electrostatic chuck 14c and the back surface of the substrate W to be processed via the gas supply pipe 74, and the substrate to be processed is determined by the upper surface temperature of the electrostatic chuck 14c.
  • the temperature of W is controlled.
  • the various control signals output from the control unit 10 are the microwave generator 16, the exhaust device 56, the valve 38b, the flow rate controller 38c, the valve 43b, the flow rate controller 43c, the valve 39b, the flow rate controller 39c, the valve 40b, the flow rate controller 40c, the valve 44b, the flow rate controller 44c, the valve 45b, and the flow rate controller 45c.
  • FIG. 4 is an enlarged cross-sectional view of the injector and the through hole of the dielectric window according to the embodiment.
  • the dielectric window 20 defines an accommodation space 20s and a through hole 20h in order from the top along the axis X.
  • the through hole 20h allows the accommodation space 20s and the processing space S to communicate with each other.
  • the through hole 20h has an area in a part between the opening on the antenna 18 side and the opening on the processing space S side, and the other part of the through hole 20h between the part and the opening on the antenna 18 side. It is comprised so that it may become smaller than the area in.
  • the “area” is an area of the through hole 20 h on a plane orthogonal to the axis X.
  • the through hole 20 h has a tapered shape whose diameter decreases as it goes downward along the axis X.
  • the accommodating space 20s accommodates the injector 41 and one end 36b of the conduit 36.
  • the conduit 36 may be made of a conductive metal.
  • the conduit 36 includes a main body portion 36a and one end portion 36b.
  • the main body portion 36a has a cylindrical shape extending along the axis X.
  • the one end portion 36b has a substantially disc shape and has an outer diameter larger than that of the main body portion 36a.
  • the conduit 36 is provided with a gas supply inner hole penetrating through the main body 36a and the one end 36b.
  • the main body portion 36a of the conduit 36 passes through the inner hole of the inner conductor 28b.
  • the inner conductor 28b is connected to the slot plate 30 as described above.
  • the inner edge of the slot plate 30 is sandwiched between the lower end of the inner conductor 28 b and the metal member 80.
  • the member 80 is fixed to the lower end of the inner conductor 28b with a screw 82.
  • the upper surface of the one end portion 36 b of the conduit 36 is in contact with the lower surface of the slot plate 30.
  • the injector 41 is accommodated below the one end 36b of the conduit 36 in the accommodating space 20s.
  • the injector 41 has conductivity and has a substantially disk shape.
  • the injector 41 is made of, for example, aluminum or stainless steel.
  • the injector 41 includes a first surface 41a on the one end 36b side and a second surface 41b on the through hole 20h side.
  • the injector 41 is formed with a plurality of through holes 41h extending from the first surface 41a to the second surface 41b.
  • a Y2O3 film may be formed on the second surface 41b. This film may be formed by coating Y2O3 on the second surface 41b and then melting the coated film with an electron beam.
  • the injector 41 is fixed to the one end portion 36b of the conduit 36 by a screw 84, and is electrically connected to the one end portion 36b. Therefore, the injector 41 can be set to the same potential as the inner conductor 28b, the slot plate 30, and the conduit 36.
  • the injector 41 can be set to a ground potential, for example.
  • an O-ring 86 is provided between the second surface 41 b of the injector 41 and the dielectric window 20.
  • the O-ring 86 extends in an annular shape so as to surround the openings on the through hole 20h side of the plurality of through holes 41h.
  • the O-ring 86 ensures airtightness between the injector 41 and the dielectric window 20.
  • an O-ring 88 is provided between the first surface 41 a of the injector 41 and one end 36 b of the conduit 36.
  • the O-ring 88 extends in an annular shape so as to surround the opening on the one end 36b side of the plurality of through holes 41h. Thereby, the airtightness between the injector 41 and the one end 36b of the conduit 36 is ensured.
  • gas is supplied along the axis X into the processing space S from the through hole 20h of the dielectric window 20 through the through hole 41h of the conduit 36 and the injector 41. Further, gas is supplied from the gas supply unit 42 toward the axis X below the through hole 20h. Further, microwaves are introduced from the antenna 18 through the dielectric window 20 into the processing space S and / or the through hole 20 h. Thereby, plasma is generated in the processing space S and / or the through hole 20h. Thus, according to the plasma processing apparatus PM1, plasma can be generated without applying a magnetic field.
  • FIG. 5 is a diagram illustrating an outline of a plasma processing method according to an embodiment.
  • FIG. 5 shows a flow of the substrate W to be processed and a sequence of warm-up plasma processing and plasma processing.
  • the case where the substrate W to be processed is transferred from the loading / unloading port 130 to the plasma processing apparatus PM1 and is subjected to plasma processing in the plasma processing apparatus PM1 is described as an example.
  • the transfer of the substrate W to be processed will be described.
  • the substrate W to be processed is installed in the carry-in / out port 130.
  • the control unit 100 starts transporting the substrate W to be processed.
  • the control unit 100 transports the substrate W to be processed from the loading / unloading port 130 to the alignment module 160 via the door 140 and the atmospheric transfer chamber 11.
  • the alignment module 160 performs alignment processing of the substrate W to be processed.
  • the control unit 100 transports the target substrate W on which the alignment process has been performed from the alignment module 160 to the load lock chamber 150 via the gate valve G1.
  • the load lock chamber 150 is decompressed to a vacuum pressure after the substrate to be processed W is transferred.
  • the control unit 100 transfers the substrate W to be processed from the load lock chamber 150 under vacuum pressure to the plasma processing apparatus PM1 through the gate valve G2, the vacuum transfer chamber 13, and the gate valve G3.
  • the plasma processing apparatus PM1 performs a plasma process such as an etching process on the transferred substrate W to be processed.
  • the control unit 100 executes a warm-up process when the transport of the substrate to be processed W is started in response to a plasma processing start command for the substrate W to be processed installed in the carry-in / out port 130.
  • the processing gas is transferred to the plasma processing space S by the gas supply mechanism in a state where the processing substrate W is not installed on the stage 14 (wafer-less state) while the processing substrate W is being transported.
  • electromagnetic energy is supplied by a plasma generation mechanism (warm-up plasma processing).
  • the control unit 100 ends the warm-up process before the substrate to be processed W arrives at the gate valve G3 in front of the plasma processing apparatus PM1 and is transferred to the plasma processing apparatus PM1.
  • the plasma processing apparatus PM1 supplies a processing gas to the plasma processing space S by a gas supply mechanism, for example, for an etching process.
  • a process of supplying electromagnetic energy (plasma process) by the plasma generation mechanism is executed.
  • FIG. 6 is a flowchart of a plasma processing method according to an embodiment.
  • the control unit 100 executes the substrate W to be processed installed in the loading / unloading port 130. Is started (S102). Subsequently, the controller 100 starts a warm-up process when the transfer of the substrate W to be processed is started (S103). Subsequently, the control unit 100 determines whether the temperature of, for example, the central portion of the facing surface 20a of the dielectric window 20 is equal to or higher than a preset temperature, or whether a preset time has elapsed (S104). ).
  • the control unit 100 performs the warm-up process until the temperature of the center portion of the dielectric window 20, for example, the central portion of the facing surface 20 a becomes equal to or higher than a preset temperature or a preset time has elapsed (No in S ⁇ b> 104). continue.
  • the control unit 100 performs a warm-up process. Is finished (S105).
  • the control unit 100 starts plasma processing such as plasma etching (S107).
  • the warm-up process is performed while the substrate to be processed W is transported to the plasma processing apparatus PM1 in response to a command to start the plasma processing for the substrate W to be processed.
  • the warm-up process is executed while the substrate to be processed W is being transported, and therefore it is difficult to affect the throughput.
  • a decrease in the etching rate of the substrate W to be processed in the first lot is suppressed, and a decrease in the throughput of the plasma processing of the substrate W to be processed is suppressed. can do.
  • 7A, 7B, and 7C are diagrams for explaining the effects of the plasma processing method according to the embodiment.
  • 7A, 7B, and 7C are diagrams illustrating effects of the plasma processing method according to the embodiment.
  • FIG. 7A is a graph showing the relationship between the temperature of the dielectric window 20 and the etching rate when the plasma etching process is performed on the substrate W to be processed without using the plasma processing method according to the embodiment. It is.
  • FIGS. 7B and 7C show the relationship between the temperature of the dielectric window 20 and the etching rate when the plasma etching process is performed on the substrate W to be processed using the plasma processing method according to the embodiment. It is a graph.
  • the plasma processing method according to the embodiment when the plasma processing method according to the embodiment is not used, as shown in the graph 174, when processing the target substrate W having the slot number “1”, other slots are processed.
  • the temperature of the dielectric window 20 is lower than that in the case of processing the target substrate W with the number.
  • the etching rate for the substrate W to be processed having the slot number “1” was lower than the etching rates of the substrates W to be processed having other slot numbers. This is called “first wafer effect”, and the slot number “1” is caused by the low temperature of the dielectric window 20 (and the processing space S) when the substrate W having the slot number “1” is processed. This is a phenomenon in which the etching rate of the substrate W to be processed becomes low.
  • the warm-up process is performed while the substrate to be processed W is transported to the plasma processing apparatus PM1 in response to a command for starting the plasma processing for the substrate W to be processed. Executed.
  • the dielectric window is compared with the case of processing the target substrate W having another slot number.
  • the temperature of 20 was equal or slightly higher.
  • the temperature of the dielectric window 20 (and the processing space S) when processing the target substrate W having the slot number “1” can be kept high.
  • the etching rate for the substrate W to be processed having the slot number “1” could be kept equal to the etching rates of the substrates W to be processed having other slot numbers.
  • FIG. 7C is a graph showing the relationship between the temperature of the dielectric window 20 and the etching rate when the warm-up process is performed for a longer time than in the case of FIG. 7B.
  • the temperature of the dielectric window 20 when processing the target substrate W having the slot number “1”, the temperature of the dielectric window 20 is higher than when processing the target substrate W having another slot number. Became significantly higher.
  • the temperature of the dielectric window 20 (and the processing space S) when processing the target substrate W having the slot number “1” can be kept high.
  • the etching rate for the substrate W to be processed having the slot number “1” could be kept equal to the etching rates of the substrates W to be processed having other slot numbers.
  • control unit 100 can supply a processing gas containing oxygen gas to the processing space S by the gas supply mechanism during the warm-up process.
  • control unit 100 first supplies the first processing gas containing the fluorine-based gas to the processing space S by the gas supply mechanism, and then supplies the second processing gas containing the oxygen gas to the processing space. S can also be supplied. This point will be described below.
  • FIG. 8 is a diagram showing the transition of the temperature of the dielectric window for each processing gas type in the plasma processing method according to the embodiment.
  • the horizontal axis indicates the elapsed time (sec) from the start of the warm-up process
  • the vertical axis indicates the temperature (° C.) of the dielectric window 20.
  • a graph 190 shows the temperature transition of the dielectric window 20 when SF6: 150 sccm and O2: 50 sccm, which are fluorine-based gases, are supplied to the processing space S as the processing gas in the warm-up process. It is.
  • the graph 191 shows the temperature transition of the dielectric window 20 when SF6: 100 sccm and O2: 100 sccm are supplied to the processing space S as processing gases in the warm-up process.
  • the graph 192 shows the temperature transition of the dielectric window 20 when SF6: 50 sccm and O2: 150 sccm are supplied to the processing space S as the processing gas in the warm-up process.
  • the graph 193 shows the temperature transition of the dielectric window 20 when O2: 200 sccm is supplied to the processing space S as the processing gas in the warm-up process.
  • the graph 194 shows the temperature transition of the dielectric window 20 when O2: 350 sccm is supplied to the processing space S as the processing gas in the warm-up process.
  • Graph 195 shows the temperature transition of the dielectric window 20 when Ar: 200 sccm is supplied to the processing space S as the processing gas in the warm-up process.
  • the graph 196 shows the temperature transition of the dielectric window 20 when Ar: 150 sccm and O2: 50 sccm are supplied to the processing space S as the processing gas in the warm-up process.
  • the time until the temperature of the dielectric window 20 reaches 150 (° C.) was 147 (sec) in the case of the processing gas (graph 190) of SF6: 150 sccm and O2: 50 sccm.
  • the time until the temperature of the dielectric window 20 reaches 150 (° C.) was 246 (sec) in the case of the processing gas (graph 191) of SF6: 100 sccm and O2: 100 sccm.
  • the time until the temperature of the dielectric window 20 reaches 150 (° C.) was 264 (sec) in the case of O 2: 200 sccm processing gas (graph 193).
  • the time until the temperature of the dielectric window 20 reaches 150 (° C.) was 283 (sec) in the case of the processing gas (graph 192) of SF6: 50 sccm and O2: 150 sccm.
  • the time until the temperature of the dielectric window 20 reaches 150 (° C.) was 299 (sec) in the case of a processing gas (graph 194) of O 2: 350 sccm.
  • a processing gas graph 194
  • Ar 200 sccm processing gas
  • Ar 150 sccm
  • O2 50 sccm processing gas
  • the temperature of the dielectric window 20 did not reach 150 (° C.).
  • a processing gas containing oxygen gas can be supplied to the processing space S as a processing gas for warm-up processing.
  • the temperature rise of the dielectric window 20 due to the warm-up process becomes faster as the SF6 gas concentration is higher.
  • a first processing gas containing a fluorine-based gas is supplied to the processing space S as a processing gas for warm-up processing, and then a second processing gas containing oxygen gas is supplied to the processing space S. Can be supplied. According to this, the temperature of the dielectric window 20 can be quickly raised in the warm-up process, and the inside of the processing container 12 can be cleaned before the plasma process.
  • FIG. 9 is a diagram illustrating an example of a temperature measurement point of a dielectric window.
  • 10 and 11 are diagrams showing an example of temperature measurement by a radiation temperature measuring device.
  • the temperature measurement points of the dielectric window 20 are the point 202 on the inner surface of the thin portion 20 e provided by the recess 20 c formed in the dielectric window 20, and the center portion of the dielectric window 20.
  • a point 204 on the inner surface of the thick portion 20d can be considered.
  • the radiation thermometer 210 When measuring the temperature of the point 202, the radiation thermometer 210 is installed outside the side wall 12a as shown in FIG.
  • the radiation thermometer 210 measures the radiant energy such as infrared rays emitted from the measurement point 202 through the measurement window 212 provided on the side wall 12a, and measures the surface temperature of the measurement point 202.
  • the installation angle of the radiation thermometer 210 is adjusted as shown in FIG.
  • the radiation thermometer 210 measures the radiant energy such as infrared rays emitted from the measurement point 204 through the measurement window 212 provided on the side wall 12a, and measures the surface temperature of the measurement point 204.
  • FIG. 12 is a diagram showing a change in temperature for each temperature measurement point of the dielectric window.
  • the horizontal axis indicates the elapsed time (sec) since the start of the warm-up process
  • the vertical axis indicates the temperature (° C.) of the dielectric window 20.
  • a graph 212 shows the temperature transition of the measurement point 202
  • a graph 214 shows the temperature transition of the measurement point 204.
  • the phenomenon that the etching rate of the substrate W to be processed in the initial lot such as the first lot becomes low (First wafer effect) even if the thin portion 20e reaches a predetermined temperature (for example, 150 (° C.)).
  • a predetermined temperature for example, 150 (° C.)
  • the thick portion 20d at the center of the dielectric window 20 has not reached a predetermined temperature (for example, 150 (° C.)).
  • the thick portion 20d of the dielectric window 20 is sufficiently large. There is a risk that a first wafer effect may occur due to the fact that the substrate is not heated.
  • the temperature of the thick part 20d of the dielectric window 20 is measured using the radiation thermometer 210, and the control unit 100 measures the thick part of the dielectric window 20 measured by the radiation thermometer 210. It is preferable to perform the warm-up process until the temperature of 20d becomes equal to or higher than a preset temperature (for example, 150 (° C.)).
  • a preset temperature for example, 150 (° C.)
  • the method for measuring the temperature of the dielectric window 20 is not limited to the radiation thermometer 210.
  • an optical fiber thermometer can be used.
  • FIG. 13 is a diagram illustrating an example of temperature measurement using an optical fiber thermometer.
  • the optical fiber thermometer 220 is installed so as to measure the temperature of the side portion of the dielectric window 20 from the outside of the processing container 12.
  • the temperature rise of the dielectric window 20 is different between the central portion and the side portion of the facing surface 20a.
  • the dielectric window 20 is warmed up while measuring the temperature of the central portion. It is preferable to carry out the treatment.
  • the temperature of the dielectric window 20 can be measured with a simple configuration by measuring the temperature correlation between the central portion and the side portion of the dielectric window 20 by, for example, prior experiments or simulations. For example, if the temperature at the side of the dielectric window 20 rises to a certain temperature (for example, 250 (° C.)), the temperature at the center of the facing surface 20a of the dielectric window 20 becomes the desired temperature ( For example, the temperature is such that it can be considered that the temperature has increased to 150 (° C.).
  • a certain temperature for example, 250 (° C.)
  • the temperature at the center of the facing surface 20a of the dielectric window 20 becomes the desired temperature (
  • the temperature is such that it can be considered that the temperature has increased to 150 (° C.).
  • control unit 100 determines that the temperature of the side of the dielectric window 20 measured by the optical fiber thermometer 220 is equal to the temperature of the side of the dielectric window 20 measured by the optical fiber thermometer 220 and the dielectric window 20. It is preferable to execute the warm-up process until the temperature reaches a preset temperature or higher based on the correlation with the temperature of the central portion of the opposite surface 20a.
  • the configuration related to temperature measurement can be simplified.
  • FIG. 14A and FIG. 14B are diagrams showing the transition of the temperature of the dielectric window between lots. 14A and 14B, the horizontal axis indicates time (sec), and the vertical axis indicates the temperature (° C.) of the dielectric window 20 and the microwave power (W).
  • 14A shows the transition of the temperature of the dielectric window when the time between the lots is relatively long
  • FIG. 14B shows the transition of the dielectric window when the time between the lots is relatively short. It shows the transition of temperature.
  • a graph 230 shows a change in the power of the microwave
  • a graph 232 shows a change in the temperature of the dielectric window 20.
  • a graph 240 shows a change in the power of the microwave
  • a graph 242 shows a change in the temperature of the dielectric window 20.
  • the temperature of the dielectric window 20 is greatly reduced.
  • the average etching rate of the substrate to be processed W in the first lot (first lot) was 15.8 nm / min, whereas in the lot after the lot replacement (second lot), The average etching rate was greatly reduced to 14.6 nm / min.
  • the temperature drop of the dielectric window 20 is relatively small.
  • the average etching rate of the substrate to be processed W in the first lot (first lot) was 15.4 nm / min
  • the lot after the lot replacement (second lot) The average etching rate was 15.3 nm / min.
  • the lot-to-lot warm-up process is a state in which the substrate W is not installed on the stage 14 between the first lot and the second lot (wafer-less state), and the processing gas is supplied by the gas supply mechanism. Is supplied to the processing space S and electromagnetic energy is supplied by the plasma generation mechanism.
  • FIG. 15 is a flowchart of inter-lot warm-up processing according to an embodiment. As shown in FIG. 14, when the plasma processing for the first lot is completed (S201), the control unit 100 determines whether a preset time has elapsed before performing the plasma processing for the second lot. (S202).
  • control unit 100 determines that the preset time has not elapsed before the plasma processing for the second lot (S202, No). If the control unit 100 determines that the preset time has not elapsed before the plasma processing for the second lot (S202, No), the temperature of the dielectric window 20 before the plasma processing for the second lot is determined. It is determined whether or not the temperature has become lower than a preset temperature (S203).
  • the control unit 100 determines that a preset time has elapsed before the execution of the plasma processing for the second lot (S202, Yes), or the temperature of the dielectric window 20 before the execution of the plasma processing for the second lot. If it is determined that the temperature has become lower than the preset temperature (S203, Yes), the inter-lot warm-up process is started (S204). Subsequently, the control unit 100 executes a plasma process for the second lot after the inter-lot warm-up process (S205).
  • the lot is changed. Perform warm-up processing. Therefore, it is possible to suppress the temperature of the inner surface (dielectric window 20) of the processing container 12 from decreasing during the lot replacement time between the first lot and the second lot. It can suppress that the etching rate of the board
  • the warm-up process is performed while the substrate to be processed W is transported to the plasma processing apparatus PM1 in response to a command to start the plasma processing for the substrate W to be processed. Therefore, even if the substrate to be processed W is the first lot, when performing the plasma processing on the substrate W to be processed in the first lot, for example, the temperature of the dielectric window 20 of the plasma processing apparatus PM1 is heated to a desired temperature. Has been. In addition to this, the warm-up process is executed while the substrate to be processed W is being transported, and thus it is difficult to affect the throughput.
  • a decrease in the etching rate of the substrate W to be processed in the first lot is suppressed, and a decrease in the throughput of the plasma processing of the substrate W to be processed is suppressed. can do.
  • the temperature of the dielectric window 20 can be quickly increased.
  • the plasma processing apparatus PM1 of the present embodiment supplies a first processing gas containing a fluorine-based gas to the plasma processing space during the warm-up process, and then plasma-processes the second processing gas containing oxygen gas. Supply to space. Therefore, according to the plasma processing apparatus PM1 of the present embodiment, the temperature of the dielectric window 20 can be quickly increased by the first processing gas, and the processing container 12 can be cleaned by the second processing gas. it can.
  • the plasma processing apparatus PM1 of the present embodiment when exchanging lots, when a preset time elapses or the temperature of the dielectric window 20 becomes lower than a preset temperature, Perform warm-up processing.
  • the inter-lot warm-up process can suppress the temperature of the dielectric window 20 from being lowered during the lot exchange, and thus can suppress the reduction in the etching rate of the substrate W to be processed after the lot exchange. it can.
  • Processing container 14 Stage 16 Microwave generator 18 Antenna 20a Opposing surface 20d Thick part 20e Thin part 20 Dielectric window 30 Slot plates 38, 39, 40, 43, 44, 45 Gas supply system 100 Control part 120 Transport mechanism 130 Loading / unloading port 150 Load lock chamber 160 Alignment module 210 Radiation thermometer 220 Optical fiber thermometer PM1 Plasma processing apparatus

Abstract

 プラズマ処理装置PM1は、プラズマ処理空間Sを画成する処理容器12と、被処理基板Wの設置用のステージ14と、プラズマ反応に用いられる処理ガスをプラズマ処理空間Sへ導入するガス供給系38等とを備える。また、プラズマ処理装置PM1は、処理ガスをプラズマ化するための電磁エネルギーを供給するマイクロ波発生器16を備える。また、プラズマ処理装置PM1は、処理容器12の外部の基板搬入ステージに設置された被処理基板Wに対するプラズマ処理開始の指令が発行されて被処理基板Wが処理容器12内へ搬送されている間に、ウェーハレスの状態で、処理ガスを供給するとともに電磁エネルギーを供給するウォームアップ処理を行う制御部100を備える。

Description

プラズマ処理装置、及びプラズマ処理方法
 本発明の種々の側面及び実施形態は、プラズマ処理装置、及びプラズマ処理方法に関するものである。
 半導体の製造プロセスでは、薄膜の堆積又はエッチング等を目的としたプラズマ処理を実行するプラズマ処理装置が広く用いられている。プラズマ処理装置は、例えば薄膜の堆積処理を行うプラズマCVD(Chemical Vapor Deposition)装置や、エッチング処理を行うプラズマエッチング装置が挙げられる。
 プラズマ処理装置は、被処理基板を処理するための処理室、処理室内に被処理基板を設置する試料台、及びプラズマ反応に必要な処理ガスを処理室内に導入するためのガス供給系などを備える。また、プラズマ処理装置は、処理室内の処理ガスをプラズマ化するため、マイクロ波、RF波などの電磁エネルギーを供給するプラズマ生成機構、及びバイアス電圧を試料台に印加し、試料台上に設置された被処理基板に向けてプラズマ中のイオンを加速するためのバイアス電圧印加機構などを備える。
 ところで、プラズマ処理装置は、処理室の内表面温度のばらつきに起因して、同じ処理条件でプラズマ処理を繰り返しても被処理基板の加工精度がばらつく場合がある。一例としてプラズマエッチング装置を挙げて説明する。例えば、前回のプラズマエッチング処理の実行後ある程度の時間をあけてプラズマエッチング処理を行うと、このプラズマエッチング処理の最初のロット(ファーストロット)の1枚目の被処理基板のエッチングレートが、後続の被処理基板に比べてエッチングレートが低くなる場合がある。これは、前回のプラズマエッチング処理の実行後ある程度の時間をあけたことにより処理室の内表面の温度が低下し、その状態のままプラズマエッチング処理が実行されることに起因するものと考えられる。
 従来、このような問題に対しては、例えば、特許文献1のようにファーストロットの被処理基板に対してプラズマ処理を実行する前に、シーズニングを行うことが知られている。シーズニングとは、ファーストロットのプラズマ処理を実行する前に、試料台にダミーウェハを設置して、プラズマを生成させる処理を実行することにより、処理室の内表面温度を上昇させるものである。そして、処理室の内表面温度が上昇した後、通常のプラズマ処理を実行する。これによれば、いったん処理室の内表面温度が低下した後のファーストロットの被処理基板を処理する場合でも、エッチングレートが低下することを抑制することができると考えられる。
特開2005-244065号公報
 しかしながら、従来技術は、シーズニングプロセスによってファーストロットの被処理基板を処理する前にダミーウェハを設置してプラズマ処理を行うので、単位時間あたりの被処理基板の処理枚数が低下する。このため、従来技術では、ファーストロットの被処理基板のエッチングレートの低下を抑制し、かつ、被処理基板のプラズマ処理のスループットの低下を抑制することは難しい。
 本発明の一側面に係るプラズマ処理装置は、プラズマ処理空間を画成する処理容器と、前記処理容器内に設けられ、被処理基板が設置される試料台とを備える。また、プラズマ処理装置は、プラズマ反応に用いられる処理ガスを前記プラズマ処理空間へ導入するガス供給機構と、前記プラズマ処理空間内に導入された処理ガスをプラズマ化するための電磁エネルギーを供給するプラズマ生成機構とを備える。また、プラズマ処理装置は、前記処理容器の外部の基板搬入ステージに設置された被処理基板に対するプラズマ処理開始の指令が発行されて該被処理基板が前記基板搬入ステージから前記処理容器内へ搬送されている間に、前記試料台に被処理基板が設置されていない状態で、前記ガス供給機構によって前記処理ガスを前記処理空間へ供給するとともに前記プラズマ生成機構によって前記電磁エネルギーを供給するウォームアップ処理を実行する制御部を備える。
 本発明の種々の側面及び実施形態によれば、ファーストロットの被処理基板のエッチングレートの低下を抑制し、かつ、被処理基板のプラズマ処理のスループットの低下を抑制することができるプラズマ処理装置及びプラズマ処理方法が実現される。
図1は、一実施形態に係るプラズマ処理システムの概略を示す図である。 図2は、一実施形態に係るプラズマ処理装置の概略を示す図である。 図3は、一実施形態に係るスロット板を軸線X方向から見た平面図である。 図4は、一実施形態に係るインジェクタ及び誘電体窓の貫通孔を拡大して示す断面図である。 図5は、一実施形態に係るプラズマ処理方法の概略を示す図である。 図6は、一実施形態に係るプラズマ処理方法のフローチャートである。 図7Aは、一実施形態に係るプラズマ処理方法による効果を説明するための図である。 図7Bは、一実施形態に係るプラズマ処理方法による効果を説明するための図である。 図7Cは、一実施形態に係るプラズマ処理方法による効果を説明するための図である。 図8は、一実施形態に係るプラズマ処理方法における処理ガス種類ごとの誘電体窓の温度の推移を示す図である。 図9は、誘電体窓の温度測定ポイントの一例を示す図である。 図10は、放射温度測定器による温度測定の一例を示す図である。 図11は、放射温度測定器による温度測定の一例を示す図である。 図12は、誘電体窓の温度測定ポイントごとの温度の推移を示す図である。 図13は、光ファイバ温度計による温度測定の一例を示す図である。 図14Aは、ロット間における誘電体窓の温度の推移を示す図である。 図14Bは、ロット間における誘電体窓の温度の推移を示す図である。 図15は、一実施形態に係るロット間ウォームアップ処理のフローチャートである。
 以下、図面を参照して種々の実施形態について詳細に説明する。なお、各図面において同一又は相当の部分に対しては同一の符号を附すこととする。
 図1は、一実施形態に係るプラズマ処理システムの概略を示す図である。図1に示すように、一実施形態に係るプラズマ処理システム1000は、大気搬送室11、搬入出ポート130、ロードロック室150、アライメントモジュール160を備える。また、プラズマ処理システム1000は、真空搬送室13、複数のプラズマ処理装置PM1~PM4、及び制御部100を備える。
 大気搬送室11は、大気圧力下に設けられ、搬入出ポート130に設置された被処理基板Wを例えばプラズマ処理装置PM1へ搬送するための直方体状の搬送容器である。大気搬送室11内には、搬入出ポート130、アライメントモジュール160、及びロードロック室150の相互の間で、被処理基板Wを受け渡す回転伸縮自在な搬送アームを有する搬送機構120が設けられる。搬入出ポート130は、大気搬送室11の長辺の一方の側壁に並べて複数(一実施形態では3つ)設けられる。各搬入出ポート130は、ドア140を介して大気搬送室11の長辺の一方の側壁に接続され、プラズマ処理が施される前の被処理基板Wを収納するFOUP(Front Opening Unified Pod)を有する。
 ロードロック室150は、大気搬送室11の長辺の他方の側壁に並べて複数(一実施形態では2つ)設けられる。ロードロック室150は、ゲートバルブG1を介して大気搬送室11の長辺の他方の側壁に接続され、大気圧力下の被処理基板Wを真空圧力下の真空搬送室13へ受け渡すための予備真空室である。アライメントモジュール160は、大気搬送室11の短辺の一方の側壁に設けられ、被処理基板Wの位置合わせを行うためのオリエンタを有する。
 真空搬送室13は、平面形状が6角形の被処理基板Wの搬送容器であり、図示しない真空ポンプにより室内が真空雰囲気に保たれている。真空搬送室13の2辺の側壁にはそれぞれ、ゲートバルブG2を介してロードロック室150が接続される。また、真空搬送室13の残りの4辺の側壁にはそれぞれ、ゲートバルブG3を介してプラズマ処理装置PM1~PM4が接続される。真空搬送室13内には、ロードロック室150、及びプラズマ処理装置PM1~PM4の相互の間で被処理基板Wを受け渡す、回転伸縮自在な搬送アームを有する搬送機構220が設けられている。
 制御部100は、例えば、中央処理装置(CPU)及びメモリといった記憶装置を備えるコンピュータであってもよい。制御部100は、記憶装置に記憶されたプログラム及び処理レシピに従って種々の制御信号を出力する。制御部100は、例えば、被処理基板Wの搬送、各ゲートバルブG1~G3及びドア140の開閉、各プラズマ処理装置PM1~PM4における処理、ウォームアップ処理、及びロット間ウォームアップ処理の制御を行う。制御部100から出力される種々の制御信号は、搬送機構120,220、各ゲートバルブG1~G3、ドア140、及びプラズマ処理装置PM1~PM4へ入力される。なお、制御部100によって実行されるウォームアップ処理及びロット間ウォームアップ処理の詳細は後述する。
 次に、一実施形態に係るプラズマ処理装置PM1~PM4の構成を説明する。本実施形態では、プラズマ処理装置PM1~PM4がいずれもプラズマエッチング装置である場合を例に挙げて説明するが、これには限られない。以下の説明では、プラズマ処理装置PM1を代表して説明するが、プラズマ処理装置PM2~PM4も同様の構成を有するものとする。
 図2は、一実施形態に係るプラズマ処理装置の概略を示す図である。図2に示すプラズマ処理装置PM1は、処理容器12、ステージ14、マイクロ波発生器16、アンテナ18、及び誘電体窓20を備えている。
 処理容器12は、プラズマ処理を行うための処理空間Sを画成している。処理容器12は、側壁12a、及び、底部12bを有する。側壁12aは、略筒形状に形成されている。以下、側壁12aの筒形状の中心において筒形状の延在する軸線Xを仮想的に設定し、軸線Xの延在方向を軸線X方向という。底部12bは、側壁12aの下端側に設けられ、側壁12aの底側開口を覆う。底部12bには、排気用の排気孔12hが設けられている。側壁12aの上端部は開口している。
 側壁12aの上端部開口は、誘電体窓20によって閉じられている。誘電体窓20と側壁12aの上端部との間にはOリング21が介在している。誘電体窓20は、Oリング21を介して側壁12aの上端部に設けられる。Oリング21により、処理容器12の密閉がより確実なものとなる。ステージ14は、処理空間S内に収容され、被処理基板Wが載置される。誘電体窓20は、処理空間Sに対向する対向面20aを有する。
 マイクロ波発生器16は、例えば、2.45GHzのマイクロ波を発生する。一実施形態においては、プラズマ処理装置PM1は、チューナ22、導波管24、モード変換器26、及び同軸導波管28を更に備えている。
 マイクロ波発生器16は、チューナ22を介して導波管24に接続されている。導波管24は、例えば、矩形導波管である。導波管24は、モード変換器26に接続されており、モード変換器26は、同軸導波管28の上端に接続されている。
 同軸導波管28は、軸線Xに沿って延びている。この同軸導波管28は、外側導体28a及び内側導体28bを含んでいる。外側導体28aは、軸線X方向に延びる略円筒形状を有している。内側導体28bは、外側導体28aの内部に設けられている。この内側導体28bは、軸線Xに沿って延びる略円筒形状を有している。
 マイクロ波発生器16によって発生されたマイクロ波は、チューナ22及び導波管24を介してモード変換器26に導波される。モード変換器26は、マイクロ波のモードを変換して、モード変換後のマイクロ波を同軸導波管28に供給する。同軸導波管28からのマイクロ波は、アンテナ18に供給される。
 アンテナ18は、マイクロ波発生器16によって発生されるマイクロ波に基づいて、プラズマ励起用のマイクロ波を放射する。アンテナ18は、スロット板30、誘電体板32、及び冷却ジャケット34を有する。アンテナ18は、誘電体窓20の対向面20aの反対側の面20b上に設けられ、マイクロ波発生器16によって発生されるマイクロ波に基づいて、誘電体窓20を介してプラズマ励起用のマイクロ波を処理空間Sへ放射する。なお、マイクロ波発生器16及びアンテナ18等は、処理空間S内に導入された処理ガスをプラズマ化するための電磁エネルギーを供給するプラズマ生成機構となる。
 スロット板30は、軸線Xに板面が直交する略円板状に形成される。スロット板30は、誘電体窓20の対向面20aの反対側の面20b上に、誘電体窓20と互いに板面を合わせて配置される。スロット板30には、軸線Xを中心にして周方向に複数のスロット30aが配列される。
 図3は、一実施形態に係るスロット板を軸線X方向から見た平面図である。一実施形態においては、図3に示すように、スロット板30は、ラジアルラインスロットアンテナを構成するスロット板である。スロット板30は、導電性を有する金属製の円板状に形成される。スロット板30には、複数のスロット30aが形成される。各スロット30aは、互いに交差又は直交する方向に延びる長孔であるスロット30bとスロット30cを含んでいる。複数のスロット30aは、径方向に所定の間隔で配置されており、また、周方向に所定の間隔で配置されている。
 言い換えれば、複数のスロット30aは、スロット板30の円周方向に沿って複数配置されて形成された第1のスロット群30a-1と、第1のスロット群30a-1よりスロット板30の径方向の外側において、スロット板30の円周方向に沿って複数配置されて形成された第2のスロット群30a-2とを有する。また、スロット板30の中央部には、後述する導管36が貫通可能な貫通孔30dが形成される。
 図2を再び参照する。誘電体板32は、板面が軸線Xに直交する略円板状に形成される。誘電体板32は、スロット板30と冷却ジャケット34の下側表面との間に設けられている。誘電体板32は、例えば石英製であり、略円板形状を有している。また、誘電体窓20は、処理空間Sに面した対向面20aの、円板形状の中央部と外周部との間に環状の凹部20cが形成されている。これにより、誘電体窓20には、円板形状の中央部における肉厚部20dと、円板形状の中央部と外周部との間における肉薄部20eが形成される。
 冷却ジャケット34の表面は、導電性を有する。冷却ジャケット34は、内部に冷媒が通流可能な流路34aが形成されており、冷媒の通流により誘電体板32及びスロット板30を冷却する。冷却ジャケット34の上部表面には、外側導体28aの下端が電気的に接続されている。また、内側導体28bの下端は、冷却ジャケット34及び誘電体板32の中央部分に形成された孔を通って、スロット板30に電気的に接続されている。
 同軸導波管28からのマイクロ波は、誘電体板32に伝播され、スロット板30のスロット30aから誘電体窓20を介して、処理空間S内に導入される。一実施形態においては、同軸導波管28の内側導体28bの内孔には、導管36が通っている。スロット板30の中央部には、導管36が貫通可能な貫通孔30dが形成されている。導管36は、軸線Xに沿って延在しており、ガス供給系38、ガス供給系39、及び、ガス供給系40に接続される。
 ガス供給系38は、導管36に被処理基板Wを処理するための処理ガスを供給する。ガス供給系38によって供給される処理ガスは、フッ素系ガスを含む。処理ガスは、一実施形態では、エッチングガスであり、例えば、CF4ガス、又は、CH2F2ガスである。ガス供給系38は、ガス源38a、弁38b、及び流量制御器38cを含み得る。ガス源38aは、処理ガスのガス源である。弁38bは、ガス源38aからの処理ガスの供給及び供給停止を切り替える。流量制御器38cは、例えば、マスフローコントローラであり、ガス源38aからの処理ガスの流量を調整する。
 ガス供給系39は、導管36に被処理基板Wを処理するための処理ガスを供給する。ガス供給系39によって供給される処理ガスは、酸素ガス(O2ガス)を含む。ガス供給系39は、ガス源39a、弁39b、及び流量制御器39cを含み得る。ガス源39aは、酸素ガスのガス源である。弁39bは、ガス源39aからのガスの供給及び供給停止を切り替える。流量制御器39cは、例えば、マスフローコントローラであり、ガス源39aからのガスの流量を調整する。
 ガス供給系40は、アルゴンガスを導管36に供給する。一実施形態においては、ガス供給系39からの処理ガスに加えて、ガス供給系40からアルゴンガスが供給される。ガス供給系40は、ガス源40a、弁40b、及び流量制御器40cを含み得る。ガス源40aは、アルゴンガスのガス源である。弁40bは、ガス源40aからのアルゴンガスの供給及び供給停止を切り替える。流量制御器40cは、例えば、マスフローコントローラであり、ガス源40aからのアルゴンガスの流量を調整する。なお、ガス供給系38,39,40は、プラズマ反応に用いられる処理ガスを処理空間Sへ導入するガス供給機構となる。
 一実施形態においては、プラズマ処理装置PM1は、更に、インジェクタ41を更に備え得る。インジェクタ41は、導管36からのガスを誘電体窓20に形成された貫通孔20hに供給する。誘電体窓20の貫通孔20hに供給されたガスは、処理空間Sに供給される。以下の説明では、導管36、インジェクタ41、及び、貫通孔20hによって構成されるガス供給経路を、「中央ガス導入部」ということがある。
 一実施形態においては、プラズマ処理装置PM1は、ガス供給部42を更に備える。ガス供給部42は、ステージ14と誘電体窓20との間において、軸線Xの周囲からガスを処理空間Sに供給する。以下の説明では、ガス供給部42のことを、「周辺ガス導入部」ということがある。ガス供給部42は、導管42aを含む。導管42aは、誘電体窓20とステージ14との間において軸線Xを中心に環状に延在している。導管42aには、複数のガス供給孔42bが形成されている。複数のガス供給孔42bは、環状に配列され、軸線Xに向けて開口しており、導管42aに供給されたガスを、軸線Xに向けて供給する。このガス供給部42は、導管46を介して、ガス供給系43、ガス供給系44、及びガス供給系45に接続されている。
 ガス供給系43は、ガス供給部42に被処理基板Wを処理するための処理ガスを供給する。ガス供給系43から供給される処理ガスは、ガス供給系38の処理ガスと同様に、フッ素系ガスを含む。この処理ガスは、一実施形態では、エッチングガスであり、例えば、CF4ガス、又は、CH2F2ガスである。ガス供給系43は、ガス源43a、弁43b、及び流量制御器43cを含み得る。ガス源43aは、処理ガスのガス源である。弁43bは、ガス源43aからの処理ガスの供給及び供給停止を切り替える。流量制御器43cは、例えば、マスフローコントローラであり、ガス源43aからの処理ガスの流量を調整する。
 ガス供給系44は、ガス供給系39の処理ガスと同様に、酸素ガスを含む。ガス供給系44は、酸素ガス(O2ガス)を含む処理ガスをガス供給部42に供給する。ガス供給系44は、ガス源44a、弁44b、及び流量制御器44cを含み得る。ガス源44aは、酸素ガスのガス源である。弁44bは、ガス源44aからのガスの供給及び供給停止を切り替える。流量制御器44cは、例えば、マスフローコントローラであり、ガス源44aからのガスの流量を調整する。
 ガス供給系45は、アルゴンガスをガス供給部42に供給する。一実施形態においては、ガス供給系44からの処理ガスに加えて、ガス供給系45からアルゴンガスが供給される。ガス供給系45は、ガス源45a、弁45b、及び流量制御器45cを含み得る。ガス源45aは、アルゴンガスのガス源である。弁45bは、ガス源45aからのアルゴンガスの供給及び供給停止を切り替える。流量制御器45cは、例えば、マスフローコントローラであり、ガス源45aからのアルゴンガスの流量を調整する。なお、ガス供給系43,44,45は、プラズマ反応に用いられる処理ガスを処理空間Sへ導入するガス供給機構となる。
 ステージ14は、軸線X方向において誘電体窓20と対面するように設けられている。このステージ14は、誘電体窓20と当該ステージ14との間に処理空間Sを挟むように設けられている。ステージ14上には、被処理基板Wが載置される。一実施形態においては、ステージ14は、台14a、フォーカスリング14b、及び、静電チャック14cを含む。
 台14aは、筒状支持部48によって支持されている。筒状支持部48は、絶縁性の材料で構成されており、底部12bから垂直上方に延びている。また、筒状支持部48の外周には、導電性の筒状支持部50が設けられている。筒状支持部50は、筒状支持部48の外周に沿って処理容器12の底部12bから垂直上方に延びている。この筒状支持部50と側壁12aとの間には、環状の排気路51が形成されている。
 排気路51の上部には、複数の貫通孔が設けられた環状のバッフル板52が取り付けられている。排気孔12hの下部には排気管54を介して排気装置56が接続されている。排気装置56は、ターボ分子ポンプなどの真空ポンプを有している。排気装置56により、処理容器12内の処理空間Sを所望の真空度まで減圧することができる。
 台14aは、高周波電極を兼ねている。台14aには、給電棒62及びマッチングユニット60を介して、RFバイアス用の高周波電源58が電気的に接続されている。高周波電源58は、被処理基板Wに引き込むイオンのエネルギーを制御するのに適した一定の周波数、例えば、13.65MHzの高周波電力を所定のパワーで出力する。マッチングユニット60は、高周波電源58側のインピーダンスと、主に電極、プラズマ、処理容器12といった負荷側のインピーダンスとの間で整合をとるための整合器を収容している。この整合器の中に自己バイアス生成用のブロッキングコンデンサが含まれている。
 台14aの上面には、静電チャック14cが設けられている。静電チャック14cは、被処理基板Wを静電吸着力で保持する。静電チャック14cの径方向外側には、被処理基板Wの周囲を環状に囲むフォーカスリング14bが設けられている。静電チャック14cは、電極14d、絶縁膜14e、及び、絶縁膜14fを含んでいる。電極14dは、導電膜によって構成されており、絶縁膜14eと絶縁膜14fの間に設けられている。電極14dには、高圧の直流電源64がスイッチ66および被覆線68を介して電気的に接続されている。静電チャック14cは、直流電源64より印加される直流電圧により発生するクーロン力によって、被処理基板Wを吸着保持することができる。
 台14aの内部には、周方向に延びる環状の冷媒室14gが設けられている。この冷媒室14gには、チラーユニット(図示せず)より配管70,72を介して所定の温度の冷媒、例えば、冷却水が循環供給される。冷媒の温度によって静電チャック14cの上面温度が制御される。伝熱ガス、例えば、Heガスがガス供給管74を介して静電チャック14cの上面と被処理基板Wの裏面との間に供給されており、この静電チャック14cの上面温度により被処理基板Wの温度が制御される。なお、制御部10から出力される種々の制御信号は、マイクロ波発生器16、排気装置56、弁38b、流量制御器38c、弁43b、流量制御器43c、弁39b、流量制御器39c、弁40b、流量制御器40c、弁44b、流量制御器44c、弁45b、及び、流量制御器45cへ入力される。
 以下、図4を参照して、インジェクタ41及び誘電体窓20の貫通孔20hについてより詳細に説明する。図4は、一実施形態に係るインジェクタ及び誘電体窓の貫通孔を拡大して示す断面図である。
 図4に示すように、誘電体窓20は、軸線Xに沿って上方から順に収容空間20s、貫通孔20hを画成している。貫通孔20hは、収容空間20sと処理空間Sとを連通させている。この貫通孔20hは、アンテナ18側の開口と処理空間S側の開口との間の一部における面積が、当該一部とアンテナ18側の開口との間の当該貫通孔20hの他の一部における面積より小さくなるように、構成されている。ここで、「面積」とは、軸線Xに直交する面での貫通孔20hの面積である。一例においては、図4に示すように、貫通孔20hは、軸線Xに沿って下方に向かうにつれてその直径が小さくなるテーパー形状を有している。
 収容空間20sには、インジェクタ41、及び、導管36の一端部36bが収容されている。導管36は、導電性を有する金属により構成され得る。導管36は、本体部36a及び一端部36bを含んでいる。本体部36aは、軸線Xに沿って延在する筒形状を有している。一端部36bは、略円板形状を有しており、本体部36aより大きな外径を有している。導管36には、本体部36a及び一端部36bにわたって貫通するガス供給用の内孔が設けられている。この導管36の本体部36aは、内側導体28bの内孔を通っている。
 内側導体28bは、上述したように、スロット板30に接続されている。一実施形態においては、スロット板30の内側縁部は、内側導体28bの下端と金属製の部材80とにより、狭持されている。この部材80は、内側導体28bの下端にねじ82によって固定されている。また、スロット板30の下面には、導管36の一端部36bの上面が接触している。このように、内側導体28b、スロット板30、及び導管36は、電気的に接続されている。
 インジェクタ41は、収容空間20s内において、導管36の一端部36bの下方に収容されている。インジェクタ41は、導電性を有しており、略円板形状を有している。インジェクタ41は、例えば、アルミニウム又はステンレス製である。
 インジェクタ41は、一端部36b側の第1の面41aと貫通孔20h側の第2の面41bとを含んでいる。インジェクタ41には、第1の面41aから第2の面41bまで延在する複数の貫通孔41hが形成されている。一実施形態においては、第2の面41bには、Y2O3の膜が形成されていてもよい。この膜は、Y2O3を第2の面41bにコーティングした後に、コーティングされた膜を電子ビームにより溶融させることによって形成されてもよい。
 インジェクタ41は、ねじ84により導管36の一端部36bに対して固定されており、当該一端部36bに電気的に接続している。したがって、インジェクタ41は、内側導体28b、スロット板30、及び導管36と同電位に設定され得る。インジェクタ41は、例えば、接地電位に設定され得る。
 一実施形態においては、インジェクタ41の第2の面41bと誘電体窓20との間にはOリング86が設けられる。Oリング86は、複数の貫通孔41hの貫通孔20h側の開口を囲むように環状に延在している。このOリング86により、インジェクタ41と誘電体窓20との間における気密が確保される。更に、インジェクタ41の第1の面41aと導管36の一端部36bとの間にはOリング88が設けられる。Oリング88は、複数の貫通孔41hの一端部36b側の開口を囲むように環状に延在している。これにより、インジェクタ41と導管36の一端部36bとの間の気密が確保される。
 このように構成されたプラズマ処理装置PM1では、導管36及びインジェクタ41の貫通孔41hを介して、誘電体窓20の貫通孔20hから処理空間S内に軸線Xに沿ってガスが供給される。また、貫通孔20hよりも下方において、ガス供給部42から軸線Xに向けてガスが供給される。さらに、アンテナ18から誘電体窓20を介して処理空間S及び/又は貫通孔20h内にマイクロ波が導入される。これにより、処理空間S及び/又は貫通孔20hにおいてプラズマが発生する。このように、プラズマ処理装置PM1によれば、磁場を加えずに、プラズマを発生させることができる。
 次に、プラズマ処理装置PM1を用いたプラズマ処理方法について説明する。図5は、一実施形態に係るプラズマ処理方法の概略を示す図である。図5は、被処理基板Wの搬送の流れと、ウォームアッププラズマ処理及びプラズマ処理のシーケンスを示すものである。図5の例は、被処理基板Wが搬入出ポート130からプラズマ処理装置PM1へ搬送され、プラズマ処理装置PM1においてプラズマ処理される場合を例に挙げるが、これには限られない。
 まずは、被処理基板Wの搬送について説明する。図5に示すように、まず、被処理基板Wは搬入出ポート130に設置される。そして、搬入出ポート130に設置された被処理基板Wに対するプラズマ処理開始の指令が発令されると、制御部100は、被処理基板Wの搬送を開始する。制御部100は、被処理基板Wを搬入出ポート130からドア140及び大気搬送室11を介してアライメントモジュール160へ搬送する。アライメントモジュール160は、被処理基板Wの位置合わせ処理を行う。
 続いて、制御部100は、位置合わせ処理が行われた被処理基板Wをアライメントモジュール160からゲートバルブG1を介してロードロック室150へ搬送する。ロードロック室150は、被処理基板Wが搬送された後、真空圧力に減圧される。続いて、制御部100は、真空圧力下のロードロック室150から被処理基板Wを、ゲートバルブG2、真空搬送室13、及びゲートバルブG3を介してプラズマ処理装置PM1へ搬送する。プラズマ処理装置PM1は、搬送された被処理基板Wに対して、例えばエッチング処理等のプラズマ処理を実行する。
 次に、制御部100のウォームアップ処理について説明する。図5に示すように、制御部100は、搬入出ポート130に設置された被処理基板Wに対するプラズマ処理開始の指令に応じて被処理基板Wの搬送を開始したら、ウォームアップ処理を実行する。ウォームアップ処理とは、被処理基板Wが搬送されている間に、ステージ14に被処理基板Wが設置されていない状態(ウェーハレス状態)で、ガス供給機構によって処理ガスをプラズマ処理空間Sへ供給するとともに、プラズマ生成機構によって電磁エネルギーを供給する処理(ウォームアッププラズマ処理)である。
 制御部100は、被処理基板Wがプラズマ処理装置PM1の手前のゲートバルブG3に到着して、プラズマ処理装置PM1に搬送される前に、ウォームアップ処理を終了する。被処理基板Wがプラズマ処理装置PM1に搬送されてステージ14に設置された後、プラズマ処理装置PM1は、例えばエッチング処理などのために、ガス供給機構によって処理ガスをプラズマ処理空間Sへ供給するとともにプラズマ生成機構によって電磁エネルギーを供給する処理(プラズマ処理)を実行する。
 なお、上記の一実施形態では、被処理基板WがゲートバルブG3に到着した後にウォームアップ処理を終了する例を示したが、これには限られない。図6は、一実施形態に係るプラズマ処理方法のフローチャートである。
 図6に示すように、制御部100は、搬入出ポート130に設置された被処理基板Wに対するプラズマ処理開始の指令が発令されたら(S101)、搬入出ポート130に設置された被処理基板Wの搬送を開始する(S102)。続いて、制御部100は、被処理基板Wの搬送が開始されたらウォームアップ処理を開始する(S103)。続いて、制御部100は、誘電体窓20の例えば対向面20aの中央部の温度があらかじめ設定された温度以上になるか、又はあらかじめ設定された時間が経過したか否かを判定する(S104)。
 制御部100は、誘電体窓20の例えば対向面20aの中央部の温度があらかじめ設定された温度以上になるか、又はあらかじめ設定された時間が経過するまで(S104,No)、ウォームアップ処理を継続する。一方、制御部100は、誘電体窓20の例えば対向面20aの中央部の温度があらかじめ設定された温度以上になるか、又はあらかじめ設定された時間が経過したら(S104,Yes)、ウォームアップ処理を終了する(S105)。
 続いて、制御部100は、被処理基板Wがプラズマ処理装置PM1(ステージ14)へ到着したら(S106)、プラズマエッチング等のプラズマ処理を開始する(S107)。
 一実施形態のプラズマ処理装置PM1及びプラズマ処理方法によれば、被処理基板Wに対するプラズマ処理開始の指令に応じて被処理基板Wがプラズマ処理装置PM1まで搬送される間にウォームアップ処理が実行される。したがって、被処理基板Wがファーストロットであったとしても、このファーストロットの被処理基板Wに対するプラズマ処理を実行する際にはプラズマ処理装置PM1の例えば誘電体窓20の温度が所望の温度まで加熱されている。これに加えて、ウォームアップ処理は、被処理基板Wが搬送されている間に実行されるので、スループットに影響を及ぼし難い。その結果、一実施形態のプラズマ処理装置PM1及びプラズマ処理方法によれば、ファーストロットの被処理基板Wのエッチングレートの低下を抑制し、かつ、被処理基板Wのプラズマ処理のスループットの低下を抑制することができる。
 次に、一実施形態に係るプラズマ処理方法による効果について説明する。図7A,図7B,図7Cは、一実施形態に係るプラズマ処理方法による効果を説明するための図である。図7A,図7B,図7Cは、一実施形態に係るプラズマ処理方法の効果を示す図である。
 図7A,図7B,図7Cにおいて、横軸は、プラズマ処理装置PM1へ投入した被処理基板Wの1ロット内の処理順番を示した番号(スロット番号)を示し、縦軸は、エッチングレート(nm/分)、誘電体窓20の時間平均温度(℃)を示している。また、図7Aは、一実施形態に係るプラズマ処理方法を用いずに、被処理基板Wに対してプラズマエッチング処理を実行した場合の、誘電体窓20の温度とエッチングレートとの関係を示すグラフである。一方、図7B,図7Cは、一実施形態に係るプラズマ処理方法を用いて被処理基板Wに対してプラズマエッチング処理を実行した場合の、誘電体窓20の温度とエッチングレートとの関係を示すグラフである。
 まず、図7Aに示すように、一実施形態に係るプラズマ処理方法を用いなかった場合、グラフ174に示すように、スロット番号「1」の被処理基板Wを処理する際には、他のスロット番号の被処理基板Wを処理する場合と比べて、誘電体窓20の温度が低くなった。また、グラフ172に示すように、スロット番号「1」の被処理基板Wに対するエッチングレートは、他のスロット番号の被処理基板Wのエッチングレートと比べて、低くなった。これは、First wafer effectと呼ばれ、スロット番号「1」の被処理基板Wを処理する際に誘電体窓20(及び処理空間S)の温度が低いことに起因して、スロット番号「1」の被処理基板Wのエッチングレートが低くなる現象である。
 これに対して、一実施形態に係るプラズマ処理方法を用いた場合、被処理基板Wに対するプラズマ処理開始の指令に応じて被処理基板Wがプラズマ処理装置PM1まで搬送される間にウォームアップ処理が実行される。その結果、図7Bのグラフ178に示すように、スロット番号「1」の被処理基板Wを処理する際には、他のスロット番号の被処理基板Wを処理する場合と比べて、誘電体窓20の温度が同等又は多少高くなった。このように、一実施形態に係るプラズマ処理方法によれば、スロット番号「1」の被処理基板Wを処理する際の誘電体窓20(及び処理空間S)の温度を高く保つことができる。その結果、グラフ176に示すように、スロット番号「1」の被処理基板Wに対するエッチングレートを、他のスロット番号の被処理基板Wのエッチングレートと比べて、同等に保つことができた。
 また、図7Cは、図7Bの場合よりも、ウォームアップ処理を長時間行った場合の、誘電体窓20の温度とエッチングレートとの関係を示すグラフである。図7Cのグラフ182に示すように、スロット番号「1」の被処理基板Wを処理する際には、他のスロット番号の被処理基板Wを処理する場合と比べて、誘電体窓20の温度が大幅に高くなった。このように、一実施形態に係るプラズマ処理方法によれば、スロット番号「1」の被処理基板Wを処理する際の誘電体窓20(及び処理空間S)の温度を高く保つことができる。その結果、グラフ180に示すように、スロット番号「1」の被処理基板Wに対するエッチングレートを、他のスロット番号の被処理基板Wのエッチングレートと比べて、同等に保つことができた。
 ところで、制御部100は、ウォームアップ処理の際に、ガス供給機構によって酸素ガスを含む処理ガスを処理空間Sへ供給することができる。また、制御部100は、ウォームアップ処理の際に、まずガス供給機構によってフッ素系ガスを含む第1の処理ガスを処理空間Sへ供給した後、酸素ガスを含む第2の処理ガスを処理空間Sへ供給することもできる。この点について、以下説明する。
 図8は、一実施形態に係るプラズマ処理方法における処理ガス種類ごとの誘電体窓の温度の推移を示す図である。図8において横軸は、ウォームアップ処理を開始してからの経過時間(sec)を示し、縦軸は誘電体窓20の温度(℃)を示している。
 また、図8において、グラフ190は、ウォームアップ処理における処理ガスとして、フッ素系ガスであるSF6:150sccmとO2:50sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。また、グラフ191は、ウォームアップ処理における処理ガスとして、SF6:100sccmとO2:100sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。グラフ192は、ウォームアップ処理における処理ガスとして、SF6:50sccmとO2:150sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。
 また、グラフ193は、ウォームアップ処理における処理ガスとして、O2:200sccmを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。グラフ194は、ウォームアップ処理における処理ガスとして、O2:350sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。
 また、グラフ195は、ウォームアップ処理における処理ガスとして、Ar:200sccmを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。グラフ196は、ウォームアップ処理における処理ガスとして、Ar:150sccmとO2:50sccmとを処理空間Sへ供給した場合の誘電体窓20の温度推移を示すものである。
 また、誘電体窓20の温度が150(℃)に達するまでの時間は、SF6:150sccm及びO2:50sccmの処理ガス(グラフ190)の場合、147(sec)であった。また、誘電体窓20の温度が150(℃)に達するまでの時間は、SF6:100sccm及びO2:100sccmの処理ガス(グラフ191)の場合、246(sec)であった。
 また、誘電体窓20の温度が150(℃)に達するまでの時間は、O2:200sccmの処理ガス(グラフ193)の場合、264(sec)であった。また、誘電体窓20の温度が150(℃)に達するまでの時間は、SF6:50sccm及びO2:150sccmの処理ガス(グラフ192)の場合、283(sec)であった。
 また、誘電体窓20の温度が150(℃)に達するまでの時間は、O2:350sccmの処理ガス(グラフ194)の場合、299(sec)であった。また、Ar:200sccmの処理ガス(グラフ195)の場合、Ar:150sccm及びO2:50sccmの処理ガス(グラフ196)の場合、いずれも誘電体窓20の温度は150(℃)に達しなかった。
 これらの結果、及び図8からわかるように、処理ガスとしてArよりもO2を用いたほうが、ウォームアップ処理による誘電体窓20の温度上昇は速くなる。そこで、一実施形態では、ウォームアップ処理用の処理ガスとして、酸素ガスを含む処理ガスを処理空間Sへ供給することができる。
 また、これらの結果、及び図8からわかるように、SF6ガスの濃度が高いほど、ウォームアップ処理による誘電体窓20の温度上昇は速くなる。その一方で、ウォームアップ処理時にSF6ガスを用いてプラズマを発生させた場合、その後のエッチング等のプラズマ処理前に処理容器12内をクリーニングすることが好ましい。そこで、一実施形態では、ウォームアップ処理用の処理ガスとして、まずフッ素系ガスを含む第1の処理ガスを処理空間Sへ供給した後、酸素ガスを含む第2の処理ガスを処理空間Sへ供給することができる。これによれば、ウォームアップ処理において誘電体窓20の温度を迅速に上昇させるとともに、プラズマ処理前に処理容器12内をクリーニングすることができる。
 次に、誘電体窓20の温度計測について説明する。図9は、誘電体窓の温度測定ポイントの一例を示す図である。図10,図11は、放射温度測定器による温度測定の一例を示す図である。
 図9に示すように、誘電体窓20の温度測定ポイントは、誘電体窓20に形成された凹部20cによって設けられた肉薄部20eの内表面のポイント202、及び誘電体窓20の中央部の肉厚部20dの内表面のポイント204が考えられる。
 ポイント202の温度を計測する場合、図10に示すように、放射温度計210を側壁12aの外部に設置する。そして、放射温度計210は、側壁12aに設けられた測定窓212を介して、測定ポイント202から放出される赤外線等の放射エネルギーを計測し、測定ポイント202の表面温度を測定する。
 また、ポイント204の温度を計測する場合、図11に示すように、放射温度計210の設置角度を調整する。そして、放射温度計210は、側壁12aに設けられた測定窓212を介して、測定ポイント204から放出される赤外線等の放射エネルギーを計測し、測定ポイント204の表面温度を測定する。
 次に、ポイント202とポイント204の温度を計測した場合の、誘電体窓20の温度の推移を説明する。図12は、誘電体窓の温度測定ポイントごとの温度の推移を示す図である。図12において横軸は、ウォームアップ処理を開始してからの経過時間(sec)を示し、縦軸は誘電体窓20の温度(℃)を示している。
 また、図12においてグラフ212は、測定ポイント202の温度推移を示しており、グラフ214は、測定ポイント204の温度推移を示すものである。図12に示すように、ウォームアップ処理を開始後、測定ポイント202、つまり誘電体窓20の肉薄部20eの温度が先に急激に上昇し、測定ポイント204、つまり誘電体窓20の肉厚部20dの温度がなだらかに上昇する。
 ここで、例えばファーストロットなど初期のロットの被処理基板Wのエッチングレートが低くなる現象(First wafer effect)は、仮に肉薄部20eが所定の温度(例えば150(℃))に到達していても、誘電体窓20の中央部の肉厚部20dが所定の温度(例えば150(℃))に到達していない場合には発生し得る。言い換えると、肉薄部20eに対応する測定ポイント202の温度を計測し、計測された温度が所定の温度に到達したことによってウォームアップ処理を終了した場合、誘電体窓20の肉厚部20dが十分に加熱されていないことに起因して、First wafer effectが発生するおそれがある。そこで、一実施形態では、放射温度計210を用いて誘電体窓20の肉厚部20dの温度を計測し、制御部100は、放射温度計210によって測定された誘電体窓20の肉厚部20dの温度があらかじめ設定された温度(例えば150(℃))以上になるまで、ウォームアップ処理を実行することが好ましい。
 なお、誘電体窓20の温度の測定方法は、放射温度計210に限られない。例えば、光ファイバ温度計を用いることができる。図13は、光ファイバ温度計による温度測定の一例を示す図である。
 図13に示すように、光ファイバ温度計220は、処理容器12の外部から誘電体窓20の側部の温度を測定するように設置されている。ここで、上述のように、誘電体窓20は、対向面20aの中央部と側部では温度上昇の推移が異なり、First wafer effectを抑制するためには中央部の温度を測定しながらウォームアップ処理を行うのが好ましい。
 しかしながら、例えば事前の実験又はシミュレーションにより、誘電体窓20の中央部と側部との温度の相関を計測することにより、簡易な構成で誘電体窓20の温度計測を行うことができる。温度の相関とは、例えば、誘電体窓20の側部の温度がある温度(例えば250(℃))まで上昇すれば、誘電体窓20の対向面20aの中央部の温度が所望の温度(例えば150(℃))まで上昇したと見なせるといった温度の関係である。
 そこで、制御部100は、光ファイバ温度計220によって測定された誘電体窓20の側部の温度が、光ファイバ温度計220によって測定された誘電体窓20の側部の温度と誘電体窓20の対向面20aの中央部の温度との相関関係に基づいてあらかじめ設定された温度以上になるまで、ウォームアップ処理を実行することが好ましい。
 これによれば、誘電体窓20の対向面20aの中央部の温度を放射温度計210によって計測することなく、例えば光ファイバ温度計220で大気側から誘電体窓20の側部の温度を計測することができるので、温度計測に関する構成を簡易化することができる。
 次に、ロット間ウォームアップ処理について説明する。図14A,図14Bは、ロット間における誘電体窓の温度の推移を示す図である。図14A,図14Bにおいて、横軸は時間経過(sec)を示し、縦軸は、誘電体窓20の温度(℃)及びマイクロ波のパワー(W)を示している。また、図14Aは、ロットとロットの間の時間が比較的長い場合の誘電体窓の温度の推移を示し、図14Bは、ロットとロットの間の時間が比較的短い場合の誘電体窓の温度の推移を示すものである。また、図14Aにおいてグラフ230はマイクロ波のパワーの推移を示し、グラフ232は誘電体窓20の温度の推移を示すものである。図14Bにおいてグラフ240はマイクロ波のパワーの推移を示し、グラフ242は誘電体窓20の温度の推移を示すものである。
 図14Aのグラフ230に示すように、最初のロットでプラズマ処理を実行した後、ロット交換時間234が比較的長い場合、誘電体窓20の温度は大きく低下する。この場合、最初のロット(ファーストロット)における被処理基板Wのエッチングレートの平均が15.8nm/minであったのに対して、ロット交換後のロット(セカンドロット)では、被処理基板Wのエッチングレートの平均が14.6nm/minと大きく低下した。
 一方、図14Bのグラフ240に示すように、最初のロットでプラズマ処理を実行した後、ロット交換時間244が比較的短い場合、誘電体窓20の温度の低下は比較的小さい。この場合、最初のロット(ファーストロット)における被処理基板Wのエッチングレートの平均が15.4nm/minであったのに対して、ロット交換後のロット(セカンドロット)では、被処理基板Wのエッチングレートの平均が15.3nm/minとなった。このように、ロット交換の時間が短く、誘電体窓20の温度低下が比較的小さい場合には、被処理基板Wのエッチングレートの低下は比較的小さい。
 言い換えれば、ロット交換の時間が長い場合には、誘電体窓20の温度低下が比較的大きくなり、ロット交換後の被処理基板Wのエッチングレートが低下するので、ロットとロットの間のウォームアップ処理(ロット間ウォームアップ処理)が有効である。なお、ロット間ウォームアップ処理とは、第1のロットと第2のロットとの間に、ステージ14に被処理基板Wが設置されていない状態(ウェーハレス状態)で、ガス供給機構によって処理ガスを処理空間Sへ供給するとともに、プラズマ生成機構によって電磁エネルギーを供給する処理である。
 図15は、一実施形態に係るロット間ウォームアップ処理のフローチャートである。図14に示すように、制御部100は、第1のロットに対するプラズマ処理が終了したら(S201)、第2のロットに対するプラズマ処理実行前にあらかじめ設定された時間が経過したか否かを判定する(S202)。
 制御部100は、第2のロットに対するプラズマ処理実行前にあらかじめ設定された時間が経過していないと判定したら(S202,No)、第2のロットに対するプラズマ処理実行前に誘電体窓20の温度があらかじめ設定された温度未満になったか否かを判定する(S203)。
 制御部100は、第2のロットに対するプラズマ処理実行前にあらかじめ設定された時間が経過したと判定するか(S202,Yes)、又は第2のロットに対するプラズマ処理実行前に誘電体窓20の温度があらかじめ設定された温度未満になったと判定したら(S203,Yes)、ロット間ウォームアップ処理を開始する(S204)。続いて、制御部100は、ロット間ウォームアップ処理の後、第2のロットに対するプラズマ処理を実行する(S205)。
 このように、第1のロットと第2のロットの間に、あらかじめ設定された時間が経過するか、又は誘電体窓20の温度があらかじめ設定された温度未満になった場合には、ロット間ウォームアップ処理を実行する。したがって、第1のロットと第2のロットの間のロット交換時間に処理容器12の内表面(誘電体窓20)の温度が低下するのを抑制することができるので、ロット交換後の被処理基板Wのエッチングレートが低下するのを抑制することができる。
 以上、本実施形態のプラズマ処理装置PM1によれば、被処理基板Wに対するプラズマ処理開始の指令に応じて被処理基板Wがプラズマ処理装置PM1まで搬送される間にウォームアップ処理が実行される。したがって、被処理基板Wがファーストロットであったとしても、このファーストロットの被処理基板Wに対するプラズマ処理を実行する際にはプラズマ処理装置PM1の例えば誘電体窓20の温度が所望の温度まで加熱されている。これに加えて、ウォームアップ処理は、被処理基板Wが搬送されている間に実行されるので、スループットに影響を及ぼし難い。その結果、一実施形態のプラズマ処理装置PM1及びプラズマ処理方法によれば、ファーストロットの被処理基板Wのエッチングレートの低下を抑制し、かつ、被処理基板Wのプラズマ処理のスループットの低下を抑制することができる。
 また、本実施形態のプラズマ処理装置PM1によれば、ウォームアップ処理の際に、酸素ガスを含む処理ガスを用いるため、迅速に誘電体窓20の温度を上昇させることができる。また、本実施形態のプラズマ処理装置PM1は、ウォームアップ処理の際に、フッ素系ガスを含む第1の処理ガスをプラズマ処理空間へ供給した後、酸素ガスを含む第2の処理ガスをプラズマ処理空間へ供給する。したがって、本実施形態のプラズマ処理装置PM1によれば、第1の処理ガスによって迅速に誘電体窓20の温度を上昇させることができるとともに、第2の処理ガスによって処理容器12をクリーニングすることができる。
 また、本実施形態のプラズマ処理装置PM1は、ロット交換の際に、あらかじめ設定された時間が経過するか、又は誘電体窓20の温度があらかじめ設定された温度未満になった場合には、ロット間ウォームアップ処理を実行する。このロット間ウォームアップ処理によってロット交換の際に誘電体窓20の温度が低下するのを抑制することができるので、ロット交換後の被処理基板Wのエッチングレートが低下するのを抑制することができる。
12 処理容器
14 ステージ
16 マイクロ波発生器
18 アンテナ
20a 対向面
20d 肉厚部
20e 肉薄部
20 誘電体窓
30 スロット板
38,39,40,43,44,45 ガス供給系
100 制御部
120 搬送機構
130 搬入出ポート
150 ロードロック室
160 アライメントモジュール
210 放射温度計
220 光ファイバ温度計
PM1 プラズマ処理装置

Claims (8)

  1.  プラズマ処理空間を画成する処理容器と、
     前記処理容器内に設けられ、被処理基板が設置される試料台と、
     プラズマ反応に用いられる処理ガスを前記プラズマ処理空間へ導入するガス供給機構と、
     前記プラズマ処理空間内に導入された処理ガスをプラズマ化するための電磁エネルギーを供給するプラズマ生成機構と、
     前記処理容器の外部の基板搬入ステージに設置された被処理基板に対するプラズマ処理開始の指令が発行されて該被処理基板が前記基板搬入ステージから前記処理容器内へ搬送されている間に、前記試料台に被処理基板が設置されていない状態で、前記ガス供給機構によって前記処理ガスを前記処理空間へ供給するとともに前記プラズマ生成機構によって前記電磁エネルギーを供給するウォームアップ処理を実行する制御部と、
     を備えたことを特徴とするプラズマ処理装置。
  2.  前記プラズマ生成機構は、
     マイクロ波発生器と、
     前記処理空間に対向する対向面を有する誘電体と、
     前記誘電体の前記対向面の反対側の面上に設けられ、前記マイクロ波発生器によって発生されるマイクロ波に基づいて、前記誘電体を介してプラズマ励起用のマイクロ波を前記処理空間へ放射するアンテナとを有し、
     前記制御部は、前記誘電体の前記対向面の中央部の温度があらかじめ設定された温度以上になるまで、前記ウォームアップ処理を実行する
     ことを特徴とする請求項1に記載のプラズマ処理装置。
  3.  前記処理容器の外部から前記誘電体の前記対向面の中央部の温度を測定する放射温度計を備え、
     前記制御部は、前記放射温度計によって測定された前記誘電体の前記対向面の中央部の温度があらかじめ設定された温度以上になるまで、前記ウォームアップ処理を実行する
     ことを特徴とする請求項2に記載のプラズマ処理装置。
  4.  前記処理容器の外部から前記誘電体の側部の温度を測定する光ファイバ温度計を備え、
     前記制御部は、前記光ファイバ温度計によって測定された前記誘電体の側部の温度が、前記光ファイバ温度計によって測定された前記誘電体の側部の温度と前記誘電体の前記対向面の中央部の温度との相関関係に基づいてあらかじめ設定された温度以上になるまで、前記ウォームアップ処理を実行する
     ことを特徴とする請求項2に記載のプラズマ処理装置。
  5.  前記処理ガスは酸素ガスを含み、
     前記制御部は、前記ガス供給機構によって前記酸素ガスを含む処理ガスを前記処理空間へ供給する
     ことを特徴とする請求項1~4のいずれか1項に記載のプラズマ処理装置。
  6.  前記処理ガスは、フッ素系ガスを含む第1の処理ガスと、酸素ガスを含む第2の処理ガスとを含み、
     前記制御部は、前記ガス供給機構によって前記第1の処理ガスを前記処理空間へ供給した後、前記ガス供給機構によって前記第2の処理ガスを前記処理空間へ供給する
     ことを特徴とする請求項1~4のいずれか1項に記載のプラズマ処理装置。
  7.  前記制御部は、第1のロットにおいて被処理基板に対してプラズマ処理を実行した後、次ロットにおいて被処理基板に対してプラズマ処理を実行する前に、あらかじめ設定された時間が経過するか、又は前記プラズマ処理装置のあらかじめ設定された箇所の温度があらかじめ設定された温度未満になったら、前記試料台に被処理基板が設置されていない状態で前記ガス供給機構によって前記処理ガスを前記処理空間へ供給するとともに前記プラズマ生成機構によって前記電磁エネルギーを供給するロット間ウォームアップ処理を実行する
     ことを特徴とする請求項1~4のいずれか1項に記載のプラズマ処理装置。
  8.  プラズマ処理空間を画成する処理容器と、
     前記処理容器内に設けられ、被処理基板が設置される試料台と、
     プラズマ反応に用いられる処理ガスを前記プラズマ処理空間へ導入するガス供給機構と、
     前記プラズマ処理空間内に導入された処理ガスをプラズマ化するための電磁エネルギーを供給するプラズマ生成機構と、
     を備えたプラズマ処理装置を用いたプラズマ処理方法であって、
     前記処理容器の外部の基板搬入ステージに設置された被処理基板に対するプラズマ処理開始の指令が発行されて該被処理基板が前記基板搬入ステージから前記処理容器内へ搬送されている間に、前記試料台に被処理基板が設置されていない状態で、前記ガス供給機構によって前記処理ガスを前記処理空間へ供給するとともに前記プラズマ生成機構によって前記電磁エネルギーを供給する
    ことを特徴とするプラズマ処理方法。
PCT/JP2013/064114 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法 WO2013176144A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020147029747A KR102102003B1 (ko) 2012-05-25 2013-05-21 플라즈마 처리 장치, 및 플라즈마 처리 방법
US14/396,606 US9984906B2 (en) 2012-05-25 2013-05-21 Plasma processing device and plasma processing method
JP2014516813A JP6014661B2 (ja) 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012-119619 2012-05-25
JP2012119619 2012-05-25

Publications (1)

Publication Number Publication Date
WO2013176144A1 true WO2013176144A1 (ja) 2013-11-28

Family

ID=49623832

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2013/064114 WO2013176144A1 (ja) 2012-05-25 2013-05-21 プラズマ処理装置、及びプラズマ処理方法

Country Status (5)

Country Link
US (1) US9984906B2 (ja)
JP (1) JP6014661B2 (ja)
KR (1) KR102102003B1 (ja)
TW (1) TWI574587B (ja)
WO (1) WO2013176144A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150070036A (ko) * 2013-12-16 2015-06-24 램 리써치 코포레이션 그의 온도를 결정하기 위한 광 섬유를 포함하는 플라즈마 프로세싱 장치 및 이의 컴포넌트
US20160027618A1 (en) * 2014-07-24 2016-01-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
US20190103295A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Fabrication System with Adjustable Gas Injector
JP2020202243A (ja) * 2019-06-07 2020-12-17 株式会社アルバック プラズマ処理装置
JP7480247B2 (ja) 2017-09-20 2024-05-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Families Citing this family (241)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10184183B2 (en) * 2016-06-21 2019-01-22 Applied Materials, Inc. Substrate temperature monitoring
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP2018095901A (ja) * 2016-12-09 2018-06-21 東京エレクトロン株式会社 基板処理装置
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
JP2018116836A (ja) * 2017-01-18 2018-07-26 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102462379B1 (ko) * 2017-09-20 2022-11-03 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP7183090B2 (ja) * 2019-03-20 2022-12-05 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR102614972B1 (ko) * 2020-02-03 2023-12-19 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230163001A1 (en) * 2021-11-23 2023-05-25 Applied Materials, Inc. Method to eliminate first wafer effects on semiconductor process chambers
KR102619965B1 (ko) * 2022-05-16 2024-01-02 세메스 주식회사 기판 처리 장치 및 기판 처리 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172003A (ja) * 1995-09-05 1997-06-30 Applied Materials Inc プラズマ処理における温度制御の方法及び装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3664942A (en) * 1970-12-31 1972-05-23 Ibm End point detection method and apparatus for sputter etching
US6024826A (en) * 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
JP2943691B2 (ja) * 1996-04-25 1999-08-30 日本電気株式会社 プラズマ処理装置
US6030666A (en) * 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
JP4053173B2 (ja) * 1999-03-29 2008-02-27 東京エレクトロン株式会社 マイクロ波プラズマ処理装置及び方法
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
WO2003088342A1 (fr) * 2002-03-29 2003-10-23 Tokyo Electron Limited Procede de fabrication de materiau d'un dispositif electronique
US7569497B2 (en) * 2002-07-30 2009-08-04 Tokyo Electron Limited Method and apparatus for forming insulating layer
JP4136630B2 (ja) * 2002-12-03 2008-08-20 キヤノン株式会社 プラズマ処理装置
JP4563729B2 (ja) * 2003-09-04 2010-10-13 東京エレクトロン株式会社 プラズマ処理装置
JP4361811B2 (ja) * 2004-01-09 2009-11-11 東京エレクトロン株式会社 半導体製造装置
JP4490704B2 (ja) 2004-02-27 2010-06-30 株式会社日立ハイテクノロジーズ プラズマ処理方法
US9157151B2 (en) 2006-06-05 2015-10-13 Applied Materials, Inc. Elimination of first wafer effect for PECVD films
JP4646941B2 (ja) * 2007-03-30 2011-03-09 東京エレクトロン株式会社 基板処理装置及びその処理室内の状態安定化方法
JP5545795B2 (ja) * 2008-02-26 2014-07-09 株式会社日立国際電気 基板処理装置及び半導体製造装置管理方法
WO2010001938A1 (ja) * 2008-07-04 2010-01-07 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法および誘電体窓の温度調節機構
JP2010016225A (ja) * 2008-07-04 2010-01-21 Tokyo Electron Ltd 温度調節機構および温度調節機構を用いた半導体製造装置
JP2010153508A (ja) * 2008-12-24 2010-07-08 Hitachi High-Technologies Corp 試料のエッチング処理方法
JP2010219198A (ja) 2009-03-16 2010-09-30 Hitachi High-Technologies Corp プラズマ処理装置
JP5457109B2 (ja) * 2009-09-02 2014-04-02 東京エレクトロン株式会社 プラズマ処理装置
US8608852B2 (en) * 2010-06-11 2013-12-17 Applied Materials, Inc. Temperature controlled plasma processing chamber component with zone dependent thermal efficiencies
TWI473163B (zh) * 2010-09-15 2015-02-11 Tokyo Electron Ltd A plasma etching processing apparatus, a plasma etching processing method, and a semiconductor device manufacturing method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09172003A (ja) * 1995-09-05 1997-06-30 Applied Materials Inc プラズマ処理における温度制御の方法及び装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150070036A (ko) * 2013-12-16 2015-06-24 램 리써치 코포레이션 그의 온도를 결정하기 위한 광 섬유를 포함하는 플라즈마 프로세싱 장치 및 이의 컴포넌트
KR102378049B1 (ko) 2013-12-16 2022-03-23 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 이의 온도를 결정하기 위한 광 섬유를 포함하는 이의 컴포넌트
US20160027618A1 (en) * 2014-07-24 2016-01-28 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
JP7480247B2 (ja) 2017-09-20 2024-05-09 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US20190103295A1 (en) * 2017-09-29 2019-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated Circuit Fabrication System with Adjustable Gas Injector
US11670490B2 (en) * 2017-09-29 2023-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit fabrication system with adjustable gas injector
JP2020202243A (ja) * 2019-06-07 2020-12-17 株式会社アルバック プラズマ処理装置
JP7267843B2 (ja) 2019-06-07 2023-05-02 株式会社アルバック プラズマ処理装置

Also Published As

Publication number Publication date
US20150064923A1 (en) 2015-03-05
TW201410081A (zh) 2014-03-01
TWI574587B (zh) 2017-03-11
JPWO2013176144A1 (ja) 2016-01-14
JP6014661B2 (ja) 2016-10-25
KR102102003B1 (ko) 2020-04-20
KR20150016491A (ko) 2015-02-12
US9984906B2 (en) 2018-05-29

Similar Documents

Publication Publication Date Title
JP6014661B2 (ja) プラズマ処理装置、及びプラズマ処理方法
US11328904B2 (en) Substrate processing apparatus
US9252001B2 (en) Plasma processing apparatus, plasma processing method and storage medium
TWI802347B (zh) 用於電漿處理中之均勻性控制的漸縮上電極
CN109509694B (zh) 等离子体处理装置和等离子体处理方法
KR102487930B1 (ko) 기판 지지 장치 및 이를 포함하는 플라즈마 처리 장치
KR20140114817A (ko) 플라즈마 처리 장치 및 히터의 온도 제어 방법
US11195696B2 (en) Electron beam generator, plasma processing apparatus having the same and plasma processing method using the same
KR20180054495A (ko) 이중 주파수 표면파 플라즈마 소스
US20190355598A1 (en) Processing apparatus, member, and temperature control method
KR20200051505A (ko) 배치대 및 기판 처리 장치
JP7246451B2 (ja) プラズマ処理装置及びプラズマ処理方法
CN115497801A (zh) 基板处理装置及基板处理方法
CN115398603A (zh) 等离子处理装置以及等离子处理方法
JPWO2006118215A1 (ja) 基板処理装置および半導体デバイスの製造方法
US10593522B2 (en) Electrostatic chuck, placing table and plasma processing apparatus
US20240079208A1 (en) Plasma processing apparatus
US20220037124A1 (en) Plasma processing apparatus and plasma processing method
TW202205348A (zh) 邊緣環及電漿處理裝置

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13793245

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2014516813

Country of ref document: JP

Kind code of ref document: A

ENP Entry into the national phase

Ref document number: 20147029747

Country of ref document: KR

Kind code of ref document: A

WWE Wipo information: entry into national phase

Ref document number: 14396606

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13793245

Country of ref document: EP

Kind code of ref document: A1