JP3314151B2 - プラズマcvd装置及び半導体装置の製造方法 - Google Patents

プラズマcvd装置及び半導体装置の製造方法

Info

Publication number
JP3314151B2
JP3314151B2 JP00048798A JP48798A JP3314151B2 JP 3314151 B2 JP3314151 B2 JP 3314151B2 JP 00048798 A JP00048798 A JP 00048798A JP 48798 A JP48798 A JP 48798A JP 3314151 B2 JP3314151 B2 JP 3314151B2
Authority
JP
Japan
Prior art keywords
substrate
plasma cvd
electrode
plasma
cvd apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP00048798A
Other languages
English (en)
Other versions
JPH11193471A (ja
Inventor
克典 舟木
真 檜山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP00048798A priority Critical patent/JP3314151B2/ja
Priority to US09/219,706 priority patent/US20030205202A1/en
Priority to KR1019990000874A priority patent/KR19990067900A/ko
Priority to KR1019990000108A priority patent/KR19990067742A/ko
Publication of JPH11193471A publication Critical patent/JPH11193471A/ja
Application granted granted Critical
Publication of JP3314151B2 publication Critical patent/JP3314151B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、平行平板型のプラ
ズマCVD(Chemical Vapor Deposition)装置に係わ
り、特に、平行平板電極が水平に配設されたプラズマC
VD装置に関する。
【0002】
【従来の技術】一般に、半導体デバイスや液晶表示デバ
イス等の固体デバイスを製造するためには、この固体デ
バイスの基板(半導体デバイスのウェーハ、液晶表示デ
バイスのガラス基板等)の表面に所定の薄膜を形成する
成膜装置が必要になる。
【0003】この成膜装置としては、化学反応を使って
所定の薄膜を形成するCVD装置がある。このCVD装
置としては、化学反応を促進するための活性化エネルギ
ーとしてプラズマを用いるプラズマCVD装置がある。
【0004】このプラズマCVD装置としては、プラズ
マを生成するための電源として、高周波電源を用いる高
周波放電型のプラズマCVD装置がある。また、このプ
ラズマCVD装置としては、プラズマを生成するための
電極として、平行平板電極を用いる平行平板型のプラズ
マCVD装置がある。この平行平板型のプラズマCVD
装置としては、平行平板電極を水平に配設する水平平行
平板型のプラズマCVD装置がある。
【0005】図15は、プラズマ生成用の電極として水
平平行平板電極を用い、プラズマ生成用の電源として高
周波電源を用いる従来のプラズマCVD装置の構成を示
す側断面図である。
【0006】図示のプラズマCVD装置は、真空容器1
00の内部に2つの平板電極110、120を配設し、
これらの間に高周波電源130から高周波電力を印加す
ることにより反応ガスをプラズマ化し、このプラズマに
よって反応ガスを励起することにより被処理基板Wの上
に所定の薄膜を形成するようになっている。
【0007】このプラズマCVD装置により形成される
所定の薄膜としては、アモルファスシリコン膜(a−S
i膜)がある。このアモルファスシリコン膜を形成する
場合は、反応ガスとして、通常、SiH4ガスとH2ガス
とが用いられる。
【0008】
【発明が解決しようとする課題】しかしながら、上述し
た従来のプラズマCVD装置では、アモルファス−シリ
コン膜を形成する場合、上部電極110の周囲にパウダ
状の反応副生成物が付着する。この反応副生成物は、付
着力が弱いため、パーティクルの原因になるという問題
があった。
【0009】そこで、本発明は、上部電極の周囲に付着
力の弱い反応副生成物が付着することによるパーティク
ルの発生を抑制することができるプラズマCVD装置を
提供することを目的とする。
【0010】
【課題を解決するための手段】上記課題を解決するため
第1の発明のプラズマCVD装置は、上部電極の端部
を下部電極の上面に載置された被処理基板の上面より下
に延在することにより、上部電極の周囲に付着力の弱い
反応副生成物が付着することによるパーティクルの発生
を抑制するようにしたものである。
【0011】すなわち、第1の発明のプラズマCVD装
置は、水平にかつ対向するように配設された上部電極と
下部電極との間に電力を印加することにより成膜用の反
応ガスをプラズマ化し、このプラズマによって反応ガス
を励起することにより、下部電極の上面に載置された被
処理基板の表面に所定の薄膜を形成する装置において、
上部電極の端部が下部電極に載置された被処理基板の上
面より下方に延在されていることを特徴とする。
【0012】この第1の発明のプラズマCVD装置で
は、上部電極の端部が下部電極の上面に載置された被処
理基板の上面より下に延在されているため、被処理基板
の上方に存在する付着力の弱い反応副生成物を減少させ
ることができる。これは、上部電極の放電面には、付着
力の強い薄膜は形成されるが、付着力の弱い反応副生成
物は付着されないからである。これにより、反応副生成
物の落下によるパーティクルの発生が抑制される。その
結果、パーティクルの付着による被処理基板の汚染が抑
制され、歩留まりの向上が図られる。
【0013】第2の発明のプラズマCVD装置は、第1
の発明の装置において、上部電極の端部に絶縁体が設け
られていることを特徴とする。
【0014】この第2の発明のプラズマCVD装置で
は、上部電極の端部に絶縁体が設けられているので、こ
の端部で局所放電が発生することを防止することができ
る。
【0015】第3の発明のプラズマCVD装置は、第2
の発明の装置において、絶縁体の複数の面のうち、成膜
時に反応ガスに接する面が上方を向かないように設定さ
れていることを特徴とする。
【0016】この第3の発明のプラズマCVD装置で
は、絶縁体の接ガス面が上方を向かないように設定され
ているので、成膜時、この接ガス面に付着した反応副生
成物が気流によって上方に舞い上がることを抑制するこ
とができる。これにより、反応副生成物の舞い上がりに
よるパーティクルの発生を抑制することができる。
【0017】第4の発明のプラズマCVD装置は、第2
の発明の装置において、絶縁体の複数の面のうち、成膜
時に反応ガスに接する面が、被処理基板の搬送時に、こ
の被処理基板の搬送路に面しないように設定されている
ことを特徴とする。
【0018】この第4の発明のプラズマCVD装置で
は、絶縁体の接ガス面が、被処理基板の搬送時に、この
被処理基板の搬送路に面しないように設定されているの
で、被処理基板の搬送時、この搬送によって絶縁体付近
に気流が発生したとしても、絶縁体の接ガス面に付着し
た反応副生成物の舞い上がりが抑制される。これによ
り、反応副生成物の舞い上がりによるパーティクルの発
生が抑制される。
【0019】第5の発明のプラズマCVD装置は、第1
の発明の装置において、上部電極の端部の放電面が絶縁
されていることを特徴とする。
【0020】この第5の発明のプラズマCVD装置で
は、上部電極の端部の放電面が絶縁されているので、被
処理基板の周囲での放電を抑制することができる。これ
により、上部電極の端部の延長により上部電極の放電面
の面積が従来より拡大されているにもかかわらず、被処
理基板の上方でのプラズマ密度の低下を防止することが
できる。その結果、被処理基板の上方でのプラズマ処理
効率の低下を防止することができるので、膜厚の分布特
性の悪化を防止することができる。
【0021】また、このような構成によれば、被処理基
板の表面に形成された薄膜中に取り込まれる電子の量が
増大することを防止することができる。これにより、電
子の取込み量の増大による膜応力の増大を防止すること
ができる。その結果、被処理基板の表面に形成された薄
膜が剥がれるのを防止することができる。
【0022】第6の発明のプラズマCVD装置は、上部
電極の端部の放電面がこの上部電極の中心軸を中心にリ
ング状に2つの放電面に分けられ、内側の放電面が絶縁
体により絶縁され、外側の放電面が絶縁加工により絶縁
されていることを特徴とする。
【0023】この第6の発明のプラズマCVD装置で
は、内側の放電面を絶縁する場合、絶縁体で絶縁するよ
うにしたので、絶縁加工により絶縁する場合に比べ、被
処理基板の周囲での放電を抑制する効果を高めることが
できる。
【0024】また、このプラズマCVD装置では、外側
の放電面を絶縁する場合、絶縁加工により絶縁するよう
にしたので、絶縁体により絶縁する場合に比べ、内側の
放電面に設けられた絶縁体に付着力の弱い反応副生成物
が付着しないようにすることができる。また、このよう
な構成では、外側の放電面でも、付着力の弱い反応副生
成物が付着しないようにすることができる。なお、この
場合、外側の放電面では、プラズマ密度が低下するだけ
であるため、付着力の弱い反応副生成物が付着すること
がない。
【0025】第7の発明のプラズマCVD装置は、第1
の発明の装置において、上部電極の端部の放電面が下方
に向かうに従って徐々に拡大するように設定されている
ことを特徴とする。
【0026】この第7の発明のプラズマCVD装置で
は、上部電極の端部の放電面が下方に向かって徐々に拡
大するように設定されているので、この上部電極の端部
を下方に延長しているにもかかわらず、ガスクリーニン
グ時、この端部でクリーニングガスの流れが妨げられる
ことがない。これにより、プラズマを使ったガスクリー
ニングにより上部電極の放電面に形成された膜を除去す
る場合、この除去を容易に行うことができる。
【0027】第8の発明のプラズマCVD装置は、第2
の発明の装置において、絶縁体の複数の面のうち、成膜
時に反応ガスに接する面が上部電極の端部の放電面の延
長面をなすように設定されていることを特徴とする。
【0028】この第8の発明のプラズマCVD装置で
は、絶縁体の接ガス面を上部電極の端部の放電面の延長
面をなすように設定したので、この接ガス面でガスの流
れを妨げないようにすることができる。これにより、成
膜時は、この接ガス面に対する反応副生成物の付着を抑
制することができ、ガスクリーニング時は、この接ガス
面に付着した反応副生成物を効率的にエッチングするこ
とができる。その結果、ガスクリーニングによるクリー
ニング時間を短縮することができる。
【0029】第9の発明のプラズマCVD装置は、第1
の発明の装置において、上部電極の端部が被処理基板の
搬送路より下まで延在され、かつ、この基板搬送路付近
で水平に分割されていることを特徴とする。
【0030】この第9の発明のプラズマCVD装置で
は、上部電極の端部が被処理基板の搬送路より下まで延
在され、かつ、この基板搬送路付近で水平に分割されて
いるので、上部電極の端部が基板搬送路の下まで延在さ
れているにもかかわらず、基板搬送時の真空容器の開口
幅の増大を防止することができる。
【0031】第10の発明のプラズマCVD装置は、
求1の発明の装置において、上部電極が一箇所以上で水
平に分割され、各分割領域ごとに独立に給電されるよう
になっていることを特徴とする。
【0032】この第10の発明のプラズマCVD装置で
は、上部電極が一箇所以上で水平に分割され、各分割領
域ごとに独立に給電されるようになっているので、真空
容器の内部をプラズマを使ってガスクリーングする場
合、クリーニング速度の遅い部分には、大きな電力を供
給することができる。これにより、クリーニング効率を
高めることができる。
【0033】第11の発明のプラズマCVD装置は、
1の発明の装置において、成膜用の真空容器が内槽と外
槽とを備えた2槽構造の容器であり、上部電極と下部電
極とが内槽の中に配設されていることを特徴とする。
【0034】このように、第1の発明のプラズマCVD
装置は、上部電極の端部の構造に特徴を有するものであ
るため、真空容器が1槽構造の装置だけでなく、2槽構
造の装置にも適用することができる。
【0035】
【発明の実施の形態】以下、図面を参照しながら、本発
明に係るプラズマCVD装置の実施の形態を詳細に説明
する。
【0036】[1]第1の実施の形態 [1−1]構成 図1は、本発明に係るプラズマCVD装置の第1の実施
の形態の構成を示す側断面図である。なお、図1には、
本発明を1槽構造の真空容器を有するプラズマCVD装
置に適用した場合を代表として示す。
【0037】図示のプラズマCVD装置は、真空容器2
00を有する。この真空容器200は、例えば、四角形
の箱状に形成されている。また、この真空容器200
は、水平に分割され、上側容器201と下側容器202
とを有する。上側容器201は、予め定めた位置に固定
されている。これに対し、下側容器202は、図示しな
い昇降機構により昇降駆動されるようになっている。
【0038】真空容器200の内部には、平行平板電極
の上部電極210と下部電極220とが配設されてい
る。これらは、互いに対向するように、かつ、水平に配
設されている。上部電極210は、例えば、石英からな
る絶縁体230を介して上側容器201に支持されてい
る。下部電極220は、下側容器202にリング状の支
持板240を介して支持されている。この場合、下部電
極220は、真空容器210の内部を反応室1Aと排気
室2Aとに分割するように配設されている。
【0039】上部電極210は、箱状に形成されてい
る。この箱状の上部電極210の内部は、反応ガスやク
リーニングガス等を分散させるためのガス分散部211
とされている。この上部電極210の天板212には、
反応ガス等をガス分散部211に導入するためのガス導
入部250が接続されている。また、この天板212に
は、反応ガス等を加熱するためのヒータ線260が埋設
されている。上部電極210の底板213には、複数の
ガス分散孔214が形成されている。以下、この底板2
13をガス分散板という。
【0040】下部電極220の上面には、基板載置面2
21が設定されている。この基板載置面221には、成
膜時、処理すべき基板Wが載置される。この基板載置面
221は、真空容器200の分割位置付近に位置決めさ
れている。言い換えれば、基板搬送路付近に設定されて
いる。また、この下部電極220には、成膜時、被処理
基板Wを加熱するためのヒータ線290が埋設されてい
る。
【0041】上記下部電極220の支持板240には、
反応室1Aの雰囲気を排気室2Aに排出するための複数
の排気孔241が形成されている。また、上記真空容器
200の底板には、排気室2Aの雰囲気を排出するため
の排気孔203が形成されている。
【0042】上記ガス導入部250には、直流阻止コン
デンサ270を介して高周波電源280が接続されてい
る。これにより、上部電極210は、直流阻止コンデン
サ270を介して高周波電源280に接続されている。
また、上記真空容器200の下側容器202は、接地さ
れている。これにより、下部電極220は、支持板24
0と下側容器202とを介して接地されている。その結
果、上部電極210と下部電極220との間には、成膜
時、高周波電力が印加される。
【0043】上記ガス分散板213は、下方に向けられ
た椀状に形成されている。この場合、このガス分散板2
13の端部は基板載置面221に載置された被処理基板
Wの上面より下に延在されている。図には、ガス分散板
213の端部を基板載置面221付近まで延在する場合
を示す。また、図には、この端部を基板搬送路(真空容
器200の分割位置付近)の手前まで延長する場合を示
す。
【0044】ガス分散板213の端部の放電面は、下方
に向かうに従って徐々に拡大するように設定されてい
る。この場合、この放電面は、ガス分散板の中心軸を中
心にして、リング状に2つに分割されている。そして、
内側の放電面1aは水平に設定され、外側の放電面2a
は、内側の放電面1aに対して90度より大きな角度を
なすように設定されている。以下、内側の放電面1aを
水平部といい、外側の放電面2aを傾斜部という。下部
電極220の側面222は、傾斜部2aと並行となるよ
うに設定されている。
【0045】ガス分散板213の端部の放電面のうち、
水平部1aにはアルミナ等によって形成されたリング状
の絶縁体300が貼り付けられている。また、傾斜部2
aは、アルミナ容射、アルマイト加工等により絶縁加工
されている。
【0046】ガス分散板213の端部には、アルミナ等
によって形成されたリング状の絶縁体310が設けられ
ている。このリング状の絶縁体310は、例えば、下側
容器に取り付けられている。この絶縁体310のうち、
成膜時に反応ガス等に接する面311は、下部電極22
0の側面222とほぼ平行になるように傾斜されてい
る。以上が第1の実施の形態の構成である。
【0047】[1−2]動作 上記構成において、被処理基板Wの表面に所定の薄膜を
形成する場合の動作とガスクリーニング動作を行う場合
の動作とを説明する。まず、成膜を行う場合の動作を説
明する。
【0048】この場合、まず、図2に示すように、下側
容器202が図示しない昇降機構により下降させられ
る。これにより、真空容器200が開かれる。次に、処
理すべき基板Wが基板搬送装置320により真空容器2
00の内部に搬入され、下部電極220の上面に設定さ
れた基板載置面221に載置される。次に、下側容器2
02が図示しない昇降機構により上昇させられる。これ
により、図1に示すように、真空容器200が閉じられ
る。
【0049】次に、真空容器200の内部が真空排気さ
れる。これにより、真空容器200の内部に含まれる雰
囲気が排気口203を介して排出される。これにより、
真空容器200の内部が予め定めた真空状態に設定され
る。
【0050】真空容器200の内部が予め定めた真空状
態になると、成膜用の反応ガスがガス導入部250を介
してガス分散部211に導入される。ガス分散部211
に導入された反応ガスは、ガス分散板213のガス分散
孔214を介して電極210,220間に分散される。
このとき、真空容器200の内部の真空排気はそのまま
継続される。また、真空容器200の内部の圧力が検出
され、この検出出力に基づいて、真空排気量が制御され
る。これにより、真空容器200の内部の圧力が予め定
めた圧力に設定される。
【0051】真空容器200の内部の圧力が予め定めた
圧力になると、電極210,220間に、高周波電源2
80から高周波電力が印加される。これにより、反応ガ
スがプラズマ化される。そして、このプラズマにより反
応ガスの分子が励起される。これにより、被処理基板W
の表面に所定の薄膜が形成される。この場合、未反応ガ
ス等は、排気孔241と、排気室2Aと、排気口203
とを介して排出される。
【0052】被処理基板Wの表面に所定の薄膜が形成さ
れると、反応ガスの供給が停止される。次に、下側容器
202が、図2に示すように、昇降機構により下降させ
られる。これにより、真空容器200が開かれる。次
に、図2に示すように、被処理基板Wが基板搬送装置3
20により真空容器200の外部に搬出される。このあ
と、次の被処理基板Wに対して上述した処理が実行され
る。以下、同様に、各被処理基板Wごとに上述した処理
が繰り返される。
【0053】以上が成膜を行う場合の動作である。次
に、ガスクリーニングを行う場合の動作を説明する。
【0054】この場合は、下部電極220の基板載置面
221に被処理基板Wを載せない状態で、真空容器20
0の内部が真空排気される。真空容器200の内部が所
定の真空状態になると、ガスクリーニング用のクリーニ
ングガスがガス導入部250を介してガス分散部211
に導入される。ガス分散部211に導入されたクリーニ
ングガスは、ガス分散板213により電極210,22
0間に分散される。このとき、真空容器200の内部の
真空排気はそのまま継続される。また、真空容器200
の内部の圧力が予め定めた圧力になるように、真空排気
量が制御される。
【0055】真空容器200の内部の圧力が予め定めた
圧力になると、電極210,220間に高周波電力が印
加される。これにより、クリーニングガスがプラズマ化
され、このプラズマによりクリーニングガスの分子が励
起される。これにより、ガス分散板213の放電面に形
成された薄膜や絶縁体310の接ガス面311に付着し
た反応副生成物がエッチングされる。エッチングされた
薄膜や反応副生成物は、排気孔241と、排気室2A
と、排気口203とを介して排出される。以上が、ガス
クリーニング動作である。
【0056】[1−3]効果 以上詳述した本実施の形態によれば、次のような効果を
得ることができる。
【0057】(1)まず、本実施の形態によれば、上部
電極210のガス分散板213の端部を下部電極220
の基板載置面221に載置された被処理基板Wの上面よ
り下に延在するようにしたので、被処理基板Wの上面よ
り上方に存在する反応副生成物を減少させることができ
る。これは、ガス分散板213の放電面には、付着力の
強い薄膜は形成されるが、付着力の弱い反応副生成物E
は付着しないからである。
【0058】これにより、反応副生成物の落下によるパ
ーティクルの発生を抑制することができる。その結果、
パーティクルの付着による被処理基板Wの汚染を抑制す
ることができるので、基板Wの歩留まりを向上させるこ
とができる。
【0059】また、パーティクルの付着による被処理基
板Wの汚染を抑制することができることにより、プラズ
マCVD装置のメンテナンスサイクルを延ばすことがで
きる。その結果、スループットを向上させることができ
る。
【0060】(2)また、本実施の形態によれば、ガス
分散板213の端部に絶縁体310を設けるようにした
ので、ガス分散板213の端部を絶縁体230と平行に
延在しているにもかかわらず、この端部での局所放電の
発生を防止することができる。
【0061】(3)また、本実施の形態によれば、絶縁
体310の接ガス面311が水平面と90度より大きな
角度をなすようにしたので、この接ガス面311が上方
を向かないようにすることができる。これにより、成膜
時、この接ガス面311に付着した反応副生成物が気流
によって上方に舞い上がることを抑制することができ
る。その結果、反応副生成物の舞い上がりによるパーテ
ィクルの発生を抑制することができる。
【0062】(4)また、本実施の形態によれば、絶縁
体310を下側容器202に取り付けるようにしたの
で、被処理基板Wの搬送時(真空容器200の内部への
搬入時及び真空容器200の内部からの搬出時)、絶縁
体310の接ガス面311が被処理基板Wの搬送路に面
しないようにすることができる。
【0063】これにより、被処理基板Wの搬送時、この
被処理基板Wの搬送によって絶縁体310付近に気流が
発生したとしても、絶縁体310の接ガス面311に付
着した反応副生成物の舞い上がりを抑制することができ
る。その結果、反応副生成物の舞い上がりによるパーテ
ィクルの発生を抑制することができる。
【0064】(5)また、本実施の形態によれば、ガス
分散板213の端部の放電面を絶縁するようにしたの
で、ガス分散板213の端部を従来より延長しているに
もかかわらず、被処理基板Wの周囲での放電を抑制する
ことができる。これにより、被処理基板Wの上方でのプ
ラズマ密度の低下を防止することができるので、ここで
のプラズマ処理効率の低下を防止することができる。そ
の結果、膜厚分布特性の低下を防止することができる。
【0065】(6)また、本実施の形態によれば、ガス
分散板213の端部の放電面を絶縁するようにしたの
で、ガス分散板213の端部を従来より延長しているに
もかかわらず、放電面の面積の増大を実質的に抑制する
ことができる。これにより、被処理基板Wの表面に形成
された薄膜中に取り込まれる電子の量の増大を抑制する
ことができるので、膜応力の増大を抑制することができ
る。これにより、被処理基板Wから薄膜が剥がれること
を防止することができる。
【0066】(7)また、本実施の形態によれば、ガス
分散板213の端部の放電面の水平部1aを絶縁する場
合、絶縁体300により絶縁するようにしたので、絶縁
加工により絶縁する場合に比べ、被処理基板Wの周囲で
の放電を抑制する効果を高めることができる。
【0067】(8)また、本実施の形態によれば、ガス
分散板213の端部の放電面の傾斜部2aを絶縁する場
合、絶縁加工により絶縁するようにしたので、絶縁体に
より絶縁する場合に比べ、水平部1aの絶縁体300に
付着力の弱い反応副生成物が付着しないようにすること
ができる。また、このような構成によれば、傾斜部2a
にも付着力の弱い反応副生成物が付着しないようにする
ことができる。これは、このような構成によれば、傾斜
部2aで反応副生成物の付着を防止することが可能なプ
ラズマ密度を確保することができるからである。
【0068】(9)また、本実施の形態によれば、ガス
分散板213の端部の放電面を下方に向かうに従って徐
々に拡大するようにしたので、ガス分散板213の端部
を下方に延在しているにもかかわらず、ガスクリーニン
グ時、この端部の放電面でクリーニングガスの流れが妨
げられることを防止することができる。これにより、プ
ラズマを使ったガスクリーニングにより上部電極の放電
面に形成された膜を除去する場合、この除去を容易に行
うことができる。
【0069】(10)また、本実施の形態によれば、絶
縁体310の接ガス面311をガス分散板213の端部
の放電面の傾斜部2aと同じ傾斜面としたので、接ガス
面311を傾斜部2aの延長面をなすようにすることが
できる。これにより、この接ガス面311でガスの流れ
を妨げないようにすることができるので、成膜時は、こ
の接ガス面311に対する反応副生成物の付着を抑制す
ることができ、ガスクリーニング時は、この接ガス面3
11に付着した反応副生成物を効率的にエッチングする
ことができる。その結果、ガスクリーニングによるクリ
ーニング時間を短縮することができる。
【0070】(11)また、本実施の形態によれば、下
部電極220の基板載置面221を基板搬送路付近に設
定するようにしたので、被処理基板Wの搬送時、真空容
器200の開口幅Y(図2参照)を小さくすることがで
きる。
【0071】(12)また、本実施の形態によれば、ヒ
ータ線260,290により反応室1Aの内部を高温に
保つようにしたので、成膜処理により反応室1Aの内部
に形成された堆積物(薄膜や反応副生成物等)からの発
塵を抑制することができる。
【0072】[1−4]実施例 ここで、本実施の形態の一実施例を説明する。下部電極
220の基板載置面221に、被処理基板Wとして、6
50mm×550mmのガラス基板を載置し、反応室1
Aを真空引きしてその真空度を1×10-3Toor以下
に保持し、反応室1Aに成膜用のSiH4ガスとH2ガス
とをそれぞれ200SCCM流し、電極210,220
間に13.56MHz、200Wの高周波電力を印加し
てプラズマを生成し、ガラス基板の表面にアモルファス
シリコン膜を形成する実験をした。
【0073】実験の結果、従来のプラズマCVD装置で
は、ガラス基板に付着したパーティクル数が2000個
/cm2であったのに対し、本実施の形態のプラズマC
VD装置では、ガラス基板に付着したパーティクル数が
100個/cm2に減少した。
【0074】また、従来のプラズマCVD装置では、5
000オングストローム/minの厚さのアモルファス
シリコン膜を生成した後、真空容器内のアモルファスシ
リコン膜や反応副生成物をすべてエッチングするのに1
5分を要していたのに対し、本実施の形態のプラズマC
VD装置では、9分でエッチングすることが可能になっ
た。
【0075】なお、本実施の形態の装置で、ガス分散板
213の端部を絶縁しない場合は、膜応力として、50
0Mpaの応力が発生し、本実施の形態のように絶縁し
た場合は、これをさらに50Mpaまで低減することが
できた。
【0076】また、本実施の形態の装置で、ガス分散板
213の端部を絶縁しない場合は、膜厚の均一性が15
%以上だったのに対し、本実施の形態のように絶縁した
場合は、膜厚の均一性を5%以内に抑えることが可能に
なった。
【0077】[1−5]具体例 [1−5−1]構成 図3は、本実施の形態の具体的構成の一例を示す側断面
図である。なお、図には、本実施の形態を2槽構造の真
空容器を有するプラズマCVD装置に適用した場合を示
す。
【0078】図示のプラズマCVD装置は、2槽構造の
真空容器400が形成されている。この真空容器400
は、外槽の側壁と底板とをなす外槽本体401と、内槽
の側壁と底板とをなす内槽本体402と、外槽と内槽で
共用される天板403とを有する。
【0079】外槽の側壁には、被処理基板Wの搬入口1
1aと搬出口12aとを有する。これらは、それぞれゲ
ート弁410,420で閉塞されている。
【0080】内槽の内部には、上部電極430と下部電
極440とが配設されている。これらは、互いに対向す
るように、かつ、水平に配設されている。上部電極43
0は、例えば、石英からなる絶縁体450を介して天板
403に支持されている。下部電極440は、複数の昇
降ロッド460の上端部に支持されている。この場合、
下部電極440は、内槽の内部を反応室1Aと排気室2
Aとに分割するように配設されている。
【0081】内槽本体402は、水平に分割され、上側
本体21aと下側本体22aとを有する。上側本体21
aは、天板403に支持されている。下側本体22a
は、支持体470を介して下部電極440に支持されて
いる。支持体470は、断面L字状に形成され、垂直部
471と水平部472とを有する。垂直部471は、内
槽の側壁の一部をなす。水平部472は、下部電極44
0に取り付けられている。
【0082】上部電極430は、箱状に形成されてい
る。この箱状の上部電極430の内部は、反応ガスやク
リーニングガス等を分散させるためのガス分散部431
とされている。この上部電極430の天板432には、
反応ガスやクリーニングガス等をガス分散部431に導
入するための管状のガス導入部480が接続されてい
る。また、この天板432には、反応ガスやクリーニン
グガス及び対向する被処理基板W等を加熱するためのヒ
ータ線490が埋設されている。上部電極430の底板
433には、複数のガス分散孔434が形成されてい
る。以下、この底板433をガス分散板という。
【0083】下部電極440は電極本体441と基板載
置台442とを有する。基板載置台442の上面には、
成膜時、被処理基板Wが載置される。この基板載置台4
42の上面は、内槽の分割位置付近に位置決めされてい
る。電極本体441には、成膜時、被処理基板Wを加熱
するためのヒータ線500が埋設されている。
【0084】内槽本体402の下側本体22aの支持体
470の水平部472には、反応室1Aの雰囲気を排気
室2Aに排出するための複数の排気孔31aが形成され
ている。
【0085】内槽本体402の底板には、排気室2Aの
雰囲気を排出するための管状の雰囲気排出部510が設
けられている。また、外槽本体401の底板には、雰囲
気排出部510を介して排出される雰囲気と外槽の内部
の雰囲気とを排出するための管状の雰囲気排出部520
が設けられている。雰囲気排出部510の先端部は、雰
囲気排出部520に挿入されている。
【0086】上記ガス導入部480には、直流阻止コン
デンサ530を介して高周波電源540が接続されてい
る。これにより、上部電極430は、直流阻止コンデン
サ530を介して高周波電源540に接続されている。
また、外槽本体401は、接地されている。これによ
り、下部電極440は、内槽本体402と真空容器40
0とを介して接地されている。その結果、上部電極43
0と下部電極440との間には、成膜時、高周波電力が
印加される。
【0087】また、図示のプラズマCVD装置は、被処
理基板Wの搬入、搬出時、基板Wを支持する複数の支持
ピン550を有する。この複数の支持ピン550は、そ
れぞれ昇降ロッド560の上端部に取り付けられてい
る。また、図示のプラズマCVD装置は、外槽の内部の
圧力を検出するための圧力検出センサ570を有する。
【0088】図4は、図3において、丸Bで囲んだ部分
を拡大して示す側面断面図である。図示のごとく、ガス
分散板433は椀状に形成され、その端部が基板載置台
442に載置された被処理基板Wの上面より下に延在さ
れるようになっている。図には、ガス分散板213の端
部を基板載置台442の上面付近まで延長する場合を示
す。また、図には、この端部を支持体470の垂直部4
71の上端部の手前まで延在する場合を示す。
【0089】ガス分散板433の端部の放電面は、この
ガス分散板433の中心軸を中心としてリング状に2つ
に分割されている。そして、内側の放電面は、水平に設
定され、外側の放電面は、この内側の放電面と90度よ
り大きな角度をなすように設定されている。以下、内側
の放電面を水平部41aといい、外側の放電面を傾斜部
42aという。下部電極440の基板載置台442の側
面51aは、この傾斜部42aと平行となるように傾斜
されている。
【0090】ガス分散板433の端部の放電面のうち、
水平部41aにはアルミナ等によって形成された絶縁体
580が貼り付けられている。また、傾斜部42aは、
アルミナ容射、アルマイト加工等により絶縁加工されて
いる。
【0091】ガス分散板433の端部には、アルミナ等
によって形成された絶縁体590が設けられている。こ
の絶縁体590は、例えば、支持体470に取り付けら
れている。この絶縁体590のうち、成膜時に反応ガス
等に接する面591は、下部電極440の基板載置台4
42の側面51aとほぼ平行になるように傾斜されてい
る。以上が具体例の構成である。
【0092】[1−5−2]動作 上記構成において、被処理基板Wの表面に所定の薄膜を
形成する場合の動作とガスクリーニング動作を行う場合
の動作とを説明する。まず、成膜を行う場合の動作を説
明する。
【0093】この場合は、まず、図5に示すように、ゲ
ート弁410が開かれる。また、この場合、昇降ロッド
460が下降させられる。これにより、下部電極440
が下降させられる。その結果、下側本体22aと支持部
470とが下降し、内槽が開く。また、この場合、昇降
ロッド560が下降させられる。これにより、リフトピ
ン550が下降させられる。但し、この下降量は、下部
電極440の下降量より少し小さくなるように設定され
ている。これにより、リフトピン550の先端部は、図
5に示すように、基板載置台442の上面から少し浮い
た位置に位置決めされる。
【0094】次に、被処理基板Wが図示しない基板搬送
装置により基板搬入口11aを介して真空容器400の
内部に搬入され、リフトピン550の上に載せられる。
次に、ゲート弁410が閉じられる。次に、昇降ロッド
460が上昇させられる。これにより、下部電極440
が上昇させられる。その結果、リフトピン550に載置
されている被処理基板Wが基板載置台442の上面に載
せ換えられる。
【0095】このあと、下部電極440は、さらに、上
昇させられる。これにより、支持体470の垂直部47
1の上面が上側本体21aの下面に当接する。その結
果、内槽が閉じられる。なお、このとき、リフトピン5
50も上昇させられ、図3に示すような状態となる。
【0096】次に、真空容器400の内部が真空排気さ
れる。これにより、内槽の内部の雰囲気が雰囲気排出部
510,520を介して排出される。また、外槽の内部
の雰囲気が雰囲気排出部520を介して排出される。こ
れにより、内槽と外槽の内部が所定の真空度に設定され
る。
【0097】内槽と外槽の内部が所定の真空度に設定さ
れると、成膜用の反応ガスがガス導入部480を介して
ガス分散部431に導入される。ガス分散部431に導
入された反応ガスは、ガス分散板433により、上部電
極430と下部電極440との間に分散される。
【0098】このとき、真空容器400の真空排気はそ
のまま続行される。そして、内槽の内部の圧力が所定の
圧力となるように、この真空排気の排気量が制御され
る。この制御は、外槽の内部の圧力を制御することによ
り、間接的に行われる。外槽の内部の圧力は、圧力検出
センサ570により検出される。
【0099】内槽の内部の圧力が所定の圧力になると、
高周波電源540から上部電極430と下部電極440
との間に高周波電力が印加される。これにより、上部電
極430と下部電極440との間にプラズマが生成され
る。その結果、反応ガスの分子がプラズマにより励起さ
れ、被処理基板Wの表面に所定の薄膜が形成される。
【0100】被処理基板Wの表面に所定の薄膜が形成さ
れると、反応ガスの供給が停止される。次に、昇降ロッ
ド460が下降させられる。これにより、下部電極44
0が下降させられる。その結果、内槽が開かれる。ま
た、被処理基板Wがリフトピン550に載せ換えられ
る。
【0101】このあと、リフトピン550が被処理基板
Wを取り出し可能な位置まで下降させられる。次に、ゲ
ート弁420が開かれる。次に、図示しない基板搬送装
置により、リフトピン550に載置されている被処理基
板Wが基板搬出口12aを介して真空容器400の外部
に搬出される。このあと、次の被処理基板Wに対して、
再び上述した処理が実行される。以下、同様に、各被処
理基板Wごとに上述した処理が繰り返される。
【0102】以上が成膜を行う場合の動作である。次
に、ガスクリーニングを行う場合の動作を説明する。
【0103】この場合は、基板載置台442に被処理基
板Wを載せない状態で、真空容器400の内部が真空排
気される。真空容器400の内部が所定の真空度に設定
されると、ガスクリーニング用のクリーニングガスがガ
ス導入部480を介してガス分散部431に導入され
る。ガス分散部431に導入されたクリーニングガス
は、ガス分散板433により電極430,440間に分
散される。
【0104】このとき、真空容器400の真空排気はそ
のまま継続される。そして、内槽の内部の圧力が所定の
圧力となるように、この真空排気量が制御される。この
制御も、成膜時と同じようにして行われる。
【0105】内槽の内部の圧力が所定の圧力となると、
電極430,440間に高周波電力が印加される。これ
により、クリーニングガスがプラズマ化され、このプラ
ズマによりクリーニングガスの分子が励起される。その
結果、ガス分散板433の放電面に形成された薄膜や絶
縁体590の接ガス面591に付着した反応副生成物が
エッチングされる。エッチングされた薄膜や反応副生成
物は、排気孔31aと、排気室2Aと、雰囲気排出部5
10,520とを介して排出される。以上が、ガスクリ
ーニング動作である。
【0106】なお、上述した例では、プラズマの生成領
域が内槽の内部に限定されるので、真空容器として、1
槽構造の真空容器を使う場合より、プラズマの密度を高
めることができる。これにより、真空容器として、1槽
構造の真空容器を使う場合より、成膜効率やクリーニン
グ効率を高めることができる。
【0107】また、外槽の内部が減圧状態に設定される
ので、内槽の放熱が抑制される。これにより、内槽の内
部がホットウォール状態に保持されるので、成膜時、内
槽の内壁に付着力の弱い反応副生成物が付着することが
抑制される。その結果、パーティクルの発生が抑制され
る。
【0108】[1−5−3]効果 以上詳述した本具体例によれば、次のような効果を得る
ことができる。
【0109】(1)まず、本具体例によれば、上部電極
430のガス分散板433の端部を下部電極440の基
板載置台442の上面に載置された被処理基板Wの上面
より下に延在するようにしたので、被処理基板Wの上面
より上方に存在する反応副生成物を減少させることがで
きる。
【0110】これにより、反応副生成物の落下によるパ
ーティクルの発生を抑制することができるので、パーテ
ィクルの付着による被処理基板Wの汚染を抑制すること
ができる。その結果、基板Wの歩留まりを向上させるこ
とができる。また、プラズマCVD装置のメンテナンス
サイクルを延ばすことができるので、スループットを向
上させることができる。
【0111】(2)また、本具体例によれば、ガス分散
板433の端部に絶縁体590を設けるようにしたの
で、ガス分散板433の端部を絶縁体450と平行に延
長しているかかわらず、この端部での局所放電の発生を
防止することができる。
【0112】(3)また、本具体例によれば、絶縁体5
90の接ガス面591が水平面と90度より大きな角度
をなすようにしたので、この接ガス面591が上方を向
かないようにすることができる。これにより、成膜時、
この接ガス面591に付着した反応副生成物が気流によ
って上方に舞い上がることを抑制することができる。そ
の結果、反応副生成物の舞い上がりによるパーティクル
の発生を抑制することができる。
【0113】(4)また、本具体例によれば、絶縁体5
90を支持体470に取り付けるようにしたので、被処
理基板Wの搬送時、絶縁体590の接ガス面591が被
処理基板Wの搬送路に面しないようにすることができ
る。
【0114】これにより、被処理基板Wの搬送時、この
被処理基板Wの搬送によって絶縁体590付近に気流が
発生したとしても、絶縁体590の接ガス面591に付
着した反応副生成物の舞い上がりを抑制することができ
る。その結果、反応副生成物の舞い上がりによるパーテ
ィクルの発生を低減することができる。
【0115】(5)また、本具体例によれば、ガス分散
板433の端部の放電面を絶縁するようにしたので、ガ
ス分散板433の端部を延長しているにもかかわらず、
被処理基板Wの周囲での放電を抑制することができる。
これにより、被処理基板Wの上方でのプラズマ密度の低
下を防止することができるので、ここでのプラズマ処理
効率の低下を防止することができる。その結果、膜厚分
布特性の低下を防止することができる。
【0116】(6)また、本具体例によれば、ガス分散
板433の端部の放電面を絶縁するようにしたので、ガ
ス分散板433の端部を従来より延長しているにもかか
わらず、放電面の面積の増大を実質的に抑制することが
できる。これにより、被処理基板Wの表面に形成された
薄膜中に取り込まれる電子の量の増大を抑制することが
できるので、膜応力の増大を抑制することができる。そ
の結果、被処理基板Wから薄膜が剥がれることを防止す
ることができる。
【0117】(7)また、本実施の形態によれば、ガス
分散板433の端部の放電面の水平部41aを絶縁する
場合、絶縁体580により絶縁するようにしたので、絶
縁加工により絶縁する場合に比べ、被処理基板Wの周囲
での放電を抑制する効果を高めることができる。
【0118】(8)また、本具体例によれば、ガス分散
板433の端部の放電面の傾斜部42aを絶縁する場
合、絶縁加工により絶縁するようにしたので、絶縁体に
より絶縁する場合に比べ、絶縁体580に付着力の弱い
反応副生成物が付着しないようにすることができる。ま
た、このような構成によれば、傾斜部42aにも付着力
の弱い反応副生成物が付着しないようにすることができ
る。これは、このような構成によれば、傾斜部42aで
反応副生成物の付着を防止することが可能なプラズマ密
度を確保することができるからである。
【0119】(9)また、本実施の形態によれば、ガス
分散板433の端部の放電面を下方に向かうに従って徐
々に拡大するようにしたので、ガス分散板433の端部
を下方に延在させているにもかかわらず、ガスクリーニ
ング時、この端部の放電面でクリーニングガスの流れが
妨げられることを防止することができる。これにより、
プラズマを使ったガスクリーニングにより上部電極の放
電面に形成された膜を除去する場合、この除去を容易に
行うことができる。
【0120】(10)また、本実施の形態によれば、絶
縁体590の接ガス面591をガス分散板433の放電
面の傾斜部2の延長面をなすようにしたので、この接ガ
ス面591でガスの流れを妨げないようにすることがで
きる。これにより、成膜時は、この接ガス面591に対
する反応副生成物の付着を抑制することができ、ガスク
リーニング時は、この接ガス面591に付着した反応副
生成物を効率的にエッチングすることができる。その結
果、ガスクリーニングによるクリーニング時間を短縮す
ることができる。
【0121】(11)また、本具体例によれば、下部電
極440の基板載置台442の上面を基板搬送路付近に
設定するようにしたので、被処理基板Wの搬送時、内槽
の真空容器200の開口幅Y(図5参照)を小さくする
ことができる。
【0122】(12)また、本具体例によれば、ヒータ
線490,500により反応室1Aの内部を高温に保つ
ようにしたので、成膜処理により反応室1Aの内部に形
成された堆積物(薄膜や反応副生成物等)からの発塵を
抑制することができる。
【0123】[2]第2の実施の形態 [2−1]構成 図6は、本発明に係るプラズマCVD装置の第2の実施
の形態の構成を示す側断面図である。なお、図6におい
て、先の図1とほぼ同じ機能を有する部分には、同一符
号を付して詳細な説明を省略する。
【0124】先の第1の実施の形態では、ガス分散板2
13の端部の放電面を一旦水平に延長した後、この水平
部1aに対し、90度より大きな角度を持って延長する
ことにより、下方に向かうに従って徐々に拡大するよう
に設定する場合を説明した。これに対し、本実施の形態
では、図6に示すように、ガス分散板213の端部の放
電面61aを凹状曲面をなすように延長することによ
り、この放電面61aを下方に向かうに従って徐々に拡
大するように設定したものである。
【0125】[2−2]効果 このような構成においても、ガス分散板213の端部の
放電面61aでガスの滞留を防止することができるの
で、成膜時は、この放電面61aに付着する薄膜の量を
低減することができ、ガスクリーニング時は、付着した
薄膜を効率的にエッチングすることができる。
【0126】[2−3]変形例 なお、図6には、ガス分散板213の端部の放電面61
aだけでなく、ガス分散板213の中央部の放電面62
aも凹状曲面をなすように設定する場合を示す。しかし
ながら、本実施の形態では、先の第1の実施の形態と同
様に、ガス分散板213の中央部の放電面62aは、水
平な平面状に形成し、端部の放電面61aだけ凹状曲面
をなすように設定してもよい。
【0127】[2−4]具体例 図7は、本実施の形態の具体的構成の一例を示す側断面
図である。本例は、先の図3に示す例のように、真空容
器として2槽構造の真空容器を有するプラズマCVD装
置に、本実施の形態を適用したものである。なお、図7
において、先の図3とほぼ同じ機能を有する部分には、
同一符号を付して詳細な説明を省略する。
【0128】図7に示すごとく、本例では、ガス分散板
433の端部の放電面71aを凹状曲面をなすように設
定することにより、この放電面71aが下方に向かうに
従って徐々に拡大するようになっている。なお、図7に
は、ガス分散板433の中央部の放電面72aを平面状
に形成する場合を示す。
【0129】[3]第3の実施の形態 図8は、本発明に係るプラズマCVD装置の第3の実施
の形態の要部の構成を示す側断面図である。
【0130】先の実施の形態では、絶縁体310の接ガ
ス面311を水平面と90度より大きな角度をなす1つ
の面で形成する場合を説明した。これに対し、図8
(a)に示す例は、接ガス面311を水平面と90度よ
り大きな角度をなし、かつ、互いに傾きの異なる2つの
面81a,82aの組み合わせにより形成するようにし
たものである。また、図8(b)に示す例は、接ガス面
311を凹状曲面をなす1つの曲面で形成するようにし
たものである。また、図8(c)に示す例は、接ガス面
311を水平な面101aと垂直な面102aとの組み
合わせにより形成するようにしたものである。
【0131】このような構成においても、絶縁体310
の接ガス面311が上方を向かないようにすることがで
きるので、成膜時、接ガス面311に付着している反応
副生成物が気流によって上方に舞い上がることを抑制す
ることができる。これにより、反応副生成物の舞い上が
りによるパーティクルの発生を抑制することができる。
なお、ここでの絶縁体310は、図3の装置の絶縁体5
90とも置き換えられることは勿論である。
【0132】[4]第4の実施の形態 [4−1]構成 図9は、本発明に係るプラズマCVD装置の第4の実施
の形態の構成を示す側断面図である。なお、図9には、
本発明を1槽構造の真空容器を有するプラズマCVD装
置に適用した場合を代表として示す。
【0133】先の実施の形態では、ガス分散板213の
端部を、真空容器200の分割位置の手前まで延長する
場合を説明した。これに対し、本実施の形態は、ガス分
散板の端部を真空容器の分割位置より下まで延長するこ
とにより、この端部より下方に付着した反応副生成物の
舞い上がりによるパーティクルの落下に低減するように
したものである。また、本実施の形態は、ガス分散板の
端部を真空容器の分割位置付近で水平に分割することに
より、ガス分散板の端部を真空容器の分割位置より下ま
で延長したことによる真空容器の開口幅の増大を防止す
るようにしたものである。
【0134】ここで、本実施の形態のプラズマCVD装
置の構成を図9を参照しながら詳細に説明する。図9に
示すプラズマCVD装置の構成は、ガス分散板の構成を
除けば、基本的には、図1に示すプラズマCVD装置の
構成とほぼ同じである。
【0135】すなわち、図9に示すプラズマCVD装置
も、図1に示すプラズマCVD装置と同様に、上側容器
601と下側容器602とを備えた真空容器600を有
する。上側容器601は、予め定めた位置に固定され、
下側容器602は、図示しない昇降機構により昇降駆動
される。
【0136】真空容器600の内部には、平行平板電極
の上部電極610と下部電極620とが配設されてい
る。上部電極610は、絶縁体630を介して真空容器
の上側容器601と下側容器602とに支持されてい
る。下部電極620は、支持板640を介して下側容器
602に支持されている。
【0137】上部電極610の天板612には、ガス導
入部650が接続されている。また、この天板612に
は、ヒータ720が配設されている。このヒータ720
は、ヒータ本体721にヒータ線722を埋設した構造
を有する。上部電極610の底板、すなわち、ガス分散
板613には、複数のガス分散孔614が形成されてい
る。
【0138】下部電極620の上面、すなわち、基板載
置面621は、真空容器600の分割位置付近に位置決
めされている。言い換えれば、基板搬送路付近に位置決
めされている。また、この下部電極620には、ヒータ
線690が埋設されている。下部電極620の支持板6
40には、反応室1Aの雰囲気を排気室2Aに排出する
ための複数の排気孔641が形成されている。また、上
記真空容器600の底板には、排気室2Aの雰囲気を排
出するための排気口603が形成されている。ガス導入
部650には、直流阻止コンデンサ670を介して高周
波電源680が接続され、下側容器602は、接地され
ている。
【0139】ガス分散板613の端部は、真空容器60
0の分割位置より下に延在されている。言い換えれば、
基板搬送路より下に延在されている。図には、下部電極
620の支持体640付近まで延在する場合を示す。こ
のガス分散板613の端部は、真空容器600の分割位
置付近で水平に分割されている。言い換えれば、基板搬
送路付近で水平に分割されている。これにより、ガス分
散板613は、上側ガス分散板1bと下側ガス分散板2
bとを有する。
【0140】また、これに合わせて、絶縁体630も、
真空容器600の分割位置付近で水平に分割されてい
る。これにより、絶縁体630は、上側絶縁体11bと
下側絶縁体12bとを有する。
【0141】上側ガス分散板1bは、ヒータ720とと
もに、上側絶縁体11bを介して上側容器601に支持
されている。これに対し、下側ガス分散板2bは、下側
絶縁体12bを介して下側容器602に支持されてい
る。
【0142】ガス分散板613の中央部の放電面71b
は、例えば、平面状に形成されている。これに対し、端
部の放電面72bは、例えば、凹状曲面をなすように形
成されている。また、これに合わせて、下部電極620
の側面622は、ガス分散板613の端部の放電面72
bとほぼ平行な凸状曲面をなすように形成されている。
【0143】また、ガス分散板613の端部の放電面7
2bは、絶縁体700と絶縁加工との組合せにより絶縁
されている。また、ガス分散板613の端部での局所放
電を防止する絶縁体710は、絶縁体630を延長する
ことにより形成されている。この絶縁体710の接ガス
面711は、ガス分散板613の端部の放電面72bの
延長面をなすように設定されている。以上が第4の実施
の形態の構成である。
【0144】[4−2]効果 以上詳述した本実施の形態によれば、次のような効果を
得ることができる。
【0145】(1)まず、本実施の形態によれば、ガス
分散板613の端部を真空容器600の分割位置より下
に延在するようにしたので、先の実施の形態より、ガス
分散板613の端部より下方に付着した反応副生成物
(例えば、絶縁体710の接ガス面711に付着した反
応副生成物)の舞い上がりによるパーティクルの発生を
抑制することができる。
【0146】(2)また、本実施の形態によれば、ガス
分散板613の端部を真空容器600の分割位置付近で
分割するようにしたので、ガス分散板613の端部を水
平容器600の分割位置より下に延在しているにもかか
わらず、基板搬送時の真空容器600の開口幅の増大を
防止することができる。
【0147】すなわち、ガス分散板613を分割しない
場合は、図10に示すように、真空容器600の開口幅
Yとして、Y1+Y2の幅が必要になる。ここで、Y1
は、絶縁体630のうち、上側容器601からはみ出し
ている部分の長さである。Y2は、このはみ出し部分が
ないとした場合に必要な開口幅である。これに対し、本
実施の形態のように、ガス分散板613を分割する場合
は、図11に示すように、開口幅Yとして、Y2だけで
済み、Y1は不要になる。これにより、本実施の形態で
は、ガス分散板613の端部を水平容器600の分割位
置より下に延在しているにもかかわらず、基板搬送時の
真空容器600の開口幅の増大を防止することができ
る。
【0148】[4−3]具体例 [4−3−1]構成 図12は、本実施の形態の具体的構成の一例を示す側断
面図である。図には、本実施の形態を図3に示す2槽構
造のプラズマCVD装置に適用した場合を代表として示
す。図12において、図3に示すプラズマCVD装置と
ほぼ同じ機能を果たす部分には、同一符号を付して詳細
な説明を省略する。
【0149】図12に示すごとく、本具体例のプラズマ
CVD装置では、ガス分散板433の端部は、内槽の分
割位置(内槽の上側本体21aと支持体470の垂直部
471との境界位置)より下に延在されている。言い換
えれば、基板搬送路より下に延在されている。図には、
支持体470の水平部472付近まで延在する場合を示
す。
【0150】また、このガス分散板433の端部は、内
槽の分割位置付近で水平に分割されている。これによ
り、ガス分散板433は、上側ガス分散板21bと下側
ガス分散板22bとを有する。
【0151】また、これに合わせて、絶縁体430も、
内槽の分割位置付近で水平に分割されている。これによ
り、絶縁体450は、上側絶縁体31bと下側絶縁体3
2bとを有する。
【0152】上側ガス分散板21bは、上側絶縁体31
bを介して内槽の上側本体21aに支持されている。こ
れに対し、下側ガス分散板22bは、下側絶縁体32b
を介して支持体470の垂直部471に支持されてい
る。
【0153】ガス分散板433の端部の局所放電を防止
するための絶縁体590(図3参照)は、下側絶縁体3
2bの端部を水平に延長することにより形成されてい
る。また、ガス分散板433の中央部の放電面81b
は、例えば、平面状に形成されている。これに対し、端
部の放電面82bは、凹状曲面をなすように形成されて
いる。これに合わせて、下部電極440の側面51a
は、ガス分散板443の端部の放電面とほぼ平行な凸状
曲面をなすように形成されている。また、この端部の放
電面82bは、絶縁体と絶縁加工との組合せにより絶縁
されている。以上が本具体例の構成である。
【0154】[4−2]効果 以上詳述した本具体例によれば、次のような効果を得る
ことができる。
【0155】(1)まず、本具体例によれば、ガス分散
板433の端部を内槽の分割位置より下に延在するよう
にしたので、ガス分散板433の端部より下方に付着し
た反応副生成物(例えば、絶縁体590の接ガス面59
1に付着した反応副生成物)の舞い上がりによるパーテ
ィクルの発生を抑制することができる。
【0156】(2)また、本実施の形態によれば、ガス
分散板433の端部を内槽の分割位置付近で分割するよ
うにしたので、ガス分散板433の端部を内槽の分割位
置より下に延在しているにもかかわらず、基板搬送時の
内槽の開口幅の増大を防止することができる。
【0157】[5]第5の実施の形態 [5−1]構成 図13は、本発明に係るプラズマCVD装置の第5の実
施の形態の構成を示す側断面図である。なお、図13に
おいて、先の図11と同一機能を果たす部分には、同一
符号を付して詳細な説明を省略する。
【0158】先の第4の実施の形態では、ガス分散板6
13を給電する場合、1つの高周波電源680で給電す
る場合を説明した。これに対し、本実施の形態は、図1
3に示すように、ガス分散板613を例えば平面部41
bと筒状部42bとに分割し、これらを2つの高周波電
源680,760を用いて独立に給電するようにしたも
のである。
【0159】この場合、ガス分散板613の平面部41
bと筒状部42bとは、絶縁体730によって分離され
ている。この絶縁体730は、例えば、絶縁体630を
変形することにより形成されている。また、この絶縁体
730は、ガス分散板613の端部の放電面に取り付け
られる絶縁体700(図9参照)としても兼用されてい
る。
【0160】筒状部22bの給電端子740は、下側絶
縁体12bと下側容器602とを介して真空容器600
の外部に導出されている。この給電端子740は、例え
ば、筒状部42bを変形することにより形成されてい
る。この給電端子740には、直流阻止コンデンサ75
0を介して高周波電源760が接続されている。この場
合、給電端子740は、絶縁体770を介して下側容器
602と絶縁されている。この絶縁体770は、下側絶
縁体12bを変形することにより形成されている。
【0161】[5−2]効果 以上詳述した本実施の形態によれば、ガス分散板613
を平面部41bと筒状42bとに分け、これらを独立に
給電するようにしたので、これらに異なる電力を供給す
ることができる。これにより、クリーニング時、クリー
ニング速度の遅い筒状部41bに、クリーニング速度の
早い平面部42aより大きな電力を供給することができ
るので、クリーニング効率を向上させることができる。
【0162】[5−3]具体例 [5−3−1]構成 図14は、本実施の形態の具体的構成の一例を示す側断
面図である。図には、本実施の形態を図3に示す2槽構
造のプラズマCVD装置に適用した場合を代表として示
す。図14において、図3に示すプラズマCVD装置と
ほぼ同じ機能を果たす部分には、同一符号を付して詳細
な説明を省略する。
【0163】図14に示すごとく、本具体例のプラズマ
CVD装置では、ガス分散板433が内槽の分割位置付
近で分割されるとともに、平面部51bと筒状部52b
とに分割されている。そして、これら平面部51bと筒
状部52bとは、絶縁体800により分離されている。
この絶縁体800は、上側絶縁体31bを変形すること
により形成されている。また、この絶縁体800は、ガ
ス分散板433の端部の放電面に取り付けられる絶縁体
580(図3参照)としても兼用されている。
【0164】筒状部52bの給電端子810は、絶縁体
430と支持体470の垂直部471とを介して内槽の
外部に導出されている。この給電端子810は、筒状部
52bを変形することにより形成されている。この給電
端子810には、直流阻止コンデンサ820を介して高
周波電源830が接続されている。この場合、給電端子
810は、絶縁体840を介して支持体470の垂直部
471と絶縁されている。この絶縁体840は、下側絶
縁体32bを変形することにより形成されている。
【0165】[5−3−2]効果 以上詳述した本具体例によれば、ガス分散板433を平
面部51bと筒状52bとに分け、これらを独立に給電
するようにしたので、これらに異なる電力を供給するこ
とができる。これにより、クリーニング時、クリーニン
グ速度の遅い筒状部52bに、クリーニング速度の早い
平面部52aより大きな電力を供給することができるの
で、クリーニング効率を向上させることができる。
【0166】[6]その他の実施の形態 以上、本発明の5つの実施の形態を説明したが、本発明
は、上述したような実施の形態に限定されるものではな
い。
【0167】(1)例えば、先の実施の形態によれば、
ガス分散板の端部の放電面を絶縁する場合、この放電面
をガス分散板の中心軸を中心にリング状に2つに分け、
内側の放電面を絶縁体で絶縁し、外側の放電面を絶縁加
工により絶縁する場合を説明した。
【0168】しかしながら、本発明は、内側の放電面を
絶縁加工で絶縁し、外側の放電面を絶縁体で絶縁するよ
うにしてもよい。または、全部を絶縁体もしくは絶縁加
工により絶縁するようにしてもよい。
【0169】(2)また、先の第5実施の形態では、ガ
ス分散板を複数の給電領域に分割する場合、2つの給電
領域に分割する場合を説明した。しかしながら、本発明
は、3つ以上の給電領域に分割し、これらを独立に給電
するようにしてもよい。
【0170】(3)また、先の実施の形態では、本発明
を、プラズマ生成用の電源として、高周波電源を用いる
プラズマCVD装置に適用する場合を説明した。しかし
ながら、本発明は、高周波電源以外の電源、例えば、直
流電源を用いるプラズマCVD装置にも適用することが
できる。
【0171】(4)この他にも、本発明は、その要旨を
逸脱しない範囲で種々様々変形実施可能なことは勿論で
ある。
【0172】
【発明の効果】以上詳述したように第1の発明のプラズ
マCVD装置によれば、上部電極の端部を下部電極の上
面に載置された被処理基板の上面より下に延在するよう
にしたので、被処理基板の上方に存在する付着力の弱い
反応副生成物を減少させることができる。これにより、
反応副生成物の落下によるパーティクルの発生を抑制す
ることができる。その結果、パーティクルの付着による
被処理基板の汚染を抑制することができるので、歩留ま
りの向上を図ることができる。
【0173】また、第2の発明のプラズマCVD装置に
よれば、上部電極の端部に絶縁体を設けるようにしたの
で、この端部で局所放電が発生することを防止すること
ができる。
【0174】また、第3の発明のプラズマCVD装置に
よれば、絶縁体の接ガス面を上方に向かないように設定
したので、成膜時、絶縁体の接ガス面に付着した反応副
生成物が気流によって上方に舞い上がることを抑制する
ことができる。これにより、反応副生成物の舞い上がり
によるパーティクルの発生を抑制することができる。
【0175】また、第4の発明のプラズマCVD装置に
よれば、絶縁体の接ガス面を、被処理基板の搬送時に、
この被処理基板の搬送路に面しないように設定したの
で、被処理基板の搬送時、この搬送によって絶縁体付近
に気流が発生したとしても、絶縁体の接ガス面に付着し
た反応副生成物の舞い上がりを抑制することができる。
これにより、反応副生成物の舞い上がりによるパーティ
クルの発生を抑制することができる。
【0176】また、第5の発明のプラズマCVD装置に
よれば、上部電極の端部の放電面が絶縁されているの
で、被処理基板の周囲での放電を抑制することができ
る。これにより、上部電極の端部の延長により上部電極
の放電面の面積が従来より拡大されているにもかかわら
ず、被処理基板の上方でのプラズマ密度の低下を防止す
ることができる。その結果、被処理基板の上方でのプラ
ズマ処理効率の低下を防止することができるので、膜厚
の分布特性の悪化を防止することができる。
【0177】また、このような構成によれば、被処理基
板の表面に形成された薄膜中に対する電子の取込み量の
増大を防止することができるので、電子の取込み量の増
大による膜応力の増大を防止することができる。これに
より、被処理基板の表面に形成された薄膜が剥がれるの
を防止することができる。
【0178】また、第6の発明のプラズマCVD装置に
よれば、上部電極の端部の放電面を上部電極の中心軸を
中心にしてリング状に2つに分け、内側の放電面を絶縁
する場合、絶縁体で絶縁するようにしたので、絶縁加工
により絶縁する場合に比べ、被処理基板の周囲での放電
を抑制する効果を高めることができる。
【0179】また、このプラズマCVD装置によれば、
外側の放電面を絶縁する場合、絶縁加工により絶縁する
ようにしたので、絶縁体により絶縁する場合に比べ、内
側の放電面に設けられた絶縁体や外側の放電面に付着力
の弱い反応副生成物が付着しないようにすることができ
る。
【0180】また、第7の発明ののプラズマCVD装置
によれば、上部電極の端部の放電面を下方に向かうに従
って徐々に拡大するように設定したので、この上部電極
の端部を下方に延長しているにもかかわらず、ガスクリ
ーニング時、この端部でクリーニングガスの流れが妨げ
られることを防止することができる。これにより、プラ
ズマと使ったガスクリーニングにより上部電極の放電面
に形成された膜を除去する場合、この除去を容易に行う
ことができる。
【0181】また、第8の発明のプラズマCVD装置に
よれば、絶縁体の接ガス面を上部電極の端部の放電面の
延長面をなすように形成したので、この接ガス面でガス
の流れが妨げられることを防止することができる。これ
により、成膜時は、この接ガス面に対する反応副生成物
の付着を抑制することができ、ガスクリーニング時は、
この接ガス面に付着した反応副生成物を効率的にエッチ
ングすることができる。その結果、ガスクリーニングに
よるクリーニング時間を短縮することができる。
【0182】また、求第9の発明のプラズマCVD装置
によれば、上部電極の端部を被処理基板の搬送路より下
に延在する場合、これを基板搬送路付近で水平に分割す
るようにしたので、上部電極の端部が基板搬送路の下に
延在されているにもかかわらず、基板搬送時の真空容器
の開口幅の増大を防止することができる。
【0183】また、第10の発明のプラズマCVD装置
によれば、上部電極を一箇所以上で水平に分割し、各分
割領域ごとに独立に給電するようにしたので、真空容器
の内部をプラズマを使ってガスクリーングする場合、ク
リーニング速度の遅い部分には、大きな電力を供給する
ことができる。これにより、クリーニング効率を高める
ことができる。
【0184】第11の発明のプラズマCVD装置によれ
ば、2槽構造の真空容器を用いる装置において、本発明
の効果を得ることができる。
【図面の簡単な説明】
【図1】本発明に係るプラズマCVD装置の第1の実施
の形態の構成を示す側断面図である。
【図2】第1の実施の形態の動作を説明するための側断
面図である。
【図3】第1の実施の形態の具体的構成の一例を示す側
断面図である。
【図4】第1の実施の形態の具体的構成の一部の構成を
示す側断面図である。
【図5】第1の実施の形態の具体的構成の動作を説明す
るための側断面図である。
【図6】本発明に係るプラズマCVD装置の第2の実施
の形態の構成を示す側断面図である。
【図7】第2の実施の形態の具体的構成の一例を示す側
断面図である。
【図8】本発明に係るプラズマCVD装置の第3の実施
の形態の要部の構成を示す側断面図である。
【図9】本発明に係るプラズマCVD装置の第4の実施
の形態の構成を示す側断面図である。
【図10】第4の実施の形態の効果を説明するための側
断面図である。
【図11】第4の実施の形態の効果を説明するための側
断面図である。
【図12】第4の実施の形態の具体的構成の一例を示す
側断面図である。
【図13】本発明に係るプラズマCVD装置の第5の実
施の形態の構成を示す側断面図である。
【図14】第5の実施の形態の動作を説明するための側
断面図である。
【図15】従来のプラズマCVD装置の構成を示す側断
面図である。
【符号の説明】
200…真空容器、201…上側容器、202…下側容
器、203…排気口、210…上部電極、211…ガス
分散部、212…天板、213…ガス分散板、214…
ガス分散孔、220…下部電極、221…基板載置面、
222…側面、230…絶縁体、240…支持板、24
1…排気孔、250…ガス導入部、260…ヒータ線、
270…直流阻止コンデンサ、280…高周波電源、2
90…ヒータ線、300…絶縁体、310…絶縁体、3
11…接ガス面、1a…水平部、2a…傾斜部、320
…基板搬送装置、1A…反応室、2A…排気室、400
…真空容器、401…外槽本体、402…内槽本体、4
03…天板、410,420…ゲート弁、430…上部
電極、440…下部電極、431…ガス分散部、432
…天板、433…ガス分散板、434…ガス分散孔、4
50…絶縁体、460…昇降ロッド、470…支持体、
471…垂直部、472…水平部、480…ガス導入
部、490,500…ヒータ線、510,520…雰囲
気排出部、530…直流阻止コンデンサ、540…高周
波電源、550…支持ピン、560…昇降ロッド、57
0…圧力検出センサ、580,590…絶縁体、591
…接ガス面、11a…基板搬入口、12a…基板搬出
口、21a…上側本体、22a…下側本体、31a…排
気孔、41a…水平部、42a…傾斜部、51a…側
面、61a,62a,71a,72a…放電面、81
a,82a,91a,101a,102a…面、600
…真空容器、601…上側容器、602…下側容器、6
03…排気口、610…上部電極、611…ガス分散
部、612…天板、613…ガス分散板、614…ガス
分散孔、620…下部電極、621…基板載置面、62
2…側面、630…絶縁体、640…支持板、641…
排気孔、650…ガス導入部、670…直流阻止コンデ
ンサ、680…高周波電源、690…ヒータ線、70
0,710…絶縁体、711…接ガス面、720…ヒー
タ、721…ヒータ本体、722…ヒータ線、1b…上
側ガス分散板、2b…下側ガス分散板、11b…上側絶
縁体、12b…下側絶縁体、21b…上側ガス分散板、
22b…下側ガス分散板、31b…上側絶縁体、32b
…下側絶縁体、730…絶縁体、740…給電端子、7
50…直流阻止コンデンサ、760…高周波電源、77
0…絶縁体、41b…平面部、42b…筒状部、800
…絶縁体、810…給電体、820…直流阻止コンデン
サ、830…高周波電源、840…絶縁体、51b…平
面部、52b…筒状部、71b,72b,81b,82
b…放電面、W…被処理基板。
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) C23C 16/00 - 16/56 H01L 21/205 H01L 21/31

Claims (16)

    (57)【特許請求の範囲】
  1. 【請求項1】水平にかつ対向するように配設された上部
    電極と下部電極との間に電力を印加することにより成膜
    用の反応ガスをプラズマ化し、このプラズマによって前
    記反応ガスを励起することにより、前記下部電極の上面
    に載置された被処理基板の表面に所定の薄膜を形成する
    プラズマCVD装置において、 前記上部電極の端部が前記下部電極の上面より下方に延
    され、前記上部電極には前記電力を印加するための電源が接続
    され ていることを特徴とするプラズマCVD装置。
  2. 【請求項2】水平にかつ対向するように配設された上部
    電極と下部電極との間に電力を印加することにより成膜
    用の反応ガスをプラズマ化し、このプラズマによって前
    記反応ガスを励起することにより、前記下部電極の上面
    に載置された被処理基板の表面に所定の薄膜を形成する
    プラズマCVD装置において、 前記上部電極の端部が前記下部電極の上面に載置された
    前記被処理基板の上面より下方に延在され、 前記上部電極には前記電力を印加するための電源が接続
    され、 前記上部電極が平板状の電極を含むことを特徴とするプ
    ラズマCVD装置。
  3. 【請求項3】成膜用の真空容器を備え、前記上部電極と
    前記下部電極とが前記真空容器の中に配設されているこ
    とを特徴とする請求項1または2に記載のプラズマCV
    D装置。
  4. 【請求項4】水平にかつ対向するように配設された上部
    電極と下部電極との間に電力を印加することにより成膜
    用の反応ガスをプラズマ化し、このプラズマによって前
    記反応ガスを励起することにより、前記下部電極の上面
    に載置された被処理基板の表面に所定の薄膜を形成する
    プラズマCVD装置において、 前記上部電極の端部が前記下部電極の上面に載置された
    前記被処理基板の上面より下方に延在され、 前記上部電極の端部に絶縁体が設けられていることを特
    徴とするプラズマCVD装置。
  5. 【請求項5】前記絶縁体の複数の面のうち、成膜時に前
    記反応ガスと接する面が上方を向かないように設定され
    ていることを特徴とする請求項4記載のプラズマCVD
    装置。
  6. 【請求項6】前記絶縁体の複数の面のうち、成膜時に前
    記反応ガスに接する面が、前記被処理基板の搬送時に、
    この被処理基板の搬送路に面しないように設定されてい
    ることを特徴とする請求項4記載のプラズマCVD装
    置。
  7. 【請求項7】水平にかつ対向するように配設された上部
    電極と下部電極との間に電力を印加することにより成膜
    用の反応ガスをプラズマ化し、このプラズマによって前
    記反応ガスを励起することにより、前記下部電極の上面
    に載置された被処理基板の表面に所定の薄膜を形成する
    プラズマCVD装置において、 前記上部電極の端部が前記下部電極の上面に載置された
    前記被処理基板の上面より下方に延在され、 前記上部電極の端部の放電面が絶縁されていることを特
    徴とするプラズマCVD装置。
  8. 【請求項8】前記上部電極の端部の放電面がこの上部電
    極の中心軸を中心にリング状に2つの放電面に分けら
    れ、内側の放電面が絶縁体により絶縁され、外側の放電
    面が絶縁加工により絶縁されていることを特徴とする請
    求項7記載のプラズマCVD装置。
  9. 【請求項9】前記上部電極の端部の放電面が下方に向か
    うに従って徐々に拡大するように設定されていることを
    特徴とする請求項1ないし3のいずれかに記載のプラズ
    マCVD装置。
  10. 【請求項10】前記絶縁体の複数の面のうち、成膜時に
    前記反応ガスに接する面が、前記上部電極の端部の放電
    面の延長面をなすように設定されていることを特徴とす
    る請求項4記載のプラズマCVD装置。
  11. 【請求項11】前記上部電極の端部が前記被処理基板の
    搬送路より下に延在され、かつ、この基板搬送路付近で
    水平に分割されていることを特徴とする請求項1ないし
    3のいずれかに記載のプラズマCVD装置。
  12. 【請求項12】前記上部電極が一箇所以上で水平に分割
    され、各分割領域ごとに独立に給電されるようになって
    いることを特徴とする請求項1ないし3のいずれかに記
    載のプラズマCVD装置。
  13. 【請求項13】成膜用の真空容器が内槽と外槽とを備え
    た2槽構造の容器であり、前記上部電極と前記下部電極
    とが前記内槽の中に配設されていることを特徴とする請
    求項1ないし3のいずれかに記載のプラズマCVD装
    置。
  14. 【請求項14】水平にかつ対向するように配設された上
    部電極と下部電極との間に電力を印加することにより成
    膜用の反応ガスをプラズマ化し、このプラズマによって
    前記反応ガスを励起することにより、前記下部電極の上
    面に載置された被処理基板の表面に所定の薄膜を形成す
    るプラズマCVD装置において、前記上部電極には前記
    電力を印加するための高周波電源が接続され、前記上部
    電極の端部が前記下部電極の上面より下方に延在されて
    いるプラズマCVD装置を用いて、半導体装置を形成す
    る半導体装置の製造方法。
  15. 【請求項15】水平にかつ対向するように配設された上
    部電極と下部電極との間に電力を印加することにより成
    膜用の反応ガスをプラズマ化し、このプラズマによって
    前記反応ガスを励起することにより、前記下部電極の上
    面に載置された被処理基板の表面に所定の薄膜を形成す
    るプラズマCVD装置において、前記上部電極の端部が
    前記下部電極の上面に載置された前記被処理基板の上面
    より下方に延在され、前 記上部電極には前記電力を印加
    するための電源が接続され、前記上部電極が平板状の電
    極を含むプラズマCVD装置を用いて、半導体装置を形
    成する半導体装置の製造方法。
  16. 【請求項16】前記プラズマCVD装置が成膜用の真空
    容器を備え、前記上部電極と前記下部電極とが前記真空
    容器の中に配設されている請求項14または15に記載
    の半導体装置の製造方法。
JP00048798A 1998-01-05 1998-01-05 プラズマcvd装置及び半導体装置の製造方法 Expired - Lifetime JP3314151B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP00048798A JP3314151B2 (ja) 1998-01-05 1998-01-05 プラズマcvd装置及び半導体装置の製造方法
US09/219,706 US20030205202A1 (en) 1998-01-05 1998-12-23 Plasma cvd device
KR1019990000874A KR19990067900A (ko) 1998-01-05 1999-01-05 플라즈마 화학증착(cvd) 장치
KR1019990000108A KR19990067742A (ko) 1998-01-05 1999-01-06 플라즈마화학증착(cvd)장치

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP00048798A JP3314151B2 (ja) 1998-01-05 1998-01-05 プラズマcvd装置及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH11193471A JPH11193471A (ja) 1999-07-21
JP3314151B2 true JP3314151B2 (ja) 2002-08-12

Family

ID=11475135

Family Applications (1)

Application Number Title Priority Date Filing Date
JP00048798A Expired - Lifetime JP3314151B2 (ja) 1998-01-05 1998-01-05 プラズマcvd装置及び半導体装置の製造方法

Country Status (3)

Country Link
US (1) US20030205202A1 (ja)
JP (1) JP3314151B2 (ja)
KR (2) KR19990067900A (ja)

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
AU2003242104A1 (en) * 2002-06-10 2003-12-22 Tokyo Electron Limited Processing device and processing method
JP4472372B2 (ja) * 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US7645341B2 (en) * 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
JP4961948B2 (ja) * 2006-10-27 2012-06-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法並びに記憶媒体
KR100956352B1 (ko) * 2007-09-06 2010-05-07 세메스 주식회사 탄소나노튜브 제조장치 및 그 방법
JP2009164365A (ja) * 2008-01-08 2009-07-23 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2008115473A (ja) * 2008-02-05 2008-05-22 Canon Anelva Corp シリコン含有膜の製造装置及び製造法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101118477B1 (ko) * 2009-11-26 2012-03-12 주식회사 테스 가스 분산판 및 이를 갖는 공정 챔버
US8895116B2 (en) * 2010-11-04 2014-11-25 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of crystalline semiconductor film and manufacturing method of semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5902896B2 (ja) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 基板処理装置
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) * 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109156074B (zh) * 2016-03-03 2021-12-28 核心技术株式会社 等离子体处理装置及等离子处理用反应容器的结构
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
WO2019021713A1 (ja) * 2017-07-28 2019-01-31 住友電気工業株式会社 シャワーヘッド及びその製造方法
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11598003B2 (en) * 2017-09-12 2023-03-07 Applied Materials, Inc. Substrate processing chamber having heated showerhead assembly
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
WO2019203975A1 (en) * 2018-04-17 2019-10-24 Applied Materials, Inc Heated ceramic faceplate
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
TWI805813B (zh) * 2018-07-27 2023-06-21 美商應用材料股份有限公司 用於熱沉積的氣體分配板
JP7249744B2 (ja) * 2018-08-02 2023-03-31 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07142407A (ja) * 1993-11-12 1995-06-02 Nippon Soken Inc プラズマ発生装置
JP3192370B2 (ja) * 1995-06-08 2001-07-23 東京エレクトロン株式会社 プラズマ処理装置
KR970071945A (ko) * 1996-02-20 1997-11-07 가나이 쯔도무 플라즈마처리방법 및 장치
JP3040073B2 (ja) * 1996-06-18 2000-05-08 株式会社日立製作所 プラズマ処理装置
JPH08321493A (ja) * 1996-06-18 1996-12-03 Hitachi Ltd プラズマ処理装置

Also Published As

Publication number Publication date
KR19990067742A (ko) 1999-08-25
KR19990067900A (ko) 1999-08-25
JPH11193471A (ja) 1999-07-21
US20030205202A1 (en) 2003-11-06

Similar Documents

Publication Publication Date Title
JP3314151B2 (ja) プラズマcvd装置及び半導体装置の製造方法
US6387208B2 (en) Inductive coupling plasma processing apparatus
JP5454467B2 (ja) プラズマエッチング処理装置およびプラズマエッチング処理方法
JP4470970B2 (ja) プラズマ処理装置
KR100408990B1 (ko) 플라즈마 처리장치
KR101656790B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 기록 매체
KR102569911B1 (ko) 포커스 링 및 기판 처리 장치
JP2008251764A (ja) プラズマ処理装置
WO2004082007A1 (ja) 半導体処理用の基板保持構造及びプラズマ処理装置
TW201410081A (zh) 電漿處理裝置、以及電漿處理方法
CN107622945B (zh) 等离子体蚀刻方法、等离子体蚀刻装置和基板载置台
TWI284367B (en) Inductor-coupled plasma processing device
US11289308B2 (en) Apparatus and method for processing substrate and method of manufacturing semiconductor device using the method
JPH08339984A (ja) プラズマ処理装置
KR20160149151A (ko) 플라즈마 처리 방법
US20090194237A1 (en) Plasma processing system
JP2004342984A (ja) 基板保持機構およびプラズマ処理装置
JP2003109946A (ja) プラズマ処理装置
KR102538188B1 (ko) 플라즈마 처리 장치의 세정 방법
US20150096882A1 (en) Plasma processing apparatus and plasma processing method
JP2006253312A (ja) プラズマ処理装置
JP7138293B2 (ja) 基板処理装置
JP2010267708A (ja) 真空処理装置および真空処理方法
US20090314635A1 (en) Plasma processing apparatus, plasma processing method, and organic electron device
TW202133252A (zh) 蝕刻方法、基板處理裝置及基板處理系統

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090531

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100531

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110531

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120531

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130531

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140531

Year of fee payment: 12

EXPY Cancellation because of completion of term