JP2008251764A - プラズマ処理装置 - Google Patents

プラズマ処理装置 Download PDF

Info

Publication number
JP2008251764A
JP2008251764A JP2007090137A JP2007090137A JP2008251764A JP 2008251764 A JP2008251764 A JP 2008251764A JP 2007090137 A JP2007090137 A JP 2007090137A JP 2007090137 A JP2007090137 A JP 2007090137A JP 2008251764 A JP2008251764 A JP 2008251764A
Authority
JP
Japan
Prior art keywords
film
sample
processing
ring
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007090137A
Other languages
English (en)
Other versions
JP4988402B2 (ja
Inventor
Toru Aramaki
徹 荒巻
Ryoji Nishio
良司 西尾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2007090137A priority Critical patent/JP4988402B2/ja
Priority to US11/844,377 priority patent/US20080236751A1/en
Publication of JP2008251764A publication Critical patent/JP2008251764A/ja
Priority to US12/691,855 priority patent/US20100163186A1/en
Application granted granted Critical
Publication of JP4988402B2 publication Critical patent/JP4988402B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Abstract

【課題】
試料表面の付着物を均一に除去して処理の歩留まりを向上させたプラズマ処理装置を提供することにある。
【解決手段】
処理室内に配置された試料台上に載せられたウエハの上面に配置された複数の膜構造を前記試料台内の電極に高周波電力を供給しつつ、前記処理室内で形成したプラズマを用いてエッチング処理するプラズマ処理装置であって、前記試料台の前記試料が載せられる面の外周側の前記電極の上方に配置されたリング状の電極及びこのリング状の電極の上方に配置されて前記プラズマと面する誘電体からなる外周リングと、前記リング状の電極に前記膜構造の膜の種類に応じて異なる値の電力を供給する電源とを備えたプラズマ処理装置。
【選択図】図3

Description

本発明は、半導体ウエハ等の基板状の試料を真空容器内部の処理室内に配置してこの処理室内に形成したプラズマを用いて処理するプラズマ処理装置に関し、特には、減圧された処理室内に配置された試料台の上面に試料を保持しつつこの試料を処理するプラズマ処理装置に関する。
このようなプラズマ処理装置では、試料に処理を施すために処理室内に供給される処理用のガスが、処理室内に供給される電界または磁界により励起されプラズマが形成されて、このプラズマ中の粒子と試料表面の部材との化学または物理作用を伴う相互作用によって試料表面に形成された少なくとも一層の処理対象の膜が、例えばエッチングされる。このような処理に伴って、処理室内部にはプラズマ中の粒子や上記相互作用やプラズマ中の粒子同士の反応によって複数の物質が形成される。このような物質のうちには付着性を有するものが含まれ、このような付着性を有する物質は、試料表面や処理室内側の表面を形成する処理装置を構成する部材表面に付着することが知られている。
こうした付着物は、試料表面の処理を所望の形状に処理するために利用することができる一方で、処理室内部の表面に過度に堆積されてしまうと、その一部が剥がれて試料の加工された表面に付着したり或いは他の箇所に付着した後に別の試料へ付着したりして異物となって処理の歩留まりを低下させてしまう問題があった。このような課題を解決するための技術としては、特開2005−277369号公報(特許文献1)に開示されたものが知られている。
この従来技術では、特に、試料の周縁部裏面表面への付着物を低減するものであって、試料を載置する試料台の試料載置面の外周側に配置されたフォーカスリングの上方において処理中に形成されるシースの厚みを調整するために絶縁性のリングをフォーカスリング下方に配置してフォーカスリング表面の電位を調節させるものが開示されている。このような調節により試料周縁部の上下または周囲近傍の電界分布を調整し、プラズマ中の荷電粒子を試料裏面側に誘引して衝突させることで試料周縁部裏面の付着物を削る電界を作り出すものである。また、特開2006−245510号公報(特許文献2)には、フォーカスリング自体に高周波電力を供給して試料周縁部にバイアス電位を形成するとともにこの電力を調節することで電界を試料周縁部の付着物を除去するよう適切に変化させる技術が開示されている。
特開2005−277369号公報 特開2006−245510号公報
上記特許文献1に開示の従来技術では、処理の条件が変わったときに試料上方に形成されるシースの厚みや等電位面の形状,高さが変化し試料の周縁部の電界が変化したときに同じ厚みの絶縁物ではプロセス変化前の付着物除去能力と同じ能力が発揮できなくなる。このため、試料表面に配置された複数層の膜構造を連続的に処理するものであって、対応する膜に応じて処理の条件を異ならせて処理するものでは、十分に試料周縁部の付着物を取り除くことができないという問題点が有った。また、付着物の除去に伴って試料が削れる可能性がないとはいえず、試料の形状制御性が悪くなることも予想される。
また、特許文献2に開示の従来技術は、フォーカスリングが導電性の部材、例えば、金属で構成された場合にはプラズマ中の粒子との相互作用が発生しやすく消耗が大きいことから一般的に半導体が用いられることが多いが、半導体製の部材に直接高周波電力を印加してた場合、電力の印加部分から遠く離れた箇所ではかかりにくく、リング形状の周方向について、すなわち略円板形状を有する試料の周方向について付着物の除去が不均一になる虞が有った。このような問題点について、これら従来技術は十分に考慮されていなかった。
本発明の目的は、試料表面の付着物を均一に除去して処理の歩留まりを向上させたプラズマ処理装置を提供することにある。また、本発明の別の目的は、試料表面の面方向について処理の均一性を向上させたプラズマ処理装置を提供することにある。本発明のさらに別の目的は、試料への付着物と加工精度を高精度に両立できるプラズマ処理装置を提供することにある。
上記目的は、処理室内に配置された試料台上に載せられたウエハの上面に配置された複数の膜構造を前記試料台内の電極に高周波電力を供給しつつ前記処理室内で形成したプラズマを用いてエッチング処理するプラズマ処理装置であって、前記試料台の前記試料が載せられる面の外周側の前記電極の上方に配置されたリング状の電極及びこのリング状の電極の上方に配置されて前記プラズマと面する誘電体からなる外周リングと、前記リング状の電極に前記膜構造の膜の種類に応じて異なる値の電力を供給する電源とを備えたプラズマ処理装置により達成される。
さらに、前記試料台がその上面に前記試料の載置面を有した凸部を備えた断面凸形状を備え、前記ウエハの処理中に前記凸部の外縁から外周側に位置する前記ウエハの外縁裏面と前記外周リングとの間から前記処理室内に不活性ガスを供給することにより達成される。さらに、前記リング状の電極に前記膜構造の膜の種類に応じて平均値の異なる電力を供給する前記電源を備えたことにより達成される。
さらにまた、前記リング状の電極に少なくとも2つの値の電力を供給し前記膜構造の膜の種類に応じてこれら2つの値の電力の異なる比率を供給する前記電源を備えたことにより達成される。さらにまた、前記膜構造が、上方のフォトレジストマスクと、このレジストマスクの下方に配置されよりエッチング速度の遅い膜と、このエッチング速度の遅い膜の下方に配置されエッチング速度の速い膜とを備えたことにより達成される。
さらにまた、前記膜構造が、上方のフォトレジストと、このフォトレジストの下方に配置され前記フォトレジストをマスクとしてエッチングされる第1の膜と、この第1の膜の下方に配置されこの第1の膜をマスクとしてエッチングされるこの第1の膜よりエッチング速度の大きな膜とを備えたことにより達成される。
さらには、前記エッチング速度の遅い膜を処理する際に前記リング状電極に供給される電力の値が前記エッチング速度の速い膜を処理する際に供給される電力の値より小さいことにより達成される。あるいは、前記エッチング速度の遅い膜を処理する際に前記リング状部材上方の電位と前記ウエハ上方の電位との電位差が前記エッチング速度の速い膜を処理する際の電位差より小さいこと、または、前記第1の膜を処理する際に前記リング状電極に供給される電力の値が前記第2の膜を処理する際に供給される電力の値より小さいことにより達成される。あるいは、前記第1の膜を処理する際に前記リング状部材上方の電位と前記ウエハ上方の電位との電位差が前記第2の膜を処理する際の電位差より小さいことにより達成される。
以下、本発明の実施の形態を図面を用いて詳細に説明する。
以下、本発明の実施例を図1乃至図7を用いて説明する。図1は、本発明の実施例に係る真空処理装置の構成の概略を示す上面図である。図2は、図1に示す実施例に係るプラズマ処理装置の構成の概略を示す縦断面図である。図3は、図2に示す実施例の試料台の試料外周部の構成の概略を示す縦断面図である。図4は、図2に示す実施例の試料台の試料外周部の別の箇所の構成の概略を示す縦断面図である。図5は、図4に示す実施例におけるガスの供給を調節する構成を示す模式図である。図6は、図2に示す実施例の時間の経過に対する試料の処理の動作を示すタイムチャートである。図7は、図2に示す実施例の処理の対象となる試料表面の膜の構成を示す模式図である。
図1において、本実施例に係る真空処理装置10は大きく前後2つのブロックに分けられる。真空処理装置10本体の図上下方である前方側は、クリーンルーム等の装置設置箇所において処理対象の基板状の試料である半導体ウエハを収納した容器が搬送されて来るラインに面した側であり、このラインに沿って複数の真空処理装置10や他の処理装置が並べられて所謂製造ラインが形成される。
真空処理装置10の図上下方側(ライン側)の前方側の部分は、この真空処理装置10に供給されるウエハが大気圧下で減圧されるチャンバへ搬送されて処理室へ供給される大気側ブロック11である。大気側ブロック11の図上上方の真空処理装置10本体の後方側は、大気側ブロック11と連結された処理ブロック12である。
大気側ブロック11は、内部に搬送ロボット(図示せず)を備えた筐体16を有し、この筐体16の前面には、処理用またはクリーニング用のウエハが収納されているカセット19及びダミーウエハ用のカセット18がその上面に載せられるカセット台22複数(本実施例では3個)が取り付けられている。また、筐体16の背面には、処理ブロック12の一部であり大気側ブロック11内部と処理ブロック12内部との間でウエハをやりとりするため内部が変更可能なインターフェースであるロック室27,27′が取り付けられている。
筐体16内の搬送ロボットは、これらのカセット18,19とロック室27,27′との間でウエハを搬入あるいは搬出する作業を行う。また、大気側ブロック11はその筐体16の側方(図上左右方向)の面上に位置合せ部20を備えて、この位置合せ部20内において前記搬送ロボットにより搬送されるウエハをカセット18,19或いはロック室
27,27′内のウエハ配置の姿勢に合わせてその位置合わせを行う。
処理ブロック12は、内部の室が高い真空度にされた状態でウエハが搬送されその上方から見た平面形状が略多角形(本実施例では略5角形)に構成される真空搬送室21とこの真空搬送室21の前方側に配置され大気側ユニット11と真空搬送室21とを連結するロック室27,27′を有する大気搬送ユニット15を備えている。上面が略多角形の真空搬送室21の周囲には、減圧される真空容器の内部にウエハが処理される処理室が配置された処理ユニット13,13′,14,14′とこれらの処理室に及びこの搬送ユニット15と大気側ブロック11とを接続する複数のロック室27,27′とが各辺に連結されて配置されている。これらのユニットは減圧されて高い真空度の圧力に維持可能なユニットであり、処理ブロック12は真空処理用のブロックである。
また、本実施例における処理ブロック12の処理ユニット13,13′は、互いに真空搬送室21後端の上記略五角形の隣接した2つの辺に並列するように配置されている。本実施例では、これらの処理ユニット13,13′はカセット19から処理ブロック12に搬送されるウエハにエッチング処理を行う処理室を備えたエッチング処理ユニットである。
同様に、処理ブロック12の処理ユニット14,14′は、互いに真空搬送室21後端の上記略五角形の側方(図上左右方向)の対向した2つの辺に配置されている。本実施例では、これらの処理ユニット14,14′はカセット19から或いは処理ユニット13,13′から搬送されるウエハに灰化処理を行う処理室を備えたアッシング処理ユニットである。搬送ユニット15は、これらの処理ユニット13,13′,14,14′が着脱可能に取り付けられている。つまり、真空搬送室21は、ロック室23または23′と各処理ユニット13,13′,14,14′との間で減圧状態が維持されてウエハが搬送される空間である。
また、複数のロック室27,27′は、図示しない真空排気装置が接続されて、それぞれがその内部に処理対象の試料であるウエハが載置された状態で、この内部が高度な真空の状態と大気圧との状態とで圧力を維持可能に構成された空間を有して、図上その前後端部に配置された図示しないゲートバルブにより、大気側ブロック11あるいは筐体16および真空搬送室21との間が連通可能に開閉される。本実施例では、これらのロック室
27,27′はそれぞれ同等の機能を有しており、いずれか一方がウエハを大気圧から真空へ(ロード)或いは真空から大気圧へ(アンロード)の圧力変化のいずれかのみを実施するものではないが、求められる仕様により一方を何れかに限定して使用しても良い。
さらに、この処理ブロック12では、上記処理ユニット13,13′のそれぞれが内部を減圧可能でエッチングを行う処理室を有する真空容器23,23′有している。これら真空容器23,23′のそれぞれの下方には、後述の通り、内部に配置された処理室内を減圧するための排気手段がそれらの下方に配置されている。さらに、上記真空容器23,23′およびこれに連結された排気手段をその上方で支持する支持台であるベッド25,25′とこのベッド25,25′上に配置されて、各ベッドと真空容器23,23′との間を連結して真空容器23,23′を支持する複数の支持柱により、各処理ユニット13,13′を真空処理装置10が設置される床面上に固定し保持している。
さらに、これら真空容器23,23′の各々の上方には、後述のように、その内部に配置された処理室にプラズマを形成するための磁場を与える電磁コイルを収納しているコイルケースが配置されている。さらに、コイルケースの上方には、処理室内に電界を供給するための電源および電界が導入される管路である導波管を含む電波源が配置されている。
同様に、処理ユニット14,14′のそれぞれが内部を減圧可能で灰化(アッシング)を行う処理室を有する真空容器24,24′有し、これらのそれぞれの下方には、内部の処理室内を減圧するための排気手段が配置されている。さらに、上記真空容器24,24′および排気手段をその上方で支持する支持台であるベッド26,26′と、このベッド
26,26′と真空容器24,24′との間を連結して支持する複数の支持柱を有して、処理ユニット14,14′を固定し保持している。
さらに、下方のベッド25,25′内には、真空容器23,23′各々の内部に試料を処理するために供給される処理用ガスの供給を調節するガス供給ユニット17,17′が配置されている。同様に、下方のベッド26,26′内にも真空容器24,24′の内部に試料を処理するために供給される処理用ガスの供給を調節するガス供給ユニット(図示せず)が配置されている。
次に、真空処理装置10の処理ブロック12の処理ユニット13または13′を構成するプラズマ処理装置の構成を図2を用いて説明する。この図においては、図1に示す処理ユニット13の構成の概略を示しており、その構成はベッド25と、その上方に配置された真空容器23及びこれの周囲に取り付け或いは配置された装置とに大きく分けられる。ベッド25上方に配置された真空容器23は、その内部に略円筒形状の空間である処理室50を有し、その内部には、被処理物である半導体ウエハ等の基板状の試料110が載置される試料台100を含むステージ51を備えている。
処理ユニット13の下部に配置されたベッド25は、その内部に試料台100の内部に所定の値に温度が調節されて供給される熱交換媒体の供給手段である温度調節器64と、試料台100内に配置された導電製部材から構成される電極に高周波電力を供給して試料110の上面にバイアス電位を形成するための高周波電源61、及び試料110をステージ51の上面の試料載置面を構成する略円形状の誘電体膜を介してこの試料載置面上に静電吸着する電力を供給する直流電源62を備えている。温度調節器64は、試料台100から排出された熱交換媒体を所定の温度に調節した後試料台100内部で略ら旋形状に配置された断面矩形状の通路へ供給する。すなわち、熱交換媒体は試料台100内の冷媒通路を流れつつ熱交換して試料台100及びその上面の試料110の温度を調節した後試料台100から排出されて温度調節器64へ戻る循環経路を通流する。
さらに、ベッド25内には、試料台100の試料載置面の上面と試料110の裏面との間に供給される熱伝達性のガスのガス源63と、さらには、上記のように真空容器23内部の処理室50内に共有される処理用ガスのガス供給ユニット17が配置されている。このように特定の装置を収納する空間を備えたベッド25は略直方体の形状を備え、その平坦な上面には作業者が乗載可能で真空容器23、その内外の装置を取り扱う作業が可能に構成されている。
処理ユニット13の上方に配置された真空容器23の上方及び下方には、各々処理室
50に供給される電界を発生する電波源及び磁場を発生するための手段と処理室50内側を排気して減圧する真空ポンプを有する真空排気装置53が配置されている。処理室50内部において試料台100の試料載置面の上方には、これに対向して処理室50の天井面を構成するように試料110の径よりも大きな径を有した略円形の板であるシャワープレート60が配置されている。シャワープレート60は、試料台100またはこれに載せられる試料110の中心とほぼ同軸の中心の周囲に配置された複数の貫通孔を有し、この貫通孔を通して上記ガス供給ユニット17から共有された処理用ガスが処理室50の天井部に供給される。
シャワープレート60の上方には、これと所定の間隔をあけて配置された誘電体(例えば石英)から構成された略円板形状の窓部材59が配置されており、窓部材59を介して上方からの電界が下方のシャワープレート60を介して処理室50内に透過する。透過した電界は、試料台100とその上方のシャワープレート60との間の空間に導入され上記処理用ガスをプラズマ化するために用いられる。また、真空容器23の窓部材59上方の部分は略円筒形状の空間であり、この空間に上方から導入された電波源からの電界が共振しやすい所定の形状を備えている。
真空容器23の試料台100の下方の部分は、試料台100上方の処理室50内部のプラズマ,反応性ガスや処理に伴って形成された反応生成物等の粒子が流入する空間となっており、流入した上記粒子を処理室50外に排出するための真空排気装置53に連通した開口54が真空容器23の底面に配置されている。開口54と真空容器23の底面に連結して接続された真空排気装置53との間を連通する通路には回転可能な複数の板状のフラップが配置されており、この通路の断面積を回転して可変に調節して真空排気手段53による処理室50内の排気を調節する。
真空容器23の上方には、処理室50内に導入される電界を生成する電波源であるマグネトロン52が配置されており、このマグネトロン52により生成されたマイクロ波は、これに接続された断面略矩形状の導波管57内を略水平方向に伝播した後下方に向きを変えて導かれて、窓部材59上方の共振用の空間へ導入される。この空間において所定の周波数で共振したマイクロ波の電界が窓部材59及びシャワープレート60を介して下方の処理室内に供給される。また、ガス供給ユニット17から供給された処理用ガスは、処理ガス導入口55を介して窓部材59及びシャワープレート60との間の空間に供給され、この空間の全体を充たすように行き渡りシャワープレート60の貫通孔からその下方の処理室50内の試料台100に向かい供給される。
試料台100上方に搬送されて配置された試料110は、直流電源62から供給された電力に応じて発生された静電気力により試料載置面に吸着されて保持された状態で、処理室50内に供給された処理用ガスは、同様に供給されたマイクロ波及び真空容器23の側方または上方に配置されたソレノイドコイル56から処理室50に供給された磁界との相互作用により励起されてプラズマが形成される。このプラズマを用いて試料110の表面に配置された少なくとも一層の処理対象の膜がエッチング処理される。この際、試料台
100内の電極に高周波電極61から供給された高周波電力により試料110上方に所定のバイアス電位が形成され、この電位とプラズマの電位差に応じて、プラズマ中の荷電粒子が試料表面に誘引されて異方性を有するエッチング処理が促進される。このようなエッチング処理に伴って処理室50内に生成物が生起する。
プラズマ及び処理用ガス,生成物等の粒子は、真空容器23内の処理室50の内側壁とステージ51の側壁面との間の通路を通りステージ51下方の空間に移動して、真空排気装置53の動作により開口54から処理室50外に排出される。試料110の処理中は、ガス供給ユニット17の動作による処理用ガスの供給と真空排気装置53の動作による開口54からの排出とが調節されて両者のバランスがとれて処理室50内が所定の圧力に調節される。なお、真空容器23の側壁または底部壁面は接地されている。
開口54は略円形に構成され略円筒形状の試料台100の中心軸とほぼ同心状に配置されており、本実施例では、処理室50及び窓部材59,シャワープレート60,試料台
100及び開口54と真空排気装置53の真空ポンプとが略同心に配置されている。このような構成により、処理の軸周り、試料110の周方向について処理の均一性が向上され処理の歩留まりが向上される。なお、図示していないが、本実施例では、上記処理ユニット13を含む真空処理装置10の各部の動作を調節するため、これら各部の動作を検出するセンサからの信号を通信手段を介し受信して、この受信した信号から各部の状態を検出した結果を基づきこれら各部の動作を指令する信号を通信手段を介して発進してこれらの動作を調節する制御装置を備えている。
上記のようなエッチング処理に伴い形成された生成物は、エネルギーが高く付着性が強いものが含まれており、このような付着性の強い生成物は、処理室50内壁を構成する部材の表面に付着する。付着した生成物は、処理する試料の枚数が増大するにつれて堆積していくため、所定の枚数の試料を処理した後は、真空容器23内部を大気開放して大気圧にして、使用者が処理室50内部の部材を清浄化するクリーニングを行うことが一般的である。上記の付着物は、処理室50の内壁面のみでなく、試料110の表面にも付着することになるが、このような試料110に付着した生成物は、試料の搬送中に剥がれて異物となって試料110を汚染したり、処理室50内に再付着した後に別の試料の処理中にプラズマとの相互作用から再遊離して試料110上面に再付着して汚染してしまう虞がある。
処理に応じて生成された生成物のうち、試料110の上面に付着したものは高周波電力の供給により形成されるバイアス電位に応じてプラズマから誘引されるイオン等の荷電粒子との衝突により取り除かれる。一方、試料110の裏面(下面)のようにプラズマに直接面していない部分に付着したものを取り除くため、荷電粒子等の付着物を除去するものを付着部分に導入する。本実施例では、図3に示すように、試料台100の試料載置面の外周側部分であってステージ51の外周部にフォーカスリング111が配置されている。試料台100は、その上部は断面が凸状に構成され、上方に凸起した部分の上面に試料載置面が配置され、その両側の凹まされた部分に半導体または誘電体から構成された略リング形状のフォーカスリング111が、試料110の外周縁部を囲むように配置される。さらに、試料台100はフォーカスリング111の外周側の試料台110の上面及び側面を覆って内側を保護するため、略リング形状を備えた誘電体製のサセプタリング122が配置されている。
さらに、試料台100とフォーカスリング111との間には、導電体製の略リング状の電極である給電リング112が試料110の外周側を囲むように配置される。給電リング110には、図示しない高周波電源からの高周波電力が供給され、その上面に接して載せられたフォーカスリング111上方にバイアス電位を形成する。
本実施例では、試料台110に供給される高周波電源61からの高周波電力の大きさとフォーカスリング111下方の給電リング112に供給される別の高周波電源からの高周波電力の大きさとを異ならせ、試料110の表面に形成されたバイアス電位により形成されるシース面(等電位面)の高さとフォーカスリング111にかかるバイアスにより形成されるシース面高さを異ならせる。図3に示すように、本実施例では、試料110上方に形成されるシース面(等電位面)の高さがフォーカスリング111上方のシース面の高さより高くされており、試料110の外周縁部において、等電位面が試料110または試料台100の中心側に向かって高く(上方に向かう)、外周側に向かって低くなる(下方に向かう)ように構成されている。シース面に略垂直に誘引される荷電粒子は、試料110の外周縁部では、図に矢印で示すように、下方に向かうと共に試料110の中心側に向かって誘引される。すなわち、試料周縁部には傾斜したシース面が形成され試料110の周縁部近傍では斜めの入射角で試料台100または試料110に導引される荷電粒子によってエッチングが促進される。
本実施例において、試料台100のヘッド部である凸部の試料載置面は略円板形状の試料110径より少しだけ小さく構成されている。このため、試料110を試料台100と中心を合わせてこれに載せた場合には、試料110の外周縁部は少しだけ試料台100の凸部より外側に延在し、所謂、オーバーハングする。さらに、フォーカスリング111の内周縁部の上面は、中心側に向かうにつれて高さが低くなるように構成されている。すなわち、内側に向かって低くなるように傾斜した傾斜部や低くされた段差部111′等を備えており、最内周縁部分であって最も低くされた部分は、試料台100上に配置された状態で試料載置面の上面より低い位置に配置され且つ試料110が試料台100上に載せられた状態で試料110の外周縁部の下方に入り込んでこれに上方を覆われるように構成されている。すなわち、フォーカスリング111の内周縁の径は、略円筒形状の試料台100の凸部の外径よりも広くされると共に試料110の外径よりも小さくされて構成されている。
また、フォーカスリング111は、試料110の載置の際の誤差を考慮して上記傾斜部または段差部111′の表面が試料110の外周縁と隙間を有して配置されているため、傾斜して入射する荷電粒子はこれらの隙間から試料110の周縁部の下側に入り込むことができ、これらの隙間を構成する部材の表面と相互作用することで、試料110の周縁部に付着した付着物の除去が行われる。本実施例では、給電リング112に電力を供給する高周波電源を試料台100内の電極に高周波電力を供給する高周波電源61とは別に配置して、荷電粒子の入射角を適切に調節するためのフォーカスリング111または試料110上方に形成されるバイアスの電位を任意に変えることのできる構成となっている。
フォーカスリング111上方のバイアス電位を任意に変えるには、給電リング112への給電経路上にバリアブルコンデンサ等のインピーダンスの調節手段を配置してフォーカスリング111についてのバイアス負荷を調整できるようにしても良い。任意に変えたバイアス電位をフォーカスリング111上方に周方向に均一に形成することができるように、フォーカスリング111の下方に導電性部材から構成された給電リング112を、フォーカスリング111の周方向の略全周に相似形に配置して、これに給電する。
フォーカスリング111の形状としては、半導体ウエハ端部付近で、試料110上部のシース面よりフォーカスリング111上部のシース面が下がるような形状が望ましい。そのため、試料110の外周縁部が試料台100の凸部よりはみ出した部分の直下方でこれに覆われた部分から試料110外径よりやや広い位置までの範囲に位置するフォーカスリング111の上面の高さは試料台100上面に載せられた試料110表面より低くされるのが好ましい。試料11径よりやや広い範囲としては、試料110外径〜外径+20mmの範囲内の任意の位置が好ましい。
フォーカスリング111上方のシース面の高さを下げるため本実施例では、フォーカスリング111上方にバイアス電位を形成するための高周波電力の大きさを試料110上にバイアス電位を形成するための高周波電力よりもさらに大きくする。また、フォーカスリング111にバイアス電位を形成するタイミングとしては、付着物が付着する最中、あるいは付着物が付着した後のどちらでも良い。
給電リング113は、試料台100の導電製部材で構成された基材101の上部外周側部分に配置された凹み部に配置された上下方向の貫通孔119内に嵌め込まれて配置された給電軸120は、その上部が締結ボルト121がねじ込まれて給電リング112と、絶縁リング113を間に挟んで、連結されている。絶縁リング113は、平面形状が給電リング112とほぼ同一の形状のリング形状の絶縁体製の部材であって、上下方向に貫通した貫通孔内に導体製の給電軸120の上端部が貫入されて給電リング112の底面と接した状態で締結ボルト121により固定されている。給電軸120は、高周波電力の印加による熱負荷により生じる熱膨張によって上方に押し上げられるため、ベローズ等を備えた加熱調整機構114により伸びがおきても常にテンションがかかるような構造としている。また、絶縁リング113は、試料110とは独立してバイアスの制御をするための電位差起因の異常放電を防止するために用いられている。
図4に、本実施例の試料台の試料外周部の別の箇所の構成の概略を示す。この図では、試料台100の凸起部の外周側に配置されたフォーカスリング111の近傍に配置され、試料110周縁部への付着物を低減するために、試料110周縁部とフォーカスリング
111との間の空間に試料110の裏面側から外周側上方へガスを供給する手段を配置している。すなわち、本実施例では、試料台100の凸起部の上部外周側の凹み部に基材を貫通して配置されたガス供給絶縁ボス116を備え、凹み部上表面の複数の箇所に凸起部を囲むように略等間隔に配置された開口から特定のガスを導入して処理室50から試料
110の外周縁部の裏面とフォーカスリング111の段差部111′表面との間の空間に進入してきた付着性の物質を再度処理室50側に排出しようとする構成を備えている。
ここで、フォーカスリング111側に流入する特定のガスが内部を通流する管であるガス供給絶縁ボス116はガスの圧力が相対的に高いため異常放電しやすい部分となっているため、本実施例では管の孔径2mm以下とする。また、ガス供給絶縁ボス116の上端の開口部から供給された特定のガスが、フォーカスリング111の内周縁及び試料110の外周縁の周方向に均一に導入,排出されるように、ガス供給ライン115が配置されている。
ガス供給ライン115は、絶縁リング113の底面であって、ガス供給絶縁ボス116の上端部の開口に対向する箇所を含み絶縁リング113の底部の内周縁に沿ってリング状に配置された凹み部と、絶縁リング113が載せられる試料台100の凹み部の上面及び凸起部の側面とで構成される略リング状に連通した空間である。ガス供給絶縁ボス116内から上記開口を通り流出したガスは、このガス供給ライン115に流入してその内部に行き渡たるとともに、その一部が絶縁リング113と試料台100の凸起部の側壁との間の空間を通り上方のフォーカスリング111方向に移動する。本実施例において、絶縁リング113と試料台100の凸起部の側壁との間の空間は、略円筒形状の凸起部の側壁の周方向のほぼ全周にわたり連なって配置されており、その円の半径方向の隙間の大きさはガス供給ライン115の高さ方向の大きさ(試料台100の外周側の凹み部表面と絶縁リング113の底面との隙間の高さ、後述するφAとφBとの差)より十分に小さくされ、ガス供給ライン115内に導入されたガスがそのリング状の空間内に略均一に分布するように構成されており、ガス供給ライン115が供給されるガスのバッファ空間として、試料110の外周縁部の周方向の全体にわたって均等にガスを行き渡らせる経路の役割を果たしている。
また、本実施例の絶縁リング113は、ガス供給ライン115を構成する凹み部以外の底面は、試料台100外周側の凹み部上面に接して載せられて、凸起部の周囲を囲んで略等間隔の複数箇所に配置された貫通孔内に上方から貫通してはめ込まれた固定ボルト117により下方の試料台100の基材101に押し付けられて連結されている。嵌入された固定ボルト117の外周において異常放電が生起することを抑制するため、絶縁リング113の貫通孔の内外はOリング等のシール手段により封止され、ガスが貫通孔内のフォーカスリング111と固定ボルト117との間の空間に漏れることを抑制している。
また、この固定ボルト117は、ガス供給絶縁ボス116からガス供給ライン115に導入されるガスの圧力により、絶縁リング113及びその上方のパーツが振動しないようガス圧に対抗してこれらの位置を固定する。なお、本実施例のように絶縁ボスと絶縁ボルトの組み合わせで電極とのバイアスの違いによる異常放電を防止しても良いし、絶縁ボルト,錘,接着等を用いても良い。
また、本実施例において、ΦA,φBの寸法は、試料110とフォーカスリング111の上面との間の空間に入り込んで試料110の外周縁部の裏面へ付着しようとする処理室50内の物質の流速に対してこれを上回る流速を上記の空間を流れるガスに与える上で重要なものとなる。試料110にエッチング処理を施す場合、付着物の構成はそのときの処理の条件やプラズマの状態等に応じて変化するものの、付着物はC,Fを元素として組成され、分子量が相対的に大きなものが多い。このような付着物を除去するには、付着物の分子量と付着速度の積を付着能力と定義すると、その付着能力より大きな分子量と流量速度との積となるガスの供給が必要となる。
さらに、これらを除去中は、処理室50内において形成され試料表面を処理するための粒子が生成されるプラズマに与える影響を低減することが求められる。このため、本実施例では、ガス供給絶縁ボス116から供給されるガスはAr,Xe等の不活性ガスを用いている。一方、メカ部品の耐圧と処理室50内の排気による圧力に大きな影響を与えないように供給量が選択される。
ガスの種類としては、He,Ar,Xe等の不活性ガスが好ましい。熱伝達性を有するガスとして用いられるHeを用いることもできるが、プラズマ中の物質の付着能力より大きなガスによる除去能力になるように分子量が大きいものが望ましく、付着物の種として最低単位と想定するCF以上の分子量を持つAr以上の分子量が好ましい。あるいはO2 でも良い。また、ガスの流量は、処理室50内の試料110上方の空間にプラズマを形成するための供給流量以下にされている。ここで、ΦAは、試料110の外径以下、φBはφA以上であって試料110外径以下の範囲の値にされることが、プラズマによる部品の削れ防止のための保護という点で好ましい。さらには、φAは試料110外径以下、φBはφ(A+0.01)mm乃至φ(A+10)mmの範囲が好ましい。
図5に、ガス供給絶縁ボス116へガスを導入する経路の構成の概略を示す。本実施例では、制御装置からの指令信号に応じてレギュレータ501から発進される指令信号に基づきMFC(マスフローコントローラ)502が、ガス供給絶縁バフ116を介したガス供給ライン115へのガスの供給の流量速度を調節している。MFC502から供給された不活性ガスはこのガスの経路を開放,遮断するバルブ503及びバルブ505を介してガス供給ライン115へ向かって通流する。本実施例では、MFC502を用いているが、PCV(圧力制御バルブ)等を用いても良い。また、バルブ503,505の間に圧力スイッチ504を配置して、供給する不活性ガスの供給経路内の圧力の過度の上昇によるパーツの破壊,試料の飛散・振動を防止するため、圧力スイッチ504により経路内の圧力を検知して異常な圧力が検出された場合には、バルブ505にこれを遮断するよう指令を発進してガスの供給を停止する。
また、圧力スイッチ504ではなく単に圧力計を用いてこの圧力計の出力に応じてバルブ503,505を動作させても良い。流量を制御するための一次圧調整用のレギュレータ501は、流量の調整・圧力の調整を行って供給の経路上の部品の破損を抑制する。
供給される不活性ガスの流量は2ccmから2000ccmが好ましい。不活性ガスの経路は、電位差が生じる部分は絶縁性の部材により構成され、経路の内径はφ1mm以下が好ましい。電位差が生じない部分は特に規定はなく、ガス孔径は大きいほうが良い。
図6は、本実施例のプラズマ処理装置が試料110の所定の処理対象の膜をエッチングする場合の時間の経過に応じた動作の変化を示すタイミングチャートである。この図に示す試料110の処理では、図示しないが試料110は真空搬送室21内に配置された搬送用のロボットアームに載せられて所定の圧力に調節されている処理室50内に試料台100上に載置されている。
この後、試料台100内に配置されたバイアス電位形成用の電極へ高周波電力を印加する前に、試料110を試料台100上面の試料載置面を構成する誘電体膜上に静電気により吸着して保持するための直流電力が直流電源62から試料台100に供給される(601)。試料110が試料台100上に保持されたことが確認された後、処理室50内に所定の処理対象の膜をエッチングするための反応性ガスがシャワープレート60を通り導入されると共に、レギュレータ501からの指令に基づいてMFC502により流量が調節された不活性ガスがガス供給ライン115に導入され、試料110の外周縁部とフォーカスリング111との間の空間を通り処理室50内に放出される。
この後、処理室50内にシャワープレート60を伝播して電界が、さらにソレノイドコイル56からの磁界が印加されて試料110上方の処理室50内にプラズマが形成されるとともに、基材101内の電極に高周波電源61からの高周波電力が供給されて、プラズマ中の荷電粒子を試料表面に誘引しつつ処理対象の膜の処理が開始される(602)。所定の処理が終了したことがセンサ等を用いて検出されると、高周波電力の供給を停止し
(605)た後、静電吸着用の直流電力を停止し(606)て試料110の吸着を解除する。その後、処理済の試料110を試料台100上方に持ち上げて遊離させて処理室50外に搬出する。
なお、処理中は直流電力は供給されたままで試料110は試料台110上面に静電気力で吸着,保持されている。本実施例では、試料110が静電吸着され高周波電力が印加されてバイアス電位が形成されている間、すなわち少なくとも処理中は付着物の形成を抑制する不活性ガスを試料110外周付近に導入している。このため、本実施例では付着抑制用の不活性ガスは、試料110の静電気による吸着が開始され(601)て試料台100内の電極に高周波電力が供給される(602)までの間に開始され処理が終了して高周波電力の供給が停止され(605)てから試料110の静電吸着のための直流電流が停止されて吸着が解除される(606)までの間に停止されるまで、その供給が継続されている。
さらに、本実施例では、付着物を抑制する不活性ガスを供給した後フォーカスリング
111へ給電する(下方の給電リング112へ高周波電力を供給する)ことで、供給された不活性ガスのプラズマ放電を試料110の外周縁近傍に生起させる。このプラズマ中の荷電粒子,反応性粒子と試料110表面との相互作用により試料110の周縁部裏面表面への付着物を除去、あるいは付着を抑制する。
また、処理する対象の膜の種類や最適な処理の条件によっては、図示するように膜の処理の途中で付着性の強い物質を形成するためのガスをシャワープレート60から処理室
50内に導入して、試料110表面の膜の加工した形状を適正に調節する場合がある。例えば、エッチングにより溝形状を形成する場合に有機成分を有するガス(CxHyや
CxHyOz等)を導入して溝の側壁の表面のエッチングを抑制して深さ方向へのエッチングを相対的に大きくすることで、深い(アスペクト比の大きな)溝で溝の幅が深さ方向により均一である溝形状を形成することが行われる。
このような加工の形状を調節するガス(形状制御ガス)を導入した際に、フォーカスリング111へ電力を供給していると、付着性の大きな物質を形成するガスによるプラズマの放電が試料110外周縁部近傍で生起してしまい、外周縁部に却って付着物が増大してしまう。さらには、付着物が外周縁部、特に試料110裏面に形成されるために、試料
110表面の付着物の分布が所期のものからずれてしまい処理対象の膜の加工結果が所望の形状からずれてしまうという問題が生起する虞がある。このため、本実施例では、試料110表面のバイアス電位とフォーカスリング111表面のバイアス電位との間の差が少なくなるようにして、試料110外周縁部近傍でのプラズマ形成を抑制する(603)。
本図に示す実施例では、給電リング112への高周波電力の供給を停止しているが、試料110表面とフォーカスリング111表面とのバイアス電位差を低減するように調節すれば良く、給電リング112への電力を停止せずに低減しても良い。
図6に示す処理を施す試料110表面の膜構造の例を図7を用いて説明する。図7(a)は、ハードマスクを含む膜構成の例を示している。特に、この膜構造では、下地となる
Si層705の上方にSiO2 膜704及びその上方のPolySi膜703を備え、さらにその上方にハードマスクとしてのSiN膜702及びこのSiN膜702の加工形状を所望に調節するためのマスクとしてのレジスト膜701を備えている。レジスト膜701としては、フォトレジストやArFレジスト等の何れでも良い。
図7(a)に示す複数の膜を有する膜構造を連続的に処理する場合、エッチング処理の初期では、下方のSiN膜702と比べてエッチングの速度が大きく(選択比が小さく)サイドエッチ(図上左右方向のエッチング)が相対的に進行し易いレジスト膜701の形状を適正に維持するため下方の膜層、例えばSiN膜702をエッチングするためのガスだけでなくレジスト膜701のサイドエッチを抑制するためレジスト膜701の側壁に付着物を形成ガスを添加する。その添加中はフォーカスリング111への給電をその表面のバイアス電位と試料110表面の電位との差を低減、あるいはなくなるように、フォーカスリング111または給電リング112への給電を調節する。これにより、試料110周縁部近傍の付着物ガスリッチな環境での付着物ガスメインプラズマの発生を抑え、半導体ウエハ周縁部に付着物付着が少なくなる。
次に、ハードマスクとなるSiN膜702の処理の際には、付着物を形成する形状制御ガスを添加しないか少なく添加してSiN膜702をエッチングするための反応性ガスによるエッチングを行う。そのとき、フォーカスリング111への高周波電力の供給は試料110周縁部近傍に供給された不活性ガスによるプラズマを生起するために、図6の604に示す通り試料110とフォーカスリング111との間のバイアス電位差が大きくなるように行われる。
さらに下方のPolySi膜703のようなゲート構造を形成するための膜をエッチングする場合には、マスクとなるSiN膜702と比べてエッチング速度が大きく、またサイドエッチングが進行しやすいため付着物形成用のガスを処理室50に多く供給するとともにこの膜層のサイドエッチングを抑制する。この場合も、レジスト膜701の処理の場合と同様にフォーカスリング111に形成するバイアス電位を調節する。
上記の処理は、図7(a)に示すハードマスクを有する膜構造以外にも図7(b)に示すゲート構造を形成するための自然酸化膜を備えた膜構造等でも応用可能である。この膜構造では、図7(a)に示すSiO2 膜704及びSi層705の構造の上方に、
PolySi膜またはW−PolySi膜等の膜層707及び自然酸化膜706を備えている。この場合、エッチング処理の初期でハードマスクとなる自然酸化膜等の処理では、付着物ガスを添加しないか少なく添加してエッチングメインのガスによりエッチングを進める。
この際のフォーカスリング111への電力の供給は、試料110周縁部近傍にプラズマが生起しやすいように試料110とフォーカスリング111との間のバイアス電位差が大きくなるように行われる。これにより、試料110外周端のエッチング速度やシース(等電位面)の高さに垂直な荷電粒子の入射角度(エッチング角度)をそれぞれ外周端まで均一にされて試料110表面のエッチングが試料110外周端部までより均一にされる。
次に、PolySi膜707のようなゲート構造形成用の膜の処理では、サイドエッチの入りやすい膜を削りすぎないようエッチングメインのガスだけでなく形状制御のための付着物形成用ガスを多く添加する。その際には、フォーカスリング111への給電電圧を試料110とのバイアス電位差が低減する、あるいはフォーカスリング111への給電を停止する。そうすることにより、試料110周縁部近傍の付着物ガスリッチな環境での付着物ガスメインプラズマの発生を抑え、試料110周縁部に付着物付着が少なくなる。
本実施例では、フォーカスリング111への電力供給を処理する膜の種類や構成あるいは処理の条件の変更に応じて調節している。一方、不活性ガスの供給をこれら処理する膜の種類や構成あるいは処理の条件の変更に応じて調節しても良い。
上記の処理は、上下に積層された複数の膜層にエッチングされにくい膜が含まれた構成であれば適用できる。本実施例によれば、試料110への付着物の付着の効率を低減し、不活性ガスを試料110外周縁部近傍に導入して周縁部周辺にプラズマを発生させ、これの相互作用により付着物の堆積を抑制できる。さらに、上記実施例においては、試料110の処理の条件が変動に応じて付着物除去能力が調整でき、しかも、面内で均一な付着物除去性能を発揮できる。
図8に、本発明の別の実施例を示す。図8は、本発明の別の実施例に係るプラズマ処理装置の構成の概略を示す縦断面図である。本実施例では、給電リング112にプラズマが発生しやすい領域を配置して、この領域の圧力を周囲より高い圧力となるように構成する。さらに、ガス供給ライン115と試料110の周縁部裏面との間の不活性ガス導入経路において、フォーカスリング111と試料台100の凸起部の側壁面と間の隙間を他の箇所よりも小さくしてここでのガス流れ抵抗を大きくする。これにより、プラズマが発生し易い領域でスムーズにプラズマが発生し、そこで生成されたラジカルを用いて試料110周縁部裏面へ不活性ガスの流れとともに導引して試料110裏表面に付着した付着物を除去,低減する。
本実施例では、給電リング112の内周側側壁の上端部に凹み部803が内周の略全周にわたり形成されている。この凹み部803により、基材101上面に載せられてその上方にフォーカスリング111が配置された状態で、試料台100の凸起部の側壁とフォーカスリング111底面との間で、周囲より試料100の半径方向について隙間の大きな空間804が形成される。給電リング112の凹み部803の内側表面は、試料台100の凸起部の上面であって試料110が載せられる試料載置面及び凸起部の側壁面を覆う誘電体膜801と同じ材料で構成された被膜により覆われており、この空間で形成されるプラズマに対して給電リング112部材の腐食や削れ,損傷を抑制している。
給電リング112下部またはその下方の絶縁リング113の内周側側壁と凸起部の側壁との間の隙間806よりも、凹み部803における給電リング112の内側壁と凸起部の側壁との間の隙間は大きくされている。さらに、その上方のフォーカスリング111の内周側壁面と凸起部の側壁面との隙間805は隙間806よりもさらに小さくされている。このため、ガス供給ライン115から凹み部803に流入した不活性ガスの大部分は、この凹み部803内で一時的に滞留した後、より小さな隙間805を通りフォーカスリング111の内周縁部の段差部111′と試料110の外周縁部とで構成される空間802に供給される。このような構成において不活性ガスは空間804に行き渡り空間804内部の圧力が周囲よりも高くされる。
この状態で、給電リング112に給電される高周波電力及び基材101または試料載置面を構成する誘電体膜801内に配置された試料110を静電吸着させるための直流電力が供給される電極の間の電位差による電界がこの空間804内に供給されプラズマが生起される。このプラズマで形成されたラジカル等の高い反応性を有する粒子は、上記の通りガスの流れとともに、空間802に導入されて試料110外周縁の付着物と相互作用することにより、これを抑制する。さらに、高圧な箇所である空間804から低圧である空間802にガスが流出することによるガス流れが試料110裏面への付着物の付着効率を低減する。なお、このような凹み部803は、給電リング112の側壁の上下方向の何れの箇所でも配置することができる。
さらに、このようなプラズマ形成用の空間をフォーカスリング111に配置した例を図9を用いて説明する。この図において、図8に示す例と異なる点は、プラズマを形成するための空間となる凹み部901をフォーカスリング111の内周縁の側壁に配置した構成である。
この例においても、隙間805は隙間806よりも大きくされ、空間902においてガス供給ライン115からの不活性ガスが滞留し、また内部が高い圧力になるように構成されている。この状態で、フォーカスリング111または給電リング112と基材101または試料載置面を構成する誘電体膜801内に配置された試料110を静電吸着させるための直流電力が供給される電極との間の電位差による電界がこの空間902内に供給されプラズマが生起される。このプラズマで形成されたラジカル等の高い反応性を有する粒子は、上記の通りガスの流れとともに、空間802に導入されて試料110外周縁の付着物と相互作用することにより、これを抑制する。
上記実施例において、空間804,902でプラズマ発生を促進するため、凸起部の側壁表面を覆う誘電体膜801の表面に突起ができるような加工を与えても良い。例えば、側壁表面に溶射によって誘電体膜801を形成した後ブラスト加工してできるだけ突起が多くする。これにより、凹凸の高さ及び数を増大することで溶射して形成した誘電体膜
801表面の電子放出能力を高めてプラズマ発生を容易にする。
また、凸起部の側壁の誘電体膜801とフォーカスリング111の間で形成されるプラズマは電子の発生を起因としたもので、基材101内の電極に供給される交流力または誘電体膜801内の静電吸着用の電極に供給している直流電力の漏れ電流が重要となる。この漏れ電流の流れを維持することがプラズマの発生及び維持することを容易にする。このため、例えば、誘電体膜内の静電吸着用の電極には所定の電位となる電力を印加し、フォーカスリング111または給電リング112には、その電位が上記電極の電位を含んで上下するように高周波電力を印加する。例えば、上記電極の電位を中心として周期的に増減する電位となるようにフォーカスリング111に電力を供給して、フォーカスリング111と電極との間にこの電極の電位を中心とする電位の勾配が周期的に形成して、空間804,902において電子の移動の向きを両者の間で周期的に変えるようにしてもよい。
また、上記実施例に用いられるプラズマを形成する手段としては、容量結合,誘導結合やUHF波を用いたECRによるもの等があり、上記の実施例で説明した手段に限定されるものではない。また、上記実施例では、エッチング処理を行うプラズマ処理装置を説明したが、減圧雰囲気内で試料等の被処理物が加熱されながら処理される処理装置に広く適用することができる。例えば、プラズマを利用した処理装置としては、プラズマエッチング装置,プラズマCVD装置,スパッタリング装置等が挙げられる。また、プラズマを利用しない処理装置としては、イオン注入,MBE,蒸着,減圧CVD等が挙げられる。
本発明の実施例に係る真空処理装置の全体の構成を示す上面図である。 本発明の一実施例に係るプラズマ処理装置の概略を示す縦断面図である。 図2に示す実施例の試料台の試料外周部の構成の概略を示す縦断面図である。 図2に示す実施例の試料台の試料外周部の別の箇所の構成の概略を示す縦断面図である。 図4に示す実施例におけるガスの供給を調節する構成を示す模式図である。 図2に示す実施例の時間の経過に対する試料の処理の動作を示すタイムチャートである。 図2に示す実施例の処理の対象となる試料表面の膜の構成を示す模式図である。 本発明の別の実施例に係るプラズマ処理装置の構成の概略を示す縦断面図である。 図8に示す実施例の変形例を示す縦断面図である。
符号の説明
10 真空処理装置
11 大気側ブロック
12 処理ブロック
13,13′14,14′ 処理ユニット
23 真空容器
50 処理室
51 ステージ
52 マグネトロン
53 真空排気装置
54 開口
55 処理ガス導入口
56 ソレノイドコイル
100 試料台
110 試料
111 フォーカスリング
112 給電リング
113 絶縁リング
114 加熱調整機構
115 ガス供給ライン
116 ガス供給絶縁ボス
117 固定ボルト

Claims (11)

  1. 処理室内に配置された試料台上に載せられたウエハの上面に配置された複数の膜構造を前記試料台内の電極に高周波電力を供給しつつ前記処理室内で形成したプラズマを用いてエッチング処理するプラズマ処理装置であって、
    前記試料台の前記試料が載せられる面の外周側の前記電極の上方に配置されたリング状の電極及びこのリング状の電極の上方に配置されて、前記プラズマと面する誘電体からなる外周リングと、前記リング状の電極に前記膜構造の膜の種類に応じて異なる値の電力を供給する電源とを備えたプラズマ処理装置。
  2. 前記試料台がその上面に前記試料の載置面を有した凸部を備えた断面凸形状を備え、前記ウエハの処理中に前記凸部の外縁から外周側に位置する、前記ウエハの外縁裏面と前記外周リングとの間から前記処理室内に不活性ガスを供給する請求項1に記載のプラズマ処理装置。
  3. 前記リング状の電極に前記膜構造の膜の種類に応じて平均値の異なる電力を供給する前記電源を備えた請求項1に記載のプラズマ処理装置。
  4. 前記リング状の電極に少なくとも2つの値の電力を供給し前記膜構造の膜の種類に応じて、これら2つの値の電力の異なる比率を供給する前記電源を備えた請求項1または2に記載のプラズマ処理装置。
  5. 前記膜構造が、上方のフォトレジストマスクと、このレジストマスクの下方に配置されよりエッチング速度の遅い膜と、このエッチング速度の遅い膜の下方に配置されエッチング速度の速い膜とを備えた請求項1乃至4のいずれかに記載のプラズマ処理装置。
  6. 前記膜構造が、上方のフォトレジストと、このフォトレジストの下方に配置され前記フォトレジストをマスクとしてエッチングされる第1の膜と、この第1の膜の下方に配置されこの第1の膜をマスクとしてエッチングされるこの第1の膜よりエッチング速度の大きな膜とを備えた請求項1乃至4の何れかに記載のプラズマ処理装置。
  7. 前記エッチング速度の遅い膜を処理する際に前記リング状電極に供給される電力の値が前記エッチング速度の速い膜を処理する際に供給される電力の値より小さい請求項5に記載のプラズマ処理装置。
  8. 前記エッチング速度の遅い膜を処理する際に前記リング状部材上方の電位と前記ウエハ上方の電位との電位差が前記エッチング速度の速い膜を処理する際の電位差より小さい請求項5に記載のプラズマ処理装置。
  9. 前記第1の膜を処理する際に前記リング状電極に供給される電力の値が前記第2の膜を処理する際に供給される電力の値より小さい請求項5に記載のプラズマ処理装置。
  10. 前記第1の膜を処理する際に前記リング状部材上方の電位と前記ウエハ上方の電位との電位差が前記第2の膜を処理する際の電位差より小さい請求項5に記載のプラズマ処理装置。
  11. 前記エッチング速度の遅い膜を処理する際に前記処理室内に供給される付着物生成用のガスの量が前記エッチング速度の速い膜を処理する際に供給される前記ガスの量より小さい請求項5または7または8に記載のプラズマ処理装置。
JP2007090137A 2007-03-30 2007-03-30 プラズマ処理装置 Expired - Fee Related JP4988402B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2007090137A JP4988402B2 (ja) 2007-03-30 2007-03-30 プラズマ処理装置
US11/844,377 US20080236751A1 (en) 2007-03-30 2007-08-24 Plasma Processing Apparatus
US12/691,855 US20100163186A1 (en) 2007-03-30 2010-01-22 Plasma Processing Apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007090137A JP4988402B2 (ja) 2007-03-30 2007-03-30 プラズマ処理装置

Publications (2)

Publication Number Publication Date
JP2008251764A true JP2008251764A (ja) 2008-10-16
JP4988402B2 JP4988402B2 (ja) 2012-08-01

Family

ID=39792245

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007090137A Expired - Fee Related JP4988402B2 (ja) 2007-03-30 2007-03-30 プラズマ処理装置

Country Status (2)

Country Link
US (2) US20080236751A1 (ja)
JP (1) JP4988402B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009200328A (ja) * 2008-02-22 2009-09-03 Hitachi High-Technologies Corp 真空処理装置
JP2016123944A (ja) * 2015-01-07 2016-07-11 岩崎電気株式会社 紫外線硬化処理システム

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5970268B2 (ja) * 2012-07-06 2016-08-17 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10727092B2 (en) * 2012-10-17 2020-07-28 Applied Materials, Inc. Heated substrate support ring
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202247235A (zh) * 2021-02-04 2022-12-01 日商東京威力科創股份有限公司 電漿處理裝置及電漿處理方法
CN116994936A (zh) * 2022-01-18 2023-11-03 江苏天芯微半导体设备有限公司 一种衬套及晶圆预处理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
JP2000252261A (ja) * 1999-03-01 2000-09-14 Anelva Corp プラズマ処理装置
JP2004260159A (ja) * 2003-02-07 2004-09-16 Tokyo Electron Ltd プラズマ処理装置、リング部材およびプラズマ処理方法
JP2006080375A (ja) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp 半導体集積装置製造用のプラズマ処理方法
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6296712B1 (en) * 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6159299A (en) * 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
TW506234B (en) * 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
US6896765B2 (en) * 2002-09-18 2005-05-24 Lam Research Corporation Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
JP4566789B2 (ja) * 2005-03-07 2010-10-20 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP4410771B2 (ja) * 2006-04-28 2010-02-03 パナソニック株式会社 ベベルエッチング装置およびベベルエッチング方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1136076A (ja) * 1997-07-16 1999-02-09 Tokyo Electron Ltd Cvd成膜装置およびcvd成膜方法
JP2000252261A (ja) * 1999-03-01 2000-09-14 Anelva Corp プラズマ処理装置
JP2004260159A (ja) * 2003-02-07 2004-09-16 Tokyo Electron Ltd プラズマ処理装置、リング部材およびプラズマ処理方法
JP2006080375A (ja) * 2004-09-10 2006-03-23 Hitachi High-Technologies Corp 半導体集積装置製造用のプラズマ処理方法
JP2006319043A (ja) * 2005-05-11 2006-11-24 Hitachi High-Technologies Corp プラズマ処理装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009200328A (ja) * 2008-02-22 2009-09-03 Hitachi High-Technologies Corp 真空処理装置
JP2016123944A (ja) * 2015-01-07 2016-07-11 岩崎電気株式会社 紫外線硬化処理システム

Also Published As

Publication number Publication date
US20080236751A1 (en) 2008-10-02
JP4988402B2 (ja) 2012-08-01
US20100163186A1 (en) 2010-07-01

Similar Documents

Publication Publication Date Title
JP4988402B2 (ja) プラズマ処理装置
US10804072B2 (en) Plasma processing apparatus
JP5188385B2 (ja) プラズマ処理装置及びプラズマ処理装置の運転方法
US9275836B2 (en) Plasma processing apparatus and plasma processing method
US20120270406A1 (en) Cleaning method of plasma processing apparatus and plasma processing method
KR102092623B1 (ko) 플라스마 처리 장치
KR102569911B1 (ko) 포커스 링 및 기판 처리 장치
KR102348077B1 (ko) 플라즈마 처리 방법
US8342121B2 (en) Plasma processing apparatus
JP7175160B2 (ja) 基板処理装置
TW201943014A (zh) 被處理體的載置裝置及處理裝置
US8974600B2 (en) Deposit protection cover and plasma processing apparatus
JP2010267708A (ja) 真空処理装置および真空処理方法
JP6567886B2 (ja) プラズマ処理装置
US20160071700A1 (en) Plasma processing apparatus and cleaning method
KR101895931B1 (ko) 기판 처리 장치 및 방법
JP2006114676A (ja) プラズマ処理装置
JP6280408B2 (ja) 処理ガス流量の決定方法
JP2009200410A (ja) 真空処理装置
KR20230075632A (ko) 지지 유닛, 그리고 이를 포함하는 기판 처리 장치
JP5094288B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100129

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110726

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111129

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120125

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120403

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120426

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150511

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees