JPH10154599A - Rfプラズマリアクタ用熱制御装置 - Google Patents

Rfプラズマリアクタ用熱制御装置

Info

Publication number
JPH10154599A
JPH10154599A JP9304911A JP30491197A JPH10154599A JP H10154599 A JPH10154599 A JP H10154599A JP 9304911 A JP9304911 A JP 9304911A JP 30491197 A JP30491197 A JP 30491197A JP H10154599 A JPH10154599 A JP H10154599A
Authority
JP
Japan
Prior art keywords
reactor
thermally conductive
interface
gas
cold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9304911A
Other languages
English (en)
Inventor
Kenneth Collins
コリンズ ケネス
Michael Rice
ライス マイケル
Eric Askarinam
アスカリナム エリック
Douglas Buchberger
ブクバーガー ダグラス
Craig Roderick
ロデリック クレイグ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10154599A publication Critical patent/JPH10154599A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32688Multi-cusp fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01FMAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
    • H01F29/00Variable transformers or inductances not covered by group H01F21/00
    • H01F29/14Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias
    • H01F2029/143Variable transformers or inductances not covered by group H01F21/00 with variable magnetic bias with control winding for generating magnetic bias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3345Problems associated with etching anisotropy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 処理中にワークピースを支持するためのワー
クピース支持体およびプラズマリアクタチャンバを含む
プラズマリアクタ。 【解決手段】 チャンバは、サポートに面するリアクタ
エンクロージャ部分、このリアクタエンクロージャ部分
と低温本体間にあるプラズマ源電力アプリケータおよび
この低温本体とリアクタエンクロージャ間にあり同時に
これらと接触している熱導体を持っている。この熱導体
およびコールドシンクが、それら自身同士間にあるコー
ルドシンク界面の輪郭を定めるが、リアクタは更に、コ
ールドシンク界面を介しての熱抵抗を減少させるための
コールドシンク界面内部にある熱伝導性物質を含むこと
が望ましい。この熱伝導性物質は、低温本体界面を充填
する熱伝導性気体であり得る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、処理しようとする
ワークピースの上方にリアクタチャンバシーリングと、
このシーリングに隣接してコイルアンテナとを有するタ
イプの誘導結合RFプラズマリアクタ内の、加熱冷却装
置に関する。
【0002】
【従来の技術】プラズマ処理チャンバ内、とくに高密度
プラズマ処理チャンバ内では、高周波(RF)電力を用
いて、処理チャンバ内でプラズマを発生し、維持する。
処理チャンバ内部の表面の温度を、処理条件による経時
変化性の熱負荷と無関係に、または他の経時変化する境
界条件と無関係に制御する必要がしばしばある。ウイン
ドウ/電極が半導性材料である場合には、ウインドウの
適当な電気的特性を得るために、ウインドウ/電極の温
度をある温度範囲内に収まるように制御する必要がある
ことがある。すなわち、ウインドウとしてそして電極と
して同時に機能するウインドウ/電極にとって、電気抵
抗は半導体の温度の関数であり、したがって、ウインド
ウ/電極の温度はある温度範囲内に収まるようにするの
がベストである。プラズマを発生されて維持するために
RF電力を印加すると、ウインドウ(例えば、RF電力
またはマイクロ波電力の誘導結合または電磁結合などに
使用されるもの)または電極(例えば、RF電力の容量
結合もしくは静電結合、RF電力のこのような容量結合
もしくは静電結合用のグラウンドパスもしくはリターン
パスを終端させたり提供したりするために使用されるも
の)またはウインドウと電極の組み合わせ物に使用され
るようなウインドウを有するチャンバ内部の表面が加熱
されることになる。これらの表面が加熱される原因とし
て以下のものが考えられる:1)イオンや電子の衝突、
2)励起された種から放出された光の吸収、3)電磁場
または静電場からの電力の直接的な吸収、4)チャンバ
内の他の表面からの放射、5)伝導(通常は、低い中性
気体の圧力では影響は小さい)、6)対流(通常は、低
い質量流量では影響は小さい)、7)化学反応(すなわ
ち、プラズマ中の活性な種との反応によるウインドウま
たは電極の表面で起こる)。
【0003】プラズマ処理チャンバで実行中のプロセス
によっては、上記のしたように内部熱源によってウイン
ドウや電極が達するその温度を上回る温度にまでウイン
ドウや電極を加熱する必要があるかもしれないし、ま
た、動作プロセスまやは1連のプロセスの他の部分の間
に内部熱源によってウインドウや電極が達するその温度
未満の温度にまでウインドウや電極を冷却する必要があ
るかもしれない。このような場合、熱をウインドウや電
極に結合させる方法および熱をウインドウや電極から結
合させる方法が必要である。
【0004】プロセスチャンバの外部からウインドウ/
電極を加熱する方式には次のものがある: 1.外部の放射源(すなわち、ランプ、放射ヒータまた
は誘導熱源)によってウインドウ/電極を加熱する方
式; 2.外部の対流源(すなわち、放射、導通または対流に
よって加熱された強制流体)によってウインドウ/電極
を加熱する方式; 3.外部の導通源(すなわち、抵抗ヒータ)によってウ
インドウ/電極を間津する方式。
【0005】前述の加熱方法は、冷却手段をなんら持た
ず、ウインドウや電極に対して適用可能な温度範囲を、
内部の熱源だけでウインドウや電極が達する温度を上回
る温度に制限するものである。
【0006】プロセスチャンバ外部からウインドウ/電
極を冷却するアプローチには次のステップが含まれる: 1.ウインドウ/電極を放射によってより低温の外部表
面温度にまで冷却するステップ; 2.ウインドウ/電極を外部の対流源によって冷却する
(すなわち、自然冷却または強制冷却)ステップ; 3.ウインドウ/電極を外部吸熱器に導通させることに
よって冷却するステップ。
【0007】
【発明が解決しようとする課題】前述の冷却方法は、内
部熱源以外になにも加熱手段を持たないが、ウインドウ
や電極操作に利用可能な温度を、ウインドウや電極が内
部熱源だけで達する温度よりも低い温度に制限する。
【0008】更に、前述の冷却方法は、次の問題点を持
っている: 1.放射によるウインドウ/電極の冷却では、放射パワ
ーがT4に依存する(Tは、熱を放射又は吸収する面の
絶対(ケルビン)温度)ため、低温においては熱移動速
度は低く抑えられる(多くの場合、ウインドウや電極の
必要温度範囲及びウインドウや電極の内部加熱速度に対
して不十分である)。
【0009】2.ウインドウ/電極を外部対流源で冷却
する場合、熱伝導率の高い液体を用いれば高い熱移動速
度を達成でき、更に、流量が大きい場合は密度と比熱の
積も高くすることができるが、液体対流冷却は次の問題
を持つ: A)液体の蒸気圧が温度(すなわち、沸点)に依存する
ため、液体対流冷却は最大動作温度に限られる(ただ
し、相変化できる場合は別であるが、この場合も特有の
問題−−すなわち相変化の温度が固定されている−−制
御範囲がなく、安全的にも問題である); B)液体の電気的特性により、液体冷却が電気的条件と
適合しない場合がある; C)リアクタの構造体素子と接触する液体と関連する一
般的な統合上の問題。ウインドウや電極を外部の対流源
(すなわち、冷却気体)で冷却することは、熱伝達率が
低い場合に限られるが、これはたいていの場合、ウイン
ドウや電極の必要とされる温度範囲やウインドウや電極
の内部加熱速度にとっては不十分である。
【0010】3.ウインドウ/電極を伝導によって外部
吸熱器の温度にまで冷却すると、ウインドウや電極と吸
熱器との間の接触抵抗が充分に低ければ高い熱伝達率を
達成できるが、実際問題としては、低い接触抵抗を達成
するのは困難である。
【0011】ウインドウ/電極をプロセスチャンバの外
部から加熱と冷却の双方を実行する方式には、ウインド
ウ/電極を外部吸熱器の温度まで伝導で冷却するステッ
プと組み合わされたウインドウ/電極を外部伝導源(す
なわち、抵抗ヒータ)で加熱するステップが含まれる。
1つの実現例では、その構造体は次の通りである。ウイ
ンドウ/電極は、ウインドウや電極の外部表面に隣接し
たヒータプレート(抵抗ヒータを埋め込んだプレート)
を持つ。そのうえ、吸熱器(通常は、液冷式)が、ウイ
ンドウや電極から観てヒータプレートの反対側の近傍に
置かれる。接触抵抗が、ウインドウ/電極とヒータプレ
ート間と、ヒータプレートと吸熱器間に置かれる。ウイ
ンドウ/電極の温度の自動制御を組み込んだこのような
システムでは、温度測定(継続的測定であれ、周期的測
定であれ)は、制御されるウインドウ/電極についてな
され、測定温度値は設定温度と比較され、測定温度と設
定温度の差に基づいて、コントローラは制御アルゴリズ
ムにしたがって、抵抗ヒータにどのていどの電力を供給
すべきか、またはその替わりに、どの程度吸熱器にたい
して冷却を供給すべきかを判断し、更に、コントローラ
は、この加熱冷却の判断されたレベルを出力するように
トランスジューサにコマンドを与える。このプロセス
は、ウインドウや電極の温度が設定温度にたいしてある
希望される程度に集束するまで(継続的または周期的
に)繰り返され、こうすることによって、制御システム
は、内部加熱または冷却のレベルの変化または設定温度
の変化による加熱または冷却の必要レベルの変化に反応
する準備が常に整っていることになる。ウインドウや電
極の温度を制御するシステムの冷却能力を制限する接触
抵抗の問題の他にも、システムには、プラズマリアクタ
動作中の内部の加熱や冷却の負荷の変化に応じて必要と
されるウインドウや電極から吸熱器への熱伝達に時間的
遅延が生じるという問題がある。これはあるていどは、
ウインドウ/電極とヒータ間の接触抵抗およびヒータと
吸熱器間の接触抵抗ならびにヒータおよびウインドウ/
電極の熱容量が原因である。例えば、プロセス中または
1連のプロセス中え内部熱負荷が増加するにつれて、シ
ステムはその増加を、ウインドウや電極の温度の増加を
測定することによって感知するが、熱がウインドウや電
極を通り、ウインドウ/電極とヒータ間の接触抵抗を乗
り越え、ヒータプレートを通り、更にヒータと吸熱器間
の接触抵抗を乗り越えて拡散するにはタイムラグがあ
る。そのうえ、ヒータに「蓄えられた」ところの「余分
な」熱エネルギが、ヒータと吸熱器間の接触抵抗を越え
て拡散する。このタイムラグによって、内部の熱負荷や
冷却負荷が変化するにつれてウインドウや電極の温度の
制御に困難が生じ、通常は、ウインドウや電極の温度が
設定値の近傍をいくぶん変動する結果となる。
【0012】ウインドウや電極(電磁RFや誘導RF更
にマイクロ波の電力がチャンバ外部からチャンバ内部に
ウインドウやウインドウ/電極を介して結合されるよう
なタイプのもの)にとってさらなる問題は、熱伝達装置
(ヒータおよび/または吸熱器)の存在が、このような
電磁RF、誘導RFまたはマイクロ波の電力の結合に干
渉し、および/または、RFまたはマイクロ波の電力の
結合装置の存在が、ヒータおよび/または吸熱器とウイ
ンドウまたはウインドウ/電極との間の熱伝達に干渉し
かねないことである。
【0013】したがって、ウインドウもしくは電極また
はウインドウ/電極の温度が、希望されるプロセスや1
連のプロセスが、チャンバ内の内部加熱または冷却の負
荷の変化や他の境界条件の変化と無関係に実行されるよ
うに設定値に充分に近くになるように制御されるよう
に、プラズマ処理チャンバ中で使用されるウインドウも
しくは電極またはウインドウ電極を加熱および/または
冷却する方法が求められている。
【0014】そのうえ、希望されるプロセスや1連のプ
ロセスが、チャンバ内部での内部の加熱負荷または冷却
負荷の変化や他の境界条件の変化と無関係にプラズマプ
ロセスチャンバ内で実行されるように、ウインドウまた
はウインドウ/電極を介しての電磁RFや誘導RFやマ
イクロ波の電力の結合にたいする干渉なしで、ウインド
ウもしくは電極またはウインドウ/電極の温度が設定温
度の充分近傍にくるように制御されるように、プラズマ
処理チャンバ内で使用されるウインドウまたはウインド
ウ/電極を加熱および/または冷却する方法が求められ
ている。
【0015】そのうえ、電極またはウインドウ/電極の
温度が、RF電力の容量結合や静電結合に干渉すること
なく、またはこのようなRF電力の容量結合や静電結合
のためのグラウンドパスやリターンパスの終端や提供に
干渉したりすることなく、その結果、希望されるプロセ
スや1連のプロセスが、チャンバ内での内部の加熱負荷
や冷却負荷の変化や他の境界条件の変化と無関係に、プ
ラズマプロセスチャンバ内で実行されるように、設定温
度の充分近傍にくるように制御されるように、プラズマ
処理チャンバ内で使用される電極またはウインドウ/電
極を加熱および/または冷却する方法が求められてい
る。
【0016】そのうえ、電極またはウインドウ/電極の
温度が、RF電力の容量結合または静電結合に干渉する
ことなく、または、RF電力のこのような容量結合や静
電結合のためのグラウンドパスやリターンパスの終端や
提供に干渉することなく、その結果、希望のプロセスや
1連のプロセスが、チャンバ内の内部加熱負荷や冷却負
荷の変化や他の境界条件の変化と無関係に実行されるよ
うに、設定温度の充分近傍にくるように制御されるよう
に、プラズマ処理チャンバ内で使用される電極またはウ
インドウ/電極を加熱および/または冷却する方法が求
められている。
【0017】
【課題を解決するための手段】本発明は、プラズマリア
クタチャンバと、処理中にチャンバ内部の支持面の近傍
にワークピースを支持するためのワークピース支持体と
を有するプラズマリアクタに具体化され、このチャンバ
は、この支持体に面するリアクタエンクロージャ部分
と、このリアクタエンクロージャ部分の上方のコールド
プレートと、リアクタエンクロージャ部分とコールドプ
レート間のプラズマ源電力アプリケータと、このコール
ドプレートとリアクタエンクロージャ間にありこれらと
接触している熱伝導体とを有する。好ましくは、電源ア
プリケータは放射状に分散した複数のアプリケータ素子
を有し、これらの間に空隙が形成され;熱伝導体はこの
空隙中に放射状に分散した熱伝導素子を有し、コールド
シンクおよびリアクタエンクロージャ部分と接触する。
この放射状に分散した熱伝導素子は、各々の同心円筒リ
ングを含むことが好ましい。リアクタエンクロージャ部
分にはシーリング(天井部)を有し、このシーリング
は、プラズマソース電力アプリケータから放出される電
力用のウインドウを含む。この電源アプリケータは、R
F電力発生器と連通している誘導アンテナを有すること
が望ましく、シーリングは誘導電力ウインドウであるこ
とが望ましい。シーリングは、半導体ウインドウ電極を
有していることが好ましいが、必ずしも有している必要
はない。熱伝導体とコールドシンク(コールドシンク:
cold sink)は、その間にコールドシンク界面を形成
し、リアクタは更に、コールドシンク界面の熱抵抗を減
少させるためにコールドシンク界面に熱伝導性物質を有
していることが好ましい。この熱伝導性物質は、コール
ドプレート界面に充填する熱伝導性気体でもよい。ある
いは、熱伝導性の固体物質でもよい。リアクタは、熱伝
導性気体源と連通可能なコールドプレート中の気体マニ
ホルドと、この気体マニホルド及び開口部からコールド
プレート界面にまでコールドプレートを通っている流入
口を有することがある。このリアクタは更に、コールド
プレートと熱伝導体の間に挟まれるOリング装置を有し
ていてもよく、これは、コールドプレートからの流入口
と連通する気体含有容量を形成する。コールドプレート
界面中に形成する気体含有体積は、ほとんど微小な厚さ
のものであることが望ましい。熱伝導体は、リアクタエ
ンクロージャ部分で一体に形成することがある。熱伝導
体は、リアクタエンクロージャと分離して形成し、これ
によってリアクタエンクロージャ界面の輪郭が、リアク
タエンクロージャ部分と熱伝導体との間に定められるよ
うにすることがあるが、この場合は、リアクタエンクロ
ージャ界面を介しての熱抵抗を減少させるためにリアク
タエンクロージャ界面内に熱伝導性物質が存在すること
が望ましい。このリアクタエンクロージャ界面内の熱伝
導性物質は、リアクタエンクロージャ界面を充填する熱
伝導性気体であり得る。替わりに、リアクタエンクロー
ジャ界面内の熱伝導性物質は、熱伝導性気体材料を含む
ことがある。この熱伝導体が、リアクタエンクロージャ
界面がリアクタエンクロージャ部分と熱伝導体間にその
輪郭が定められるように、リアクタエンクロージャ部分
から分離して形成される場合、リアクタエンクロージャ
界面を介しての熱抵抗を減少させるために、リアクタエ
ンクロージャ界面を充填する熱伝導性気体が存在するこ
とが望ましい。この場合、熱伝導体を通り、コールドプ
レート界面とリアクタエンクロージャ界面間を連通する
気体流路が存在することが望ましい。この場合、熱伝導
体の気体流路と連通するリアクタエンクロージャ界面内
に気体含有体積の輪郭を定める、熱伝導体とリアクタエ
ンクロージャ部分との間にOリングが更に存在すること
が望ましい。熱伝導性の個体材料には、アルミ、インジ
ウム、銅、ニッケルの内の1つを含む軟金属が包含され
ることが望ましい。別法としては、熱伝導性個体材料
は、熱伝導性物質の粒子で充満したエラストマを包含す
ることが望ましい。この粒子は、アルミ、インジウム、
銅、ニッケルの内の1つを含む熱伝導製材料などの軟金
属の粒子である場合がある。別法としては、この粒子
は、窒化カーボン、高電気抵抗性で高熱伝導性のシリコ
ンカーバイド、高抵抗性のシリコン、窒化アルミ、酸化
アルミの粒子でもあり得る。
【0018】
【発明の実施の形態】小型のアンテナ−ワークピースギ
ャップを有するプラズマリアクタにおいて、架空誘導ア
ンテナパターンに関するワークピースの中心地域の近く
のプラズマイオン密度の減少を最小化するために、誘導
される中心地域の電場の量を増加することが本発明の1
つの目的である。本発明は、アンテナの対称軸の近辺の
シーリングをかぶせた誘導コイルの巻線を集中すること
およびアンテナとその中心地域のプラズマの間の磁束連
結の変化(RF原周波数において)の割合を最大化する
ことによってこの目的を達成した。
【0019】本発明によれば、対称軸周りのソレノイド
コイルは同時に軸近くの誘導コイルの巻線に集中し、ワ
ークピースに近接した中心地域のプラズマとアンテナの
間の磁束連結の変化割合を最大化する。これは巻線数が
多くコイル半径が小さいためで、強力な磁束連結および
中心地域のプラズマが密接して互いに結合することに必
要である。(対照的に、通常の平坦なコイルアンテナで
は誘導場を広範な放射状域に広げてしまい、放射状力の
分布を外の円周方向に押し出してしまう。)この特性を
理解すると、ソレノイドアンテナは、複数の誘導要素を
持ち、非平坦類に分類されるアンテナで、ワークピース
の面、またはワークピース支持体表面、またはチャンバ
のシーリングのかぶさり、または異なる距離において横
断的にワークピース支持体面にスペースを入れ、(チャ
ンバ中のワークピース支持体台によって定義される)ま
たは異なる距離において横断的にチャンバシーリングの
かぶさりにスペースを入れることに関する。この特性を
理解すると、誘導要素は電流を運ぶ要素で、チャンバの
プラズマおよび/またはアンテナの他の誘導的要素と互
いに誘導的に結合されている。
【0020】本発明の望ましい実施例は、1つが中心近
くにあり、他の1つが円周半径の外にある2連のソレノ
イドコイルアンテナを含む。この2つのソレノイドは異
なるRF周波数もしくは同じ周波数で駆動されてもよ
く、この場合、好ましく相が固定され、それらの場が構
造的に相互作用することで、より好ましく相が固定され
る。内側と外側のソレノイドの間での最も偉大な実用的
な変位はワークピース円周のエッチングレートに関して
ワークピースにおけるエッチングレートの最も融通がき
く制御がなされるため望ましい。熟練した人は容易にR
F電力、チャンバ圧およびプロセスガス混合物の電気陰
性度(分子ガスと不活性ガスの好ましい比率を選択する
ことにより)を変えられ、より広いレンジまたはワーク
ピースにまたがったエッチングレートの放射状方向への
均一性を最適化する(本発明を利用して)プロセスウィ
ンドウを得る。内側と外側の分離された好ましい実施例
のソレノイドの最大のスペーシングは次の便宜をもたら
す: (1)制御と調整の最大の均一性; (2)内側と外側のソレノイドの間の最大の絶縁で、1
つのソレノイドからの磁場と他のソレノイドの磁場との
干渉を防止し; (3)シーリングの温度制御を最適化する温度制御要素
ためにシーリング上のスペース(内側と外側のソレノイ
ド間の)を最大にする。
【0021】図4Aは誘導的にワークピース−シーリン
グ間の短いギャップのRFプラズマリアクタを結合され
た単一ソレノイドの実施例(好ましい実施例ではない)
の図解で、誘導磁場の表層深さはギャップ長さに依存す
ることを意味している。
【0022】この特性を理解すると、ギャップ長さに依
存する表層深さは、ギャップ長さの10の乗係数(すな
わち、約1/10から約10倍の間)に依存する。
【0023】図5はRFプラズマリアクタを誘導的に結
合した2連のソレノイドの図解で、本発明の好ましい実
施例である。2連ソレノイドの形状を除き、図4Aと図
5のリアクタの構造はほぼ同じなので、ここでは図4A
を参照して述べる。円筒状のチャンバ40を含むリアク
タは図1のそれと似ており、違いは図4Aのリアクタは
非平坦コイルアンテナ42を持ち、その巻線44がアン
テナ対称軸46の近くに非平坦形で接近して集中してい
ることである。図解した実施例中の巻線44が対称で、
その対称軸46がチャンバの中心軸と一致しているなら
ば、本発明は異なった方法で実施できる。例えば、巻線
が対称でなく、および/または対称軸が一致しない場合
である。しかしながら、対称アンテナの場合、そのアン
テナは架空放射状パターンをそのチャンバの中心もしく
はワークピースの中心に一致する対称軸46付近に持っ
ている。中心軸46周りの巻線44の集中の接近はこの
空間を補整し、ソレノイドにより巻線44を垂直に堆積
することでチャンバの中心軸46より各々が最短距離に
ある。このことが上記の図3Dと図3Eを参照して述べ
たようにワークピース−シーリング高さが短くてプラズ
マイオン密度が最弱であるチャンバ中心軸46近辺の電
流(I)とコイル巻線数(N)の積を増加する。その結
果、非平坦コイルアンテナ42を用いたRF電力はウェ
ハー中心で−−アンテナ対称軸で−−より大きな電磁誘
導[d/dt][N*I]を作り出し、(円周域に関連
して)それゆえその地域でより大きなプラズマイオン密
度を生成し、ワークピース−シーリング高さが小さいに
もかかわらずより均一に近いプラズマイオン密度が得ら
れる。かくして本発明はプロセスの均一性を犠牲にする
ことなくプラズマプロセスの性能を向上するためにシー
リング高さを減少させる方法を提供する。
【0024】図4Bは図4Aおよび図5の実施例に用い
られた巻線の好ましい手段を最もよく示している。巻線
44が少なくともワークピース56の面と平行に近く存
在するために、通常のらせん巻線でなく、その代わりに
それぞれ個々の巻線つけが、巻線つけの間のステップま
たはトランジション44aを除く(1つの水平面からつ
ぎの面まで)ワークピース56の(水平な)面に平行に
なるようにする。
【0025】円筒チャンバ40は円筒側壁50および、
側壁50とシーリング52がシリコンのような単一物質
からなるため、側壁50と積み重ねて形成された円状シ
ーリング52とからなる。しかしながら、本発明は後述
するように、側壁50とシーリング52が別々に形成さ
れたものとしてもよい。円状シーリング52は、平坦型
(図4A)、ドーム型(図4C)、円錐型(図4D)、
円錐台型(図4E)、円筒型またはそれらの組み合わせ
または曲線の回転で得られるどの断面形状を有していて
もよい。それらの組み合わせについては後述する。一般
に、ソレノイド42の垂直ピッチ(すなわち、垂直高さ
を水平幅で割ったもの)は、シーリングがドーム、円
錐、円錐台のよう3次元表面で定義されたとしても、シ
ーリング52の垂直ピッチより多い。この目的のため
に、少なくとも好ましい実施例において、前述したよう
にアンテナ対称軸近くのアンテナの誘導を集中させる。
シーリングの余分なピッチを有するソレノイドが、ここ
でいう非同形ソレノイドとして定義され、その意味で、
一般に、その形状がシーリングの形状と一致せず、より
特徴的にはその垂直ピッチがシーリングの垂直ピッチよ
り多いことである。2次元または平坦なシーリングは素
直ピッチがゼロであり、これに対し3次元シーリングは
ゼロでない垂直ピッチを有する。
【0026】チャンバ40の底にある台54は、ワーク
ピース支持面中の平坦ワークピース56を加工の間支持
する。ワークピース56は典型的な半導体ウェハーで、
ワークピース支持面は一般的にウェハーもしくはワーク
ピース56の面である。チャンバ40はポンプ(図示し
ていない)により環状通路58を通してチャンバ40の
下部にある排気環60に排気される。排気環の内部は交
換可能な金属ライナー60aで内張りすることもでき
る。環状通路58は円筒側壁50の底端50aと台54
周りの平坦リング62によって定義される。プロセスガ
スは、供給するガスのどれか1種でもまたすべての組み
合わせでもチャンバ40に供給される(ガス供給源を図
中にGAS SUPPLY と表記。図4A、4C、4D、4E、
図5〜図15、図17A及び図17Bで同じ)。ワーク
ピース中心近辺のプロセスガスの流量を制御するため、
センターガス供給口64aは、シーリング52のの中心
を通してワークピース56の中心(またはワークピース
支持面の中心)方向に下向きに延長することが可能であ
る。ワークピース円周部近辺(またはワークピース支持
面の円周近辺)のガス流量を制御するため、複数の放射
状ガス供給口64b、それらは各々独立してセンターガ
ス供給口64aを制御できる、は、側壁50から放射状
に内側に向かってワークピース円周方向に(またはワー
クピース支持面円周方向に)延長することが、またベー
ス軸ガス供給口64cは台54近辺から上向きにワーク
ピース円周方向に延長することが、またシーリング軸ガ
ス供給口64dはシーリング52からワークピース円周
方向に延長することが可能である。ワークピース中心お
よび円周におけるエッチングレートは 個別に調整で
き、他のものに関連してワークピース周りのエッチング
レートの分布をプロセスガス流量をワークピース中心お
よび円周方向に センターガス供給口64aおよび64
b−dまでのどのそれぞれのガス供給口を通してでも、
より放射状に均一化させる。この本発明の特徴はセンタ
ーガス供給口64aと円周ガス供給口64b−dの内の
どれか1つでなすことができることである。
【0027】ソレノイドコイルアンテナ42はセンター
ガス供給口64の周りのハウジング66の周囲に巻かれ
る。プラズマソースRF電源68はコイルアンテナ42
にまたがって結合され、バイアスRF電源70は台54
に結合されている。
【0028】コイルアンテナ42からシーリング52の
中心地域の上部空間の制限がシーリング52の占拠され
ていないの上部表面の大きな部分を残し、それゆえ温度
制御装置、例えば、タングステンハロゲンランプのよう
な複数の輻射ヒータ72や、例えば銅まはアルミニウム
で作られ、それを通して延ばされた冷却剤通路74aで
水冷された冷却プレート74が含まれる部分と直接接触
することができる。冷却剤通路74aは高熱伝導性で、
しかしアンテナまたはソレノイド42の電気的負荷低下
をさけるため、低電気伝導性のよく知られているいろい
ろな冷却剤を含むことが望ましい。冷却プレート74
は、輻射ヒータ72が他を圧倒できる最大出力を選択さ
れた間でも、シーリング52を一定に冷却することがで
き、もし必要ならば、冷却プレート74による冷却で、
シーリング52の安定した温度制御を感度よく助長でき
る。ヒータ72で照射された大きいシーリング域は温度
制御のよりよい均一性と効率をもたらす。(本発明を実
行するのに輻射ヒータは必須でないことを注目すべき
で、後述するように熟練した人はそれの代わりに電熱ヒ
ータを用いてもよい。)もしシーリング52が、Ken
neth Collinsほかによる1996年に2月
2日に提出された共同出願の米国特許の通番08/59
7,577に開示されたように、シリコンであれば、シ
ーリング全体の温度制御の均一性と効率は増加して重大
な便宜が得られる。特に、高分子プレカーサとエッチャ
ントプレカーサガス(例えばフロロカーボンガス)を用
い、エッチャント(フッ素)を排気することが要求され
る場合、シーリング52の全体にわたって高分子の蒸着
速度および/またはシーリング52がフッ素エッチャン
トスカベンジャ物質(シリコン)をプラズマ中に供給す
る速度が、温度制御ヒータ72を含むシーリング52の
接触面積を増加することにより、よりよく制御される。
ソレノイドアンテナ42は、ソレノイド巻線44がシー
リング52の中心軸に集中しているため、シーリング5
2上の接触可能な面積を増加する。
【0029】シーリング52の熱的接触のために利用で
きる地域の増加は高度に熱伝導性の円環体75(窒化ア
ルミニウム、酸化アルミニウムまたは窒化珪素のような
セラミック、またはシリコンやシリコンカーバイドを軽
くドープしたものかドープなしのいずれかの非セラミッ
クから、形成される)による好ましい実施で開発され、
その底面はシーリング52上に置かれ、上面は冷却プレ
ート74を支持している。円環体75の1つの特徴は、
それが、ソレノイド42の上部の更に上部にある冷却プ
レート74との置き換えにある。この特徴はソレノイド
42とプラズマの間の誘導結合の減少を充分に緩和、ま
たはほぼ消去し、そのことは冷却プレート74からソレ
ノイド42の熱伝導プレーンの近接した接近という、ほ
かの結果をもたらす。誘導結合におけるそのような減少
を避けるため、冷却プレート74とソレノイド42の巻
線の最上部の間の距離を少なくともソレノイド42の全
高に対してに充分な割合(例1/2)以上にすることが
好ましい。円環体75を通して延長された複数の軸穴7
5aは2つの同心の円に沿って一定の間隔をおかれ、複
数の放射ヒータまたはランプ72を支持し、それらでシ
ーリング52を直接放射させる。ランプの最良の効率化
のため、穴の内層面は反射層(例アルミニウム)で内張
りしてもよい。図4のセンターガス供給口64aは特殊
なリアクタの設計やプロセス条件により、放射ヒータ7
2(図5に示すように)と置き換えてもよい。シーリン
グの温度は熱電対76のようなセンサで、ランプヒータ
72で占められていない穴75aの1つを通して検出さ
れる。良好な熱的接触のため、窒化硼素を充填したシリ
コンゴムのような高熱伝導性のエラストマ73がセラミ
ック円環体75と銅製冷却プレートの間およびセラミッ
ク円環体75とシリコンシーリング52の間におかれ
る。
【0030】前述の参照した共同出願特許に開示された
ように、チャンバ40がすべて半導体のチャンバでもよ
く、その場合シーリング52と側壁50の両方ともが、
シリコンまたはシリコンカーバイドのような半導体であ
る。前述の参照した共同出願特許に開示されたように、
シーリング52または壁50のいずれかに対しての温度
制御、およびRFバイアス電力の供給は、プラズマへの
フッ素スカベンジャー前駆体(シリコン)をプラズマへ
供給する量を調節し、またはその代わりに高分子でコー
トされる量をを調節する。シーリング52の素材はシリ
コンに限定されるものではなく、その代わりとしてシリ
コンカーバイド、二酸化珪素(石英)、窒化珪素、窒化
アルミニウムまたは酸化アルミニウムのようなセラミッ
クであってもよい。
【0031】前述の参照した共同出願特許に開示された
ように、チャンバの壁50またはシーリング52はフッ
素スカベンジャー材の源として使われる必要がない。代
わりに、使い捨て可能な半導体(例シリコンまたはシリ
コンカーバイト)などをチャンバ40の内側に置くこと
ができ、それらは充分な高温を維持して、その上に高分
子の凝縮を防止し、シリコン材がそこからフッ素スカベ
ンジャー材としてプラズマ中へ取り除かれる。この場
合、壁50およびシーリング52はシリコンである必要
はなく、またもし、それらがシリコンであっても高分子
の凝縮温度(および/もしくは高分子凝縮RFバイア
ス)近くまたはそれ以下の温度(および/もしくはRF
バイアス)に維持され得るので、それらはプラズマから
の高分子でコートされ、消耗されることを防ぎ得る。使
い捨て可能なシリコンなどはどんな適当な形でも取り得
るので、図4の実施例において使い捨て可能なシリコン
などを台54の周辺の環状リング62とすることもでき
る。環状リング62は高純度シリコンであることが好ま
しく、その電気的または光学的性質を変えるためにドー
プされてもよい。シリコンリング62をプラズマプロセ
スにおける都合のよい導入を確実にするのに充分な温度
に維持するため(例、フッ素スキャベンジのためにシリ
コン材をプラズマへ入れることの寄与)、複数の放射
(例、タングステンハロゲンランプ)ヒータ77を環状
リング62の下部の円内に配置して石英窓78を通して
シリコンリング62を加熱する。前述の参照した共同出
願特許に開示されたように、ヒータ77は、光学高温計
またはフッ素系光学プローブのようなリモートセンサで
もよい温度センサ79によって検出され、シリコンリン
グ62の測定された温度に従って制御される。センサ7
9は部分的に、リング62中の非常に深い穴62aの中
に延長してもよく、穴の深さと狭さは、少なくとも部分
的にシリコンリング62の熱放出性の温度依存変化をマ
スクすることに役立ち、このため、それは温度測定がよ
り確実な灰色ボディーのラジエータに、より似たような
ものである。
【0032】上記の参照した米国特許出願連番08/5
97,577に述べたように、全半導体製チャンバの優
位は、プラズマが、例えば金属のような汚染を作り出す
物質との接触をしないことにある。この目的のため、環
状通路58に隣接したプラズマ収束磁石80、82は環
状ポンプ60へのプラズマの流れを防止または減少す
る。どれほどの高分子前駆体の量および/または活性な
種が、環状ポンプ60に入ることに成功し、どの高分子
または交換可能な内層内張り60aでも、高分子の凝縮
温度より充分に低い温度に、例えば参照した共願特許に
開示したように、内張り60aを維持することによりプ
ラズマチャンバ40からの再侵入を防ぐことができる。
【0033】環状ポンプ60の外壁を貫いているウェハ
ースリット弁84はウェハーの出入りを調整する。チャ
ンバ40と環状ポンプ60の間の環状通路58は、スリ
ット弁84に、より大きく隣接し、円筒の側壁50の底
端の勾配の効力のため、最も小さく反対側にあり、その
ことはチャンバ内圧分布を、非対称なポンプ口の配置で
も、より対称的にする。
【0034】チャンバ中心軸46近くの最大相互インダ
クタンスは垂直に積層されたソレノイドの巻線44によ
り得られる。図4の実施例の中で、巻線44の垂直積層
の外側の、底のソレノイド巻線44aの水平のプレーン
中にある、ほかの巻線45を追加してもよく、追加巻線
45を底のソレノイド巻線44aに接近し得る。
【0035】図5の好ましい2連ソレノイドの実施例を
特にここで参照して、第2の外側の垂直積層または外部
配置における(すなわち熱伝導性の円環体75の円周面
の外側に対して)巻線122のソレノイド120をソレ
ノイド巻線44の垂直積層の中からの放射距離δRに置
き換えられる。図5でのソレノイドアンテナ42の内側
から中心および外側のソレノイドアンテナ120から円
周までの、図4A中のような温度制御装置72、74、
75と直接接触できるようにシーリング52の上面の大
部分と離れていることの制限に注意すること。シーリン
グ52と温度制御装置の間のより大きい表面域は、より
効率的でより均一なシーリング52の温度制御を得る利
点がある。
【0036】リアクタの中の側壁とシーリングが一塊の
シリコンから、例えば内径12.6in(32cm)、
ウェハー−シーリング間のギャップは3in(7.5c
m)、内側のソレノイドの平均直径が3.75in
(9.3cm)に形成され、一方、外側のソレノイドの
直径が11.75in(29.3cm)で、0.03i
n厚さのテフロン絶縁層で被覆された3/16inの直
径の架空銅パイプを用いて形成されていて、それぞれの
ソレノイドは4回巻線で1in(2.54cm)の高さ
がある。外側の積層またはソレノイド120は第2の独
立に制御できるプラズマ源RF電力供給96により活性
化される。その目的は異なった、ユーザ選択の可能なプ
ラズマ源電力レベルを可能にし、異なったワークピース
またはウェハー56に関する放射位置での応用を可能に
して、ウェハー表面にまたがる不均一な既知のプロセス
の補償、それは重要な利点である、ができることにあ
る。独立的に制御可能なセンターガス供給口64aと円
周ガス供給口64b−dの組み合わせにおいて、ワーク
ピース中心でのエッチング性能は、端におけるエッチン
グ性能に比例して、外側のソレノイド90に比例し、適
用する内側ソレノイド42に比例して適用したRF電力
の調整および外側のガス供給口64b−dを通る流速に
比例するセンターガス供給口64aを通る流速の調整に
より、調整することもできる。一方、本発明は上述した
ような誘導場での架空または中実の問題を、そこにはほ
かのプラズマ加工の不均一の問題もあると思われる、解
決または少なくとも改良し、このことは内側および外側
のアンテナに適用されたRF電力レベルに比例する調整
により図5の多彩な実施例を補償することができる。こ
の目的をより都合よく効果たらしめるため、内側および
外側のソレノイド42、90のそれぞれの相対RF電力
供給68、96は通常の電力供給97a(POWER SUPPL
Y)と電力スプリッタ97b(SPLITTER)に置き換えてもよ
く、それらは内側および外側のソレノイド42、90の
場の間の固定された位相の関係を保存する間、ユーザー
が内側および外側のソレノイド42、90の間の電力の
相対的な配分を変え得る。これは2つのソレノイド4
2、90が同じ周波数でRF電力をどこで受けるかで、
特に重要である。ほかの事情で、もし独立した2つの電
力供給68、96が採用されたら、異なったRF周波数
で供給されるだろうから、その場合は2つのソレノイド
間の結合からの異常周波数のフィードバックを避けるた
めRF電力供給68、90のそれぞれの出力端にRFフ
ィルタを設置することが好ましい。この場合、周波数の
相違は、2つのソレノイド間の充分な時間平均出力結合
を有すべきで、更に、RFフィルタの排除バンド幅より
大きくなければならない。好ましいモードは、独立にそ
れぞれのソレノイドと共振的にマッチングするそれぞれ
の周波数を作ることであり、通常のインピーダンスマッ
チング技法に代わって、それぞれの周波数がプラズマイ
ンピーダンス中の変化に従って(共振を維持することに
より)変化してもよい。いいかえれば、アンテナに供給
されるRF周波数はチャンバ中のプラズマのインピーダ
ンスによって装荷されたアンテナの共振周波数に従って
作られる。その遂行にあったては、2つのソレノイドの
周波数レンジは互いに排他的でなければならない。代わ
りのモードとして、2つのソレノイドが同じ周波数で駆
動され、この場合、2つのソレノイド間の位相の関係
が、構造的な相互作用または2つのソレノイドの磁場の
重ね合わせを発生するようであれば好ましい。一般に、
この必要条件は、もし双方が同等に巻かれた場合にその
2つのソレノイドに適用された信号間の位相角がゼロで
あることで適合される。ほかの事情でもし反対に巻かれ
た場合、位相角は180度であることが好ましい。どの
場合でも、内側と外側のソレノイドの間の結合は最小化
するか、または以下に述べるように、内側と外側のソレ
ノイド42、90の間の比較的大きなスペースにより削
除することができる。
【0037】このような調整によって到達できる範囲
は、外側のソレノイド90半径の増加で内側と外側のソ
レノイド42、90の間のスペースを増加させて、増や
され、そのため2つのソレノイドの効果はワークピース
中心と端のそれぞれを、より限定する。このことは2つ
のソレノイド42、90の効果を重ねて、より広い制御
範囲をもたらす。例えば、内側ソレノイド42の半径は
ワークピース半径の半分より小さくなければならず、好
ましくは1/3より小さいことである。(内側のソレノ
イド42の最小半径は ソレノイド42を形成する良導
体の半径による部分および弓形−例、丸い−の円周のゼ
ロでない有限のインダクタンスを作るための電流通路を
供給する必要性の部分により影響を受ける。)外側コイ
ル90の半径は少なくともワークピース半径と同じか、
1.5倍ないしそれ以上が好ましい。このような形態
で、内側と外側のソレノイドの中心および端のそれぞれ
の影響は非常に際立っているので内側のソレノイドへの
電力の増加により、均一なプラズマを生成している間チ
ャンバ圧力を数百mTに増加することができ、外側のソ
レノイド90への電力の増加により、均一なプラズマを
生成している間チャンバ圧力を0.01mTの位に減少
させることができる。このような外側のソレノイド90
の大きな半径のほかの利点は、内側と外側のソレノイド
42、90の間の結合を最小にすることである。
【0038】図5は破線で第3のソレノイドをオプショ
ンとして加えた場合について示してあり、非常に大きい
直径のチャンバのときに望ましいものである。
【0039】図6は図5の実施例の変形を図解したもの
で、そこでは外側のソレノイド90が平坦な巻線100
に置き換えてある。
【0040】図7Aは図4の実施例の変形を図解したも
ので、中心のソレノイド巻線は、巻線44の垂直積層4
2だけでなく、最初の積層42に近く近接した巻線10
4の第2の垂直積層102をも加えているので、2つの
積層は二重巻線ソレノイド106を構成している。図7
Bを参照して、二重巻線ソレノイド106は2つの独立
した一重ソレノイド42、102からなってもよく、内
側のソレノイド42は巻線44a、44bから、外側の
ソレノイド102は巻線104a、104bからなって
いてもよい。代わりに、図7Cを参照して、二重巻線ソ
レノイド106は少なくとも、ともに平坦な巻線の垂直
積層のペアでなっていてもよい。図7Cの代わりに、と
もに平坦な巻線のそれぞれのペアは(例、44aと10
4aのペアまたは44bと104bのペア)単一の良導
体をらせん状に巻いて形成してもよい。ここで使われる
「二重巻線」の名称は図7Bまたは図7Cのいずれかに
示される巻線のタイプをいう。加えて、ソレノイド巻線
は単に二重巻線だけでなく、三重巻線またはそれ以上で
もよく、それは一般に対称軸に沿ったそれぞれのプレー
ンに複数の巻線からなるものでもよい。そのような多重
巻線ソレノイドは、図5の実施例の二重ソレノイドの内
側と外側のソレノイド42、90の1つまたは両方のい
ずれかを用いてもよい。
【0041】図8は図7Aの実施例の変形を図解してお
り、外側の二重巻線ソレノイド110の内側の二重巻線
ソレノイド106への集中は内側ソレノイド106から
の放射距離δRに置かれる。
【0042】図9は図8の実施例の変形を図解してお
り、外側の二重巻線ソレノイド110が図5の実施例に
用いられた外側ソレノイドに一致する普通の外側ソレノ
イド112に置き換えられている。
【0043】図10は図5のソレノイド42が中心ガス
供給口ハウジング66からの放射距離δrの位置に置か
れたほかの好ましい実施例を図解している。図4の実施
例において、δrはゼロであるが、一方、図10の実施
例のδrは円筒側壁50の半径の重要な割合を占めてい
る。図10に図解した範囲に対してのδrの増加は、図
4,5,7,8の実施例の変形として、図3Dおよび図
3Eを参照して述べたプラズマイオン密度の通常な中実
を加味された不均一性の補償のため、理解する手助けに
なる。同様に、図10の実施例も、そこにソレノイド4
2がチャンバ中心軸46(図4)からの最短距離に置か
れ、それがウェハー56の中心近くのプラズマイオン密
度を増加し、センター近くのプラズマイオン密度の通常
な中実を過剰訂正し、プラズマプロセス運転中のほかの
不均一がまだ生成する、ことを理解する手助けになる。
この場合、図10の実施例は、δrがプラズマイオン密
度を最も均一化する最適な値として選定されるので、好
ましい。このケースで理想的には、δrが、プラズマイ
オン密度の通常中実のための過小訂正と過剰訂正の両方
を避けるため、選定される。δrの最適な値の決定は、
熟練した人により、異なる放射配置でのソレノイド42
の位置決めのトライアルアンドエラー段階および各段階
におけるプラズマイオン密度の放射断面の決定を通常の
技術を用いることにより、行うことができる。
【0044】図11はソレノイド42が逆円錐形状の形
状の実施例の図解で、一方図12はソレノイド42が直
立した円錐の形状持つ実施例を図解している。
【0045】図13はソレノイド42が平坦ならせん巻
線120と複合化された実施例を図解している。平坦な
らせん巻線は厳格さを減少する効果を持ち、ソレノイド
巻線42が中心から幾分離れたRF電力の何かの貢献に
よりワークピース中心近くの誘導磁場を集中させる。こ
の形状は 通常の架空が過剰訂正されるのをさける必要
がある場合に役立つ。このような誘導磁場の転換の範囲
は中心から離れ、平坦ならせん巻線120の半径に一致
する。図14は図13の実施例の変形を図解しており、
ソレノイド42が図11のような逆円錐の形状を持つも
のである。図15は図13の実施例のほかの実施例を図
解しており、ソレノイド42が図12の実施例のように
直立した円錐の形状を持つものである。
【0046】シーリング52上のRFポテンシャルが、
例えば高分子の堆積を防ぐため、チャンバのほかの電極
に比例した効果的な電気容量の電極面積を減少すること
により増加する。(例、ワークピースおよび側壁)。図
16はこれがどのようになし得るかを図解しており、図
解された小面積化変形品シーリング52’からの外側円
環体200上のシーリング52’を小面積化変形品で支
持している。円環体200はシーリング52’と同じ材
料(例、シリコン)で作られてもよく、円錐台(実線で
示す)またはドーム台(破線で示す)の形状でもよい。
分離されたRF電力供給205は円環体200につなが
ってもよく、ワークピース中心、対、端のプロセス調整
をより容易にする。
【0047】図17Aに、シーリング52および側壁5
0が、中心エッチング速度および端にたいしての選択性
にたいする制御を向上させるために個別のRF源210
および212から自身に印加される個別の制御されるR
Fバイアス電力レベルを持つ互いから絶縁された分離し
た半導体(シリコンなど)のピースである図5に示す実
施態様の変更例を示す。上で参照されたコリンズ等によ
って1996年2月2日に提出された米国出願第08/
597,577号に詳述されているように、シーリング
52は、自身に印加されたRFバイアス電力をチャンバ
中に、しかも同時に、ソレノイド42に印加されたRF
電力がチャンバ中に誘導的に結合されるようなウインド
ウとして、容量的に結合する電極として作用するように
ドーピングされた半導体(シリコンなど)でもよい。こ
のようなウインドウ−電極の長所は、RF電位をウエハ
上に直接に設定することができ(例えば、イオンエネル
ギを制御するために)、同時に誘導的にRF電力をウエ
ハに直接に結合できることである。この後者の特徴は、
分離して制御される内部と外部のソレノイド42および
90ならびに中心および周辺の気体フィード64aおよ
び64bと組み合わせると、イオン濃度、イオンエネル
ギ、エッチング速度、更に最適の均一性を達成するため
にワークピース端から見たワークピースの中心でのエッ
チング選択性などのさまざまなプラズマプロセスのパラ
メータを調整する能力を大いに向上させる。この組み合
わせでは、個々の気体フィードを通過する気体の流れは
個別にそして互いに分離して制御し、このようなプラズ
マプロセスパラメータの最適な均一性を得る。
【0048】図17Aに、ランプヒータ72が電熱素子
72’で置き換えられるところを示す。図4の実施態様
に見るように、使い捨てのシリコン部材は、台座54を
取り囲む環状リング62である。環状リング62は、高
純度のシリコンであり、その電気的および光学的特性を
変更するためにドーピングすることが望ましい。シリコ
ンリング62を充分高い温度に維持し、それがプラズマ
プロセスに良好に関与する(例えば、シリコン材料がプ
ラズマ中で、フッ素のスカベンジングのために寄与す
る)ようにするために、環状リング62の下で輪状に配
置されている複数の放射状の(例えば、タングステンハ
ロゲンランプ)ヒータ77が、石英ウインドウ78を介
してシリコンリング62を加熱する。上で参照した同時
係属出願で説明したように、ヒータ77は、光学高温計
やフッ素光学プローブなどの遠隔センサーでもよいが、
温度センサー79によって感知されたシリコンリングの
温度の測定値にしたがって制御される。センサー79は
部分的に、リング62の非常に深い穴62a中に伸長し
ていてもよいが、その穴の深さおよび狭さは少なくとも
部分的には、シリコンリング62の熱放射性の温度依存
変動をカスクしてしまう傾向があり、したがって、それ
は、より信頼性の高い温度測定のための灰色本体のラジ
エータのように動作することになる。
【0049】図17Bに、シーリング52自身が、互い
に絶縁され、単一の差分制御式RF電源であり得る個別
のRF電源214および216によって別々にバイアス
される内部ディスク52aおよび外部環52bに分割さ
れる別の変更例を示す。
【0050】別の実施態様によれば、例えば、従来のマ
イクロプロセッサやメモリを含むプログラム可能電気式
コントローラなどの図17Aおよび17Bに示すユーザ
の手が届く中央コントローラ300(CENTRAL CONTROLLE
R)が接続されていて、これによって、内部および外部の
アンテナ42および90に印加される中心および周辺の
気体フィード64a、64を通過する気体流量、内部お
よび外部のアンテナ42および90に印加されるRFプ
ラズマ電力レベル、シーリング52および側壁50にそ
れぞれ印加されるRFバイアス電力レベル(図17A参
照)、シーリング部分52aおよび52b(図17B参
照)に印加されるRFバイアス電力レベル、シーリング
52の温度ならびにシリコンリング62の温度を同時に
制御する。シーリング温度コントローラ218(TEMP CO
NTROLLER)は、ランプ電源220(HEATER POWER)がヒー
タランプ72’に印加する電力を、シーリング温度セン
サー76で測定した温度をコントローラが記憶している
希望の温度と比較することによって支配する。リング温
度コントローラ222(TEMP CONTROLLER)は、ヒーター
電源224(HEATER POWER)によって印加された電力を、
リングセンサー79が測定したリング温度をコントロー
ラ222が記憶している希望の温度と比較することによ
って制御する。マスターコントローラ300は、温度コ
ントローラ218および222の希望の温度、ソレノイ
ド電源68および96のRF電力レベル、バイアス電源
210および212(図17A)または214または2
16(図17B)のRF電力レベル、RF電源70によ
って印加されたウエハのバイアスレベル、ならびにさま
ざまな気体供給源(または分離したバルブ)から気体土
地入れ口64a−64dに供給される気体流量を支配す
る。ウエハのバイアスレベルを制御する鍵は、ウエハ台
座54とシーリング52環のRF電位差である。したが
って、台座RF電源70またはシーリングRF電源21
2は双方とも、RFグラウンドに接地してもよい。この
ようなプログラム可能な一体化されたコントローラによ
って、ユーザは容易に、RF電源電力、RFバイアス電
力、およびワークピースの中心と周辺化の気体流量の分
配を最適化して、ワークピース表面全般にわたる中心か
ら端へのプロセス均一性(例えば、エッチング速度およ
びエッチング選択性の均一な放射状分配)を達成するこ
とができる。また、台座54とシーリング52環のRF
電力差に応じてソレノイド42および90に印加される
RF電力を(コントローラ300を介して)調整するこ
とによって、ユーザはリアクタを、卓越して誘導的に結
合されたモードまたは卓越的に容量的に結合されたモー
ドで操作することができる。
【0051】図17Aでソレノイド42および90、シ
ーリング52ならびに側壁50(または、図17Bにお
けるような内部および外部のシーリング部分52aおよ
び52b)に接続されているさまざまな電源をRF周波
数で動作しているものとして説明したが、本発明はなん
ら特定の周波数範囲に制限されるものではなく、RF以
外の周波数を本発明の実施際して当業者によって選択可
能である。
【0052】本発明の好ましい実施態様中では、高熱伝
導率スペーサ75、シーリング52および側壁50は、
単一のポリシリコンの片から一体に形成されている。
【0053】再び図5について述べれば、望ましいプラ
ズマ処理チャンバはウィンドウ/電極52を含む。この
ウィンドウ/電極52は、上記参照出願に詳細に記され
ているように、半導体物質から作られているので、1つ
またはそれ以上の外部(外部チャンバ)アンテナまたは
チャンバ内のプラズマへのコイルから、RF電磁電力ま
たは電磁気誘導電力結合へのウィンドウとしても、チャ
ンバ内のプラズマへの静電気的または静電容量的結合R
F電力の電極としても(またはこのようなRF電力の静
電気的または静電容量的結合を終端させるか、または基
盤を提供するか、または戻すための電極)、または加工
材料またはウエハをバイアスさせるための電極としても
機能する。
【0054】ウィンドウ/電極52は、上記参照出願で
はいかなる形でも良いが、この例では、おおよそ、上記
参照出願に記述されているようなプラズマ封鎖用のディ
スクからの方向に広がる円柱壁または外縁を任意に含む
フラットディスクである。
【0055】ウィンドウ/電極52は熱伝導体75を通
じて吸熱器74に繋がる。吸熱器74の代表的なものは
水で冷した金属プレートで、好ましくはアルミニウムま
たは銅のような優れた熱伝導体であるが、非金属でも良
い。吸熱器74は、閉ざされた環状の熱交換器または冷
却器によって吸熱器74中の十分な表面を冷却経路を通
して強制循環させるような出来れば水またはエチレング
リコールのような液体冷却剤を使う形の冷却装置が代表
的である。液の流量または温度は殆ど一定に保たれる。
別案としては、液の流速または温度は温度制御システム
の可変出力でも良い。
【0056】好ましくは、ウィンドウ/電極を熱するの
に放射熱が用いられる。放射加熱器は、ハロゲンと不活
性ガスの混合物で満たされた石英エンベロープを用いた
複数のタングステンフィラメントである。放射加熱器は
熱の伝導遅延が最小化されるので、他の加熱タイプより
好ましい。タングステンフィラメントランプの熱容量は
非常に低いので、フィラメント温度(従って電気出力)
の出力セッティングに対する熱応答は短く(1秒以
下)、ランプフィラメントと負荷との間の熱伝導メカニ
ズムは放射によるので、加熱に関する全熱伝導遅延は最
小化される。更に、ランプフィラメントと熱出力との間
の熱伝導メカニズムは放射によるので、加熱の全熱遅延
は最小化される。更に、タングステンフィラメントの熱
容量は非常に低いので、ランプ中に蓄えられる熱エネル
ギー量は非常に低く、制御システムにより加熱力の減少
が求められると、フィラメント温度は急速に下がり、従
ってランプの電力も急激に下がる。図5に示すように、
ランプ72は最も速い応答で負荷物(ウィンドウ/電極
52)を直接放射する。しかし、その代りとして、ラン
プ72は熱伝導物質75を放射しても良い。ウィンドウ
/電極の熱均一性を改良するために、1つ以上の場所す
なわちウィンドウ/電極の軸から2つ以上の放射軸のラ
ンプでランプ加熱が行える。最大の熱均一性のために、
2つ以上の場所のランプがそれぞれ別々の温度測定、制
御システムおよび出力変換器を使って用いられる。この
ことは、チャンバ内からの熱流量の空間的分布がプロセ
スのパラメーター、プロセス、プロセスの順序、または
その他の境界条件によって変化するときは特に有効であ
る。
【0057】熱伝導物質75は、もし熱伝導物質75と
ウィンドウ/電極52が別々の部品であれば存在する熱
接触抵抗を除くための一体構造として同じ物質から作ら
れるウィンドウ/電極52と一体として作られる。また
は、熱伝導物質75とウィンドウ/電極52は一緒に結
合した同一または異なる材料(ウィンドウ/電極52は
RFの誘導結合または電磁結合のため、または誘導アン
テナ90、92および/または42、44を用いたマイ
クロ波電力のために用いられるので、好ましくは高い電
気抵抗物質)の2つの部品で、熱伝導物質75とウィン
ドウ/電極52との間の熱接触抵抗を最小化する。
【0058】また、熱伝導物質75とウィンドウ/電極
52は接触抵抗を通じて接する同一または異なる物質の
2つの部品である。この場合、熱伝導物質75は高い電
気抵抗の高い熱伝導物質から作られることが好ましい。
更に、低密度、低比熱の製品が望まれる。SiC、S
i、AlNおよびAl23がその例である。
【0059】SiCの性質を以下に示す: 熱伝導: 130ワット/メーター*ケルビン 電気抵抗: >105オーム*cm 比熱: 0.66ジュール/グラム*ケルビン 密度: 3.2グラム/cm3 シリコンも軽く(重くなく)ドープ(例えば1014/
cm3)され、以下の性質を持つならば使える: 熱伝導: 80ワット/メーター*ケルビン 電気抵抗: 20−100オーム*cm 比熱: 0.7ジュール/グラム*ケルビン 密度: 2.3グラム/cm3 窒化アルミニウムまたは酸化アルミニウムも他の選択肢
である。
【0060】熱伝導物質75は業界でよく知られた技術
で(例えば熱可塑性プラスチック、エポキシ樹脂、また
はその他の有機または無機接着剤のような接着剤を用い
て)、吸熱器74に隣接した場所に、高電気抵抗接着剤
を必要とする制限なしに、吸熱器74に接着できる。こ
れは熱伝導物質75と吸熱器74との間に非常に低い熱
接触抵抗を与える。
【0061】熱伝達物質75はまた、もし吸熱器74が
金属であれば、その吸熱器から誘導アンテナ90、92
および/または42、44を分離するのに役立ち、9
0、92および/または42、44の各誘導アンテナの
近傍に生ずる誘導フィールドに対して基面または反射器
となる。もし吸熱器74が金属で誘導アンテナ90、9
2および/または42、44に近すぎると、渦巻流が基
面に誘導されて電力ロスを起す。更に、アンテナ90、
92および/または42、44を通ずるRF電流は非常
に大きくなって既定のRF電力を出させ、回路中のI2
Rロスを増やす。アンテナ90、92および/または4
2、44は3/16インチ径の各4巻の水冷銅チューブ
からなり、これは外径1/4インチのテフロンチューブ
で絶縁され、高さ1インチのコイルを形成する。ウィン
ドウ/電極52と金属吸熱器74との間の許容距離は約
2インチであり、アンテナ90、92および/または4
2、44の上部と吸熱器74との間に約1インチの距離
を生ずる。
【0062】前述のように、熱伝導物質75とウィンド
ウ/電極52との間、および熱伝導物質75と吸熱器7
4との間の熱接触抵抗は、これらの材料を一緒に接着す
ることにより最小化できる。また、前述したのは、ウィ
ンドウ/電極52と熱伝導物質75を一体の材料から作
り、熱接触抵抗を1つ除いた例であった。しかし、ある
場合には、1つまたは両方の熱接触抵抗が避けられな
い。しかし、熱接触抵抗は本願の特徴に従えば最小化で
き、以下にそれを紹介する。
【0063】2つの部品間の熱接触抵抗は2つの同質の
要素、すなわち1)部品間の機械的点接触と2)部品間
の空気(または他の媒体)を通じての伝導からなる。空
気またはその他の媒体がない場合、2つの部品間の熱接
触抵抗は非常に高く、ウィンドウ/電極52の加熱およ
び/または冷却には、標準的なプラズマ反応器の操作中
負荷される高い熱負荷のため普通受入れられない。空気
の存在は機械的点接触だけよりも低い熱接触抵抗を与え
るが、両部品の表面の粗さと平坦性の関数である部品間
の有効ギャップにもよるが、通常限界に近い。高圧連続
体系中の空気については、ガス中の平均自由行路は部品
間の有効ギャップに比べて小さいが、空気の熱伝導度は
ガス圧によって変化せず、単位エリア当りの熱伝導は単
に空気の熱伝導度と有効ギャップの比である。大気圧、
100℃の空気では熱伝導度は約0.03ワット/メー
ター*ケルビンである。ギャップ間の熱伝導は、低いチ
ャンバ圧と、2つの部品間の機械的接触は点接触にすぎ
ない事実により、限られている。
【0064】熱伝導を改善するために、本願の最初の具
体例に従い、(好ましくは)ヘリウムのような熱伝導ガ
スまたはアルゴン、キセノン等の不活性ガスを、熱伝導
物質75と熱処理器74との間および/または熱伝導物
質75とウィンドウ/電極52の間のギャップに入れる
ことが出来る。ギャップ中の熱伝導ガスは、その圧力が
チャンバ圧と大気圧の中間が望ましいが、チャンバ内圧
力以上大気圧まで加圧されるのが最良である。ヘリウム
は大気圧、100℃で約0.18ワット/メーター*ケ
ルビンの熱伝導を持つので、ヘリウムは熱伝導ガスとし
て望ましい選択である。熱伝導物質75と吸熱器74間
の熱接触抵抗を最小化するため、本明細書中後に記述す
るように、ヘリウムは吸熱器74内のヘリウム分配マニ
ホルドを通じて各界面に提供できる。また、詳細を後述
するように、小断面のO−リングと低持続メーターが熱
伝導物質75と吸熱器74の間のヘリウムの漏れを減ら
すのに使うことが出来る。熱伝導物質リング75とウィ
ンドウ/電極52間をつなげるために、熱伝導物質また
はリング75の上表面からの貫通孔を吸熱器74と熱伝
導物質リング75の上部境界からのヘリウム通路に結合
することが出来る。、熱接触抵抗を増加するはずの空気
によるヘリウムの希釈を最小化するため、ヘリウムは吸
熱器74中にある前述のヘリウム分配マニフォールドへ
大気圧よりやや高い圧力で供給できる。
【0065】熱接触抵抗を最小化するために、その他の
物質を熱伝導物質75とウィンドウ/電極52の間およ
び熱伝導物質75と吸熱器74の間に用いることが出来
る。その例は、窒化ボロンまたは炭化シリコン、または
シリコンまたは窒化アルミニウム、または酸化アルミニ
ウム、および同様な物質を含む熱伝導性の柔軟なエラス
トマパッドである。金属を入れたエラストマパッドは吸
熱器74に隣接する境界に用いられるが、一般的に伝導
体はウィンドウ/電極52に隣接して置いてはいけない
という前に説明したのと同じ理由でウィンドウ/電極5
2に隣接して使えない。1100シリーズのアルミニウ
ム、インジウム、銅またはニッケルのような柔らかい金
属は吸熱器74に隣接する境界に使用できるが、上記で
説明した理由でウィンドウ/電極52に隣接しては使え
ない。
【0066】冷却能力と加熱力の要件は、1)ウィンド
ウ/電極に必要な温度制御範囲、2)最小および最大内
部熱負荷、3)ウィンドウ/電極、熱伝導物質、熱処理
プレートおよび熱処理プレート、熱伝導物質およびウィ
ンドウ/電極間の境界の物質の性質と物理的寸法、およ
び4)熱処理器の温度によって最適に選ばれ寸法を決め
る。一般的に、冷却能力はまずウィンドウ/電極の最高
内部熱負荷での操作に必要な最低温度に対して決め、つ
いで加熱力はウィンドウ/電極の最低内部熱負荷での操
作に必要な最大の冷却(代表的には内部熱負荷ゼロ)に
対処出来るように決める。
【0067】図18は図5の1部を拡大した図に相当
し、ウィンドウ/電極52と一体ではない熱伝導スペー
サ75の両面(上部と底部)での熱伝導ガス境界の上述
の概念の1器具を例示したものである。図18では、図
5に例示したように、上部の冷却プレート74は複数の
スペーサリング75をその下の半導体ウィンドウ電極5
2で挟み込んでいる。クーラントポンプは図中に(COOLA
NT PUMP)と示されている。スペーサないしトーラス75
のそれぞれは、前に論じたように、半導体ウィンドウ電
極52とは異なった物質であってもよい。マニホルド1
000がコールドプレート74に形成され、ヘリウム等
の熱伝導ガスがソース1010(THERMALLYCONDUCTIVE G
AS SOURCE)から正圧でコールドプレートに供給される。
ソース1010の正圧は、2つの部品間の薄いギャップ
内の圧力が、反応チャンバの圧力よりかなり高いが大気
圧より低く維持されるように選定されることが好ましい
が、必ずしもこうある必要はない。ガス孔1020はマ
ニホルド1000を冷却プレート74とスペーサ75間
の上部境界1030につなぎ、熱伝導ガス(例えばヘリ
ウム)を境界1030中の空隙に満たす。軸管1040
は上部と底部間のスペーサ75を通す。軸管1040は
上部境界1030をスペーサ75とその下の半導体ウィ
ンドウ電極52間の底部境界1050と結ぶ。軸管10
40は、底部境界1050中の空隙を満たすため、熱伝
導ガスを上部境界1030から底部境界1050に流入
させるので、熱伝導ガスは上部境界1030と底部境界
1050の両方の空隙を満たす。熱伝導ガスマニホルド
1000を陽圧下(例えばチャンバ圧より5psi高
く)に保つ容器1010により、ガスは境界1030と
1050の両方に流れる。熱伝導ガスが境界1030と
1050から漏れるのを減らすか防ぐため、組立時に小
断面のO−リング1070と1080をそれぞれ上部お
よび底部境界に挿入する。O−リング1070と108
0はそれぞれのガスマニホルド1000と1040と連
動して各境界1030と1050中を殆ど微少に薄いガ
ス含有量とする。
【0068】図19は図18の具体化がどのように半導
体ウィンドウ電極52と一体的に作られた伝導トーラス
スペーサ75の配列を調整するために変えられたかを例
証する。この場合、熱伝導ガスによって満たされる唯一
の境界は上部境界1030である。
【0069】図20は図5の1部の拡大図で、半導体ウ
ィンドウ電極52と一体化していない熱伝導伝導スペー
サ75の両面(上部と底部)での熱伝導固体境界物質の
上述の概念の1実行例を例証する。図18では、図5に
示すように、上部の冷却プレート74はその下の半導体
ウィンドウ電極52と共に複数の円筒形スペーサリング
75を挟み込んでいる。上記で述べたように、各スペー
サまたはトーラス75は半導体ウィンドウ電極52とは
異なった物質でありえる。熱伝導固体境界物質層108
5、1090はそれぞれ上部および底部境界1030、
1050のどちらかまたは両方の中に置かれる。もし固
体物質層が境界1030、1050の上部または底部の
どちらかだけに置かれると、残る境界は、図18のよう
に、熱伝導ガスで満たされる。しかし、図20は、熱伝
導固体境界層が境界1030と1050の両方にある場
合を例証する。既に論じたように、上部境界1030中
の固体境界物質層1085は軟金属でよいが、底部境界
1050中の固体境界物質層1090は、電極52に隣
接しているので、電気伝導は高くできない。上部層10
85は柔らかいアルミニウム、インジウム、銅またはニ
ッケルまたはこれら金属の粉末または粒子を満たしたエ
ラストマでありえる。上部または底部層1085、10
90のどちらか1つは窒化ボロン、高電気抵抗(例えば
バルク)の炭化シリコンまたはシリコン、窒化アルミニ
ウム、酸化アルミニウムおよび同様な物質のような熱伝
導性電気絶縁物質の粉末または粒子を満たしたエラスト
マでも良い。または、物質層1085、1090のどち
らかまたは両方は熱可塑性、エポキシまたは有機または
無機の接着剤のような接着剤でも良い。
【0070】図21は、図20の具体化がどの様に半導
体ウィンドウ電極52と一体になった伝導トーラススペ
ーサの配列を調整するために変えられたかをを例証す
る。この場合は、満たされるべき唯一の境界は上部境界
1030である。
【0071】本発明はまた、図5を参照にして上述した
重合体硬化前駆材料の加熱された使い捨てリング62な
どの冷却困難なリアクタチャンバ内部の加熱された部品
に関する厳しい冷却問題を解決する。(リング62は、
ヒータがなく、それでも冷却が必要であればプラズマ加
熱で加熱してもよい。)本発明はまた、直接に加熱する
ことが困難なリアクタチャンバ内部の部品を加熱する問
題を解決する。
【0072】図22および23を参照すると、リング6
2の直下にあり熱的に接触しているコールドプレート1
100は、冷却剤循環ポンプ1120(COOLANT CIRCULA
TIONPUMP)から冷却剤(クーラント)を受領する内部冷
却剤1110ジャケットを有する。コールドプレート1
100とリング62間の界面1130は、熱伝導性気体
(図22参照)や熱伝導性個体材料層1140(図23
参照)などの熱伝導率を向上させる物質で充填されてい
る。熱伝導性気体は、不活性気体や更にリアクタチャン
バ中で用いられるプロセス気体に類似のいかなる気体な
どの熱を伝導するものであればなんでもよいが、ヘリウ
ムなどの不活性気体が好ましい。熱伝導性気体を用いる
図22の実施態様の場合、コールドプレート1100を
通るマニホルド1150は、マニホルド1160を通っ
て界面1130中に熱伝導性気体を供給する熱伝導性気
体源1160(THERMALLY CONDUCTIVE GAS SOURCE)に接
続されている。界面1130からの気体の漏洩は、リン
グがしかるべき位置に置かれる際にコールドプレート1
100とシリコンリング62間にエラストマの低横断面
Oリング1070’を挟むことによって、損失を減少ま
たは防止するように制御することが望ましい。ここで、
図22、図23及び図26では、エッチャントガスソー
スを ETCHANT GAS SOURCE と表記している。
【0073】ヘリウムはギャップ中の熱伝導性気体とし
ては望ましいものであるとはいえ、準大気圧リアクタチ
ャンバ内部の加熱または冷却された部品に応用する場合
は、処理気体を含むいかなる気体も、チャンバ内圧力を
超えしかし大気圧未満の圧力で充分である。このような
場合、気体は、Oリングやエラストマなどの周辺シール
を使用する必要がないように、チャンバ内に漏れ込むよ
うにしてもよい。熱伝導性気体(または「熱伝達気
体」)はチャンバ内圧力を超える圧力に曝されるので、
なんらかのクランプ力を加えることが必要かもしれな
い。このようなクランプ力は、機械的なものでもよい
し、プレート1100とリング62間に静電的に誘導し
てもよい。このような静電的クランプ機構は、少なくと
も部分的に絶縁性である材料をプレート1100とリン
グ62間に置く必要がある。このような機構によって、
熱伝導性気体の漏洩を制御する周辺シールの必要がなく
なる。このような静電的クランプ機構は、図26を参照
にして本明細書中に以下に述べる。
【0074】熱伝導性気体は、どの適当な源から誘導し
てもよい。例えば、ウエハ台座にウエハ下でヘリウム冷
却方式を用いている場合、共通ヘイウム源を、ウエハや
他のチャンバ内のもの(リング62など)の冷却にも用
いてもよい。
【0075】図23に示す実施態様では、個体の熱伝導
性材料1140の層は軟質のアルミ、印字有無、銅、ニ
ッケル、更に、このような金属の粉末や粒子で充満した
エラストマであってもよく、更に、窒化ボロン、高抵抗
率(すなわちバルク)シリコンカーバイドやシリコン、
窒化アルミ、酸化アルミ、その類似物などの熱伝導性の
絶縁材料の粉末や粒子で充満したエラストマでもよい。
【0076】本発明は、同様の方法で冷却チャンバ壁お
よびチャンバライナにも関係する。図24について言う
と、上で論議されたどのリアクタにおけるチャンバ側壁
50は、壁50の外部に1部隣接した外部コールドプレ
ート1210によって冷却される。このコールドプレー
トは内部クーラントジャケット1220を有し、これを
介してクーラントがクーラントポンプ1230(COOLANT
PUMP)により再循環される。コールドプレート1210
と側壁50との間にある界面1240は、マニホルド1
245を通して供給された熱伝導性気体(ヘリウム等)
で満たされるが、この気体は、正圧に気体を維持する気
体源1250から、マニホルド1245を介しコールド
プレート1210を介して、界面1240へと供給され
る。界面1240から熱伝導性気体の漏出は、アセンブ
ル時にコールドプレート1210と側壁50との間にO
−リング1260を挟み込むことにより低減又は防止さ
れる。O−リング1260は、界面1240の気体含有
容量を形成するが、この容量は、ほぼ極薄であり、マニ
ホルド1245と連通している。電源68及び70から
の電力は、RF整合部(RF MATCH)を介して供給される。
【0077】内部チャンバライナ1300は、側壁50
等の冷却された本体部への熱伝導により冷却されてもよ
い。本発明に従うと、そのような冷却は、ライナ130
0と側壁50の内部表面との間の界面1310をヘリウ
ムのような熱伝導性気体で満たすことにより高められ
る。この目的のために、放射状に狭くなっている気体チ
ャネル1320は側壁50を通じて提供され、外部側壁
表面上の界面1240と内部側壁表面上の界面1310
との間の気体の流れを提供する。マニホルド1245を
通じて供給される熱伝導性気体は、外部表面界面124
0を満たし、チャネル1320を通じてライナ1300
と側壁50との間の内部表面界面1310を満たす。気
体漏れを防ぎ、または縮小するためには、アセンブル時
にO−リング1370が側壁50およびライナ1300
との間に挟まれるようにする。O−リング1370は、
側壁50における気体チャネル1245と連通している
界面1310でのほぼ極薄の気体含有容量を形成する。
【0078】図25は、それぞれの界面が1240およ
び1310である固体物質層1370、1380を、熱
伝導性気体の代わりにそれぞれ置換することにより、図
24の具体策がどのように修正されるかを示している。
図25の具体策では、固体熱伝導性物質の1370、1
380の各層は、ソフトアルミニウム、インジウム、銅
もしくはニッケル、もしくはそのような金属分子の粉末
または粒子で充満したエラストマ、または、硼素窒化
物、高抵抗(例えば、バルク)シリコンカーバイトもし
くはシリコン、アルミニウム窒化物、アルミニウム酸化
物および同様の物質のような熱伝導性電気絶縁物質の粉
末または粒子で充満したエラストマであってもよい。
【0079】図26は、コールドプレート1100に対
してリング62の静電圧クランプの特徴を含むために、
図22の具体策がどのように修正されたかを示してい
る。図26では、誘導体層1410が重合硬化前駆材料
62とコールドプレート1100との間に挿入され、静
電圧クランプ電圧が、クランプスイッチ1430を通じ
て、DC電圧源1420からコールドプレート1100
に適用されている。絶縁または誘導体層1410の挿入
は、コールドプレート1100と絶縁層1410との間
の間隙1130a、およびリング62と絶縁層1410
との間の間隙1130bを作成する。絶縁層1410
は、自身を通過する通路1412を持つので、通路11
50から間隙1130aに供給される気体は、もう1方
の間隙1130bに流れ得る。図26は、間隙1130
aおよび1130bの両方の間隙をぴったりと接続して
いるO−リング1070’を示しているが、誘導された
静電圧クランプ力によってはそのようなO−リングは必
要でないかもしれない。
【0080】本発明は、(ヘリウムの挿入事例において
約6つの因子により)チャンバの内側(チャンバライ
ナ、使い捨てシリコンリングなどの)、チャンバの外側
(ウインドウ電極、側壁などの)、コールドプレートま
たはコールドシンクのいずれかのリアクタの熱受け入れ
素子間の界面を介して、熱伝導に大きな改良をもたら
す。結果として、プラズマリアクタの多くの重要な部分
の無人コントロールは、前の技術におけるそれを凌ぐ新
しい能力に改良する。この発明は、様々な界面で1つ、
または2つの指数モードの組み合わせで完了する。すな
わち、(a)熱伝導性気体の界面への挿入、および
(b)界面の中の熱伝導性固体層の挿入である。こうす
ることによって、同一の素子の効果的にコントロールさ
れた加熱と組み合わせて、そのような加熱され冷却され
る各素子の温度を正確にフィードバック制御することが
可能となる。
【0081】熱伝達材料および/またはリアクタの物理
的寸法を選択する際には、必要な冷却コンダクタンス
(G)は次のように判断される: G=全最大内部熱負荷(watts)/Delta-T1(℃) ここで、Delta-T1=吸熱器温度と最小ウインドウ/電極
温度との差。
【0082】別法としては、熱伝達物質および物理的寸
法が既に選択されていたら、要請された吸熱器温度は、
上のGの関数としてDelta-T1の式を書き直すことによっ
て簡単に計算することもできる。
【0083】そして、加熱パワーは以下のように判断さ
れる。: P=制御面に供給されるトータル外部加熱パワー(watt
s) P=(G*Delta-T2)−Pmin ここで:Gは、上方からの冷却コンダクタンス(watt/
℃)であり、 Delta-T2=吸熱器温度と最大ウインドウ/電極温度との
差 Pminは、ウインドウ/電極上の最小内部熱負荷であ
る。
【0084】
【実施例】
(実施例1)ウインドウ/電極52および熱伝達リング
75は、モノリシック片として一体に形成され、ウイン
ドウ/電極52は、直径12.81インチ、厚さ0.8
5インチの平面円形ディスクである。ウインドウ/電極
52を用いて不可欠に形成された、4つの同心円柱状の
熱伝達リング(75)の配列(アレイ)であり、高さ2
インチで、次のような内径および外径のものである。
【0085】1.外部熱伝達リング‐外径12.80イ
ンチ、内径10.79インチ。、 2.中間部熱伝達リング‐外径9.010インチ、内径
7.595インチ。、 3.内部熱伝達リング‐外径5.715インチ、内径
3.940インチ。、 4.中央部熱伝達リング‐外径2.260インチ、内径
0.940インチ。
【0086】ウインドウ/電極52および同心円柱状の
熱伝達リング75の一体となった配列は、次の熱および
電気特質を持ったポリシリコンの単一のインゴットから
一緒に製造される: ドーピングレベル:1014/cm3、ボロンまたは燐 熱伝導率:80ワット/メーター*K 電気抵抗率:20−100オーム*cm 比熱:0.7ジュール/グラム*K 密度:2.3グラム/cm3 750ワット @ 120ボルト rms のタングステン
フィラメントランプ76の複数が使用される。ランプの
数は、計算された73%効率(出力電力/交流入力電
力)および、400ワット @ 80ボルト rms 最大
操作レベル(ロングライフランプに対し)に基づいて選
択される。それらの外部円上に1つの(外部)ゾーンを
含み、内部円上および中央円上に2番目の(内部)ゾー
ンを含んだ2つの熱ゾーンが使用される。各ゾーンは、
自身の温度計測(ウインドウ/電極表面にたいして負荷
されたタイプ−K 熱電対スプリング)および出力変換
器(位相角コントローラ)を有する。シルバニア社によ
り製造されたランプは、次のように配置される: 直径13.55インチの円上のランプ15個、等角度間
隔(24度); 直径6.655インチの円上のランプ15個、等角度間
隔(24度); 中央軸上のランプ1個。
【0087】外側ランプ円は、吸熱器74と一体になっ
ている円柱状の磨かれたアルミニウム反射体によってそ
の外側を囲まれている。
【0088】外部ソレノイドアンテナ90は、すでに参
照された親出願で説明されるように、高さ1インチ平均
直径10インチのコイルを形成する外径1/4インチの
テフロン製チューブで絶縁された直径3/16インチの
水冷式銅製チューブから成る4巻き線である。
【0089】内部ソレノィドアンテナ42は、上で参照
された親出願で説明されるように、高さ1インチ平均直
径3.25インチのコイルを形成する外径1/4インチ
のテフロン製チューブで絶縁された直径3/16インチ
の水冷式銅製チューブから成る4巻き線である。
【0090】吸熱プレート74は、毎分2ガロンの流量
で50/50%の水/エチレン−グルコールの混合物を
使用する閉ループ熱交換器によって摂氏75度に維持さ
れる水冷式のアルミプレートである。吸熱器74はラン
プソケットを収納し、固有のランプ損(約27%)のた
めに必要とされるランプ76のための冷却をソケットに
対して提供する。吸熱プレート74には、内部および外
部のソレノイドアンテナ42および90のためのフィー
ドスルーが含まれている。吸熱器74はまた、アンテナ
42および90のためにはグラウンド平面としても機能
する。吸熱プレート74には、各々の熱伝達リング75
の外径のすぐ内部および各々の熱伝達リング75の内径
のすぐ外部に配置されている直径0.139インチのジ
ュロメータ軟質Oリングが30個含まれている。双方の
表面(吸熱器74の底部及び熱伝達リング75の頂部)
の表面粗さは、マイクロインチ未満である。吸熱器の底
部と熱伝達リングの頂部間の実効ギャップは0.001
インチ未満である。
【0091】(実施例2)ウインドウ/電極52および
熱伝達リング75は、別々の材料で作成された互いに分
離したピースである。ウインドウ/電極52は、直径1
4.52インチ、厚さ0.85インチの平坦な環状ディ
スクである。以下に示す内径および外形の高さ2インチ
の4つの同心円筒形熱伝達リング75の分離配列は、吸
熱プレートとウインドウ電極間に位置されている: 1.外部熱伝達リング−12.70インチ(外径)、1
0.67インチ(内径) 2.中部熱伝達リング−9.993インチ(外径)、
7.676インチ(内径) 3.内部熱伝達リング−5.576インチ(外径)、
3.920インチ(内径) 4.中心熱伝達リング−2.080インチ(外径)、
1.050インチ(内径) ウインドウ/電極52は、以下の熱的特性および電気的
特性を持つポリシリコンの単一インゴットから製造され
る: ドピングレベル:1014/cm3、ボロンまたは燐 熱伝導率:80ワット/m*K 電気抵抗率:20−100オーム*cm 比熱:0.7ジュール/グラム*K 密度:2.3g/cm3 同心円筒形熱伝達リング配列75は、以下の熱的特性お
よび電気的特性を持つSiC(シリコンカーバイド)か
ら製造される: 熱伝導率:130ワット/メーター*K 電気抵抗率:105オーム*cm 比熱:0.655ジュール/グラム*K 密度:3.2g/cm3 複数の750W@120Vrmsタングステンフィラメ
ントランプを用いる。このランプの数は、73%効率の
測定値(出力電力/ac入力電力)および400W@8
0Vrms最大動作レベル(ランプの寿命が長い場合)
に基づいて選択される。2つの加熱ゾーンが用いられる
が、外部円環上にあるランプは1つのゾーン(外部)を
有し、内部円環上および中心にあるそれは第2の(内
部)ゾーンを用いる。各々のゾーンが、自身の温度測定
値(ウインドウ/電極表面に対面して搭載されているタ
イプ−Kの熱電対スプリング)および自身の出力トラン
スジューサ(位相角度コントローラ)を持つ。ランプ7
6は、シルバニアで製造され、次のような配置になって
いる: 直径13.55インチ円環上のランプ(15個)、等角
度間隔(24度) 直径6.6.26インチ円環上のランプ(15個)、等
角度間隔(24度) 中心軸上のランプ(1個) 外部ランプ円環は、吸熱器と1体になっている円筒形の
研磨済みアルミ反射器によって外部が囲まれている。
【0092】外部ソレノイドアンテナ90は、高さ1イ
ンチ直径10インチのコイルを成す外径1/4インチの
テフロン製チューブで絶縁された直径3/16インチの
水冷式銅製チューブからなる4巻線のものである。
【0093】内部ソレノイドアンテナ42は、高さ1イ
ンチ平均直径3.25インチのコイルを成す外径1/4
インチのテフロン製チューブで絶縁された直径1/4イ
ンチの水冷式銅製チューブから成る4巻線のものである
が、上記で参照の親出願中に述べてある。
【0094】吸熱プレート74は、毎分2ガロンの流量
で50/50%の水/エチレングリコール混合液を用い
る閉ループ熱交換器によって摂氏75度に保たれる水冷
式アルミプレートである。吸熱器はランプソケットを収
納し、ソケットにたいする固有ランプ損(約27%)の
ために必要とされる冷却をこのランプに提供する。吸熱
プレート74には、前述の内部および外部のソレノイド
アンテナ42および90のためのフィードスルーが含ま
れる。吸熱器74はまた、アンテナのためにはグラウン
ド平面としても機能する。吸熱プレート74およびウイ
ンドウ/電極52は、各々の熱伝達リング75の外径の
ちょうど内部と内径のちょうど外部に配置されている直
径0.139インチ、30デュロメーターの軟Oリング
を収納するためのOリング溝を有する。すべての表面
(吸熱器の底部および熱伝達リングの頂部、熱伝達リン
グの底部ならびにウインドウ/電極の頂部)の表面粗さ
は、マイクロインチ未満である。各々の表面平面度は
0.0005インチ未満である。吸熱器の底部と熱伝達
リングの頂部間の実効ギャップは0.001インチ未満
である。熱伝達リングの底部とウインドウ/電極の頂部
間の有効ギャップは0.001インチ未満である。
【0095】本発明は、好ましい実施態様を特定的に参
照して詳述したが、その変更および修正が本発明の真の
精神および範囲から逸脱することなく可能であることが
理解されよう。
【0096】
【発明の効果】希望のプロセスや1連のプロセスが、チ
ャンバ内の内部加熱負荷や冷却負荷の変化や他の境界条
件の変化と無関係に実行されるように、設定温度の充分
近傍にくるように制御されるように、プラズマ処理チャ
ンバ内で使用される電極またはウインドウ/電極を加熱
および/または冷却する方法が提供される。
【図面の簡単な説明】
【図1】共同出願中の米国特許に、上記の一般的な平坦
コイルアンテナを用いて利用したタイプの誘導的に結合
されたプラズマリアクタの切り取り側面図である。
【図2】両対数グラフであり、縦軸は電極間隔(ELECTRO
DE SPACING)、横軸は圧力(PRESSURE)であり、実線はプ
ラズマ中の誘導磁場の表層深さをcmで示し、点線は電
子−中性弾性衝突中間フリーパス長さを、それぞれ、ト
ールで表した圧力の関数として示したものである。
【図3】Aはワークピース−シーリング高さが4インチ
の図1のリアクタのワークピース中心から見た放射状位
置の関数として表したプラズマイオン密度のグラフであ
り、曲線AおよびBは、それぞれコイルアンテナの外側
と内側で生成するプラズマイオン密度を表す。Bは、ワ
ークピース−シーリング高さが3インチの図1のリアク
タのワークピース中心から見た放射状位置の関数として
表したプラズマイオン密度のグラフであり、曲線Aおよ
びBは、それぞれコイルアンテナの外側と内側で生成す
るプラズマイオン密度を表す。Cは、ワークピース−シ
ーリング高さが2.5インチの図1のリアクタのワーク
ピース中心から見た放射状位置の関数として表したプラ
ズマイオン密度のグラフであり、曲線AおよびBは、そ
れぞれコイルアンテナの外側と内側で生成するプラズマ
イオン密度を表す。Dは、ワークピース−シーリング高
さが1.25インチの図1のリアクタのワークピース中
心から見た放射状位置の関数として表したプラズマイオ
ン密度のグラフであり、曲線AおよびBは、それぞれコ
イルアンテナの外側と内側で生成するプラズマイオン密
度を表す。Eは、ワークピース−シーリング高さが0.
8インチの図1のリアクタのワークピース中心から見た
放射状位置の関数として表したプラズマイオン密度のグ
ラフであり、曲線AおよびBは、それぞれコイルアンテ
ナの外側と内側で生成するプラズマイオン密度を表す。
【図4】Aは、単一の三次元的中心の平坦でないソレノ
イド巻線を用いたプラズマリアクタの切り取り側面図で
ある。Bは、図4Aのリアクタの部分拡大図で、ソレノ
イド的巻き方の好ましい方法を図解してある。Cは、図
4Aに相当するプラズマリアクタの切り取り断面図であ
り、ドーム型のシーリングを有するものである。Dは、
図4Aに相当するプラズマリアクタの切り取り断面図で
あり、円錐型のシーリングを有するものであり、Eは、
図4Dに相当するプラズマリアクタの切り取り断面図で
あり、円錐台型のシーリングを有するものである。
【図5】内側および外側に垂直のソレノイドコイル巻線
を用いたプラズマリアクタの切り取り側面図である。
【図6】図5に相当するプラズマリアクタの切り取り断
面図で、その外側のコイル巻線が水平なもの。
【図7】Aは、図4に相当するプラズマリアクタの切り
取り断面図であり、その中心ソレノイドが、複数の垂直
円筒巻線からなるものであり、Bは、図7Aの実施例の
第1の実施の詳細図である。Cは、図7Aの実施例の第
2の実施の詳細図である。
【図8】図5に相当するプラズマリアクタの切り取り断
面図で、その内側と外側の両方の巻線が、複数の垂直円
筒巻線からなるもの。
【図9】図5に相当するプラズマリアクタの切り取り断
面図で、その内側の巻線が複数の垂直円筒巻線で、外側
の巻線が単一の垂直円筒巻線からなるもの。
【図10】最大プラズマイオン密度が均一となる、単一
のソレノイド巻線が最適の放射状位置に置かれたプラズ
マリアクタの切り取り断面図である。
【図11】図4に相当するプラズマリアクタの切り取り
断面図であり、そのソレノイド巻線が、逆円錐型である
もの。
【図12】図4に相当するプラズマリアクタの切り取り
断面図であり、そのソレノイド巻線が、垂直円錐型であ
るもの。
【図13】プラズマリアクタの切り取り断面図であり、
そのソレノイド巻線が、内側垂直円筒部と外側水平部か
らなるもの。
【図14】図10に相当するプラズマリアクタの切り取
り断面図であり、そのソレノイド巻線に、逆円錐部と水
平部の両方を含むもの。
【図15】図12に相当するプラズマリアクタの切り取
り断面図であり、そのソレノイド巻線に、円錐部と水平
部の両方を含むもの。
【図16】平坦、円錐およびドーム型のシーリング要素
の組み合わせを図解している。
【図17】Aは、分離して斜視したシリコン側壁とシー
リングと用いられた電気ヒータを図解している。Bは、
分離して斜視した内側および外側のシリコンシーリング
部と用いられた電気ヒータを図解している。
【図18】図5の熱伝導性円環体の各面に接触する熱伝
導性ガスを有する本発明の第1の実施例を図解した切り
取り断面図である。
【図19】半導体窓電極で積層形成された熱伝導性円環
体の1面に接触する熱伝導性ガスを有する本発明の第2
の実施例を図解した切り取り断面図である。
【図20】図5の熱伝導性円環体の各面に接触する熱伝
導性ガスを有する本発明の第3の実施例を図解した切り
取り断面図である。
【図21】半導体窓電極で積層形成された熱伝導性円環
体の1面に接触する熱伝導性固体を有する本発明の第4
の実施例を図解した切り取り断面図である。
【図22】図5の使い捨て可能シリコン含有のリングを
有する本発明の第5の実施例を図解した切り取り断面図
であり、そのリングは、冷却プレートで冷却されてお
り、そのプレートと使い捨て可能シリコンリングとの間
に熱伝導性ガスを接触させてある。
【図23】図5の使い捨て可能シリコン含有のリングを
有する本発明の第6の実施例を図解した切り取り断面図
であり、そのリングは、冷却プレートで冷却されてお
り、そのプレートと使い捨て可能シリコンリングとの間
に熱伝導性固体を接触させてある。
【図24】本発明の第7の実施例を図解してあり、チャ
ンバの壁およびチャンバ内層が、熱伝導路にまたがった
接触面の中の熱伝導性ガスを用いて冷却されている。
【図25】図24の実施例の別例を図解してあり、各接
触面は熱伝導性ガスの代わりに、固体の熱伝導性層で充
填されている。
【図26】図22の実施例を図解してあり、リングは静
電的にクランプされて熱伝導性ガスをシールする。
【符号の説明】
40…チャンバ、44…マニホールド基線、46…対称
軸、50…側壁、56…ワークピース、60…排気環、
64…ガス供給口。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 マイケル ライス アメリカ合衆国, カリフォルニア州, プレザントン, クラレット コート 675 (72)発明者 エリック アスカリナム アメリカ合衆国, カリフォルニア州, サニーヴェイル, ポーリーン ドライヴ 1332 (72)発明者 ダグラス ブクバーガー アメリカ合衆国, カリフォルニア州, トレーシー, ジャーニー ストリート 421 (72)発明者 クレイグ ロデリック アメリカ合衆国, カリフォルニア州, サン ノゼ, パインヴュー ドライヴ 776

Claims (79)

    【特許請求の範囲】
  1. 【請求項1】 処理中にプラズマリアクタチャンバ内部
    の支持面の近傍にワークピースを支持するための前記プ
    ラズマリアクタチャンバおよびワークピース支持体であ
    り、前記チャンバが前記サポートに対面するリアクタの
    エンクロージャ部分を持つチャンバおよびサポートと;
    前記リアクタエンクロージャ部分に隣接する低温の本体
    と;前記リアクタエンクロージャ部分と前記低温の本体
    との間にあるプラズマ源電力アプリケータと;前記低温
    の本体と前記リアクタエンクロージャとの間に存在しこ
    れらと接触している熱導体と;を有するプラズマリアク
    タ。
  2. 【請求項2】 請求項1記載のリアクタにおいて、前記
    電力アプリケータが、自身の間にある空隙の輪郭を定め
    る放射状に分散した複数のアプリケータ素子を有し、更
    に、前記熱導体が、放射状に分散した複数の導体素子を
    前記空隙中に有して、前記コールドシンクおよび前記リ
    アクタエンクロージャ部分と接触するリアクタ。
  3. 【請求項3】 請求項2記載のリアクタにおいて、前記
    放射状に分散した熱伝導性素子が、各々の同心円筒形リ
    ングを有するリアクタ。
  4. 【請求項4】 請求項1記載のリアクタにおいて、前記
    リアクタエンクロージャ部分がシーリングを有し、前記
    シーリングが、前記プラズマ源電力アプリケータから放
    出される電力のためのウインドウを有するリアクタ。
  5. 【請求項5】 請求項4記載のリアクタにおいて、 前記電力アプリケータが、複数の誘導素子を持つ誘導ア
    ンテナを有し、前記アンテナがRF(無線周波数)電力
    発生器と連通しており;前記シーリングが誘導電力ウイ
    ンドウを有する;リアクタ。
  6. 【請求項6】 請求項5記載のリアクタにおいて、前記
    シーリングが半導体のウインドウ電極を有するリアク
    タ。
  7. 【請求項7】 請求項1記載のリアクタにおいて、 前記熱導体および前記コールドシンクがこれらの間にあ
    るコールドシンク界面の輪郭を定め;前記リアクタが更
    に、前記コールドシンク界面を介して熱抵抗を減少させ
    るために、前記コールドシンク界面内に熱伝導性物質を
    有する;リアクタ。
  8. 【請求項8】 請求項7記載のリアクタにおいて、前記
    熱伝導性物質が、前記低温の本体界面を充填する熱伝導
    性気体を有するリアクタ。
  9. 【請求項9】 請求項7記載のリアクタにおいて、前記
    熱伝導性物質が、熱伝導性個体を有するリアクタ。
  10. 【請求項10】 請求項8記載のリアクタにおいて、前
    記リアクタが:前記熱伝導性気体のソースと連通可能な
    前記低温の本体中にある気体マニホルドと;前記気体マ
    ニホルドおよび開口部から前記低温の本体界面まで前記
    低温本体を通っている取り入れ口と;を有するリアク
    タ。
  11. 【請求項11】 請求項10記載のリアクタにおいて、
    前記低温本体と前記熱導体間に挟まれ、前記低温本体か
    ら前記取り入れ口と連通している前記低温本体界面中の
    気体含有体積の輪郭を定めるOリング装置を更に有する
    リアクタ。
  12. 【請求項12】 請求項11記載のリアクタにおいて、
    前記気体含有体積が、ほとんど微小の厚さを持つもので
    あるリアクタ。
  13. 【請求項13】 請求項7記載のリアクタにおいて、前
    記熱導体が前記リアクタエンクロージャ部分で一体に形
    成されているリアクタ。
  14. 【請求項14】 請求項7記載のリアクタにおいて、 前記熱導体が前記リアクタエンクロージャ部分と分離し
    て形成されており、これによって、リアクタエンクロー
    ジャ界面の輪郭が、前記リアクタエンクロージャ部分と
    前記熱導体間に定められ;前記リアクタが更に、前記リ
    アクタエンクロージャ界面を介しての熱抵抗を減少させ
    るために、前記リアクタエンクロージャ界面内に熱伝導
    性物質を有する;リアクタ。
  15. 【請求項15】 請求項14記載のリアクタにおいて、
    前記リアクタエンクロージャ界面中の前記熱伝導性物質
    が、前記リアクタエンクロージャ界面を充填する熱伝導
    性気体を有するリアクタ。
  16. 【請求項16】 請求項14記載のリアクタにおいて、
    前記リアクタエンクロージャ界面中の前記熱伝導性物質
    が、前記伝導性個体物質を有するリアクタ。
  17. 【請求項17】 請求項11記載のリアクタにおいて、 前記熱導体が、前記リアクタエンクロージャ部分から分
    離して形成されており、これによって、リアクタエンク
    ロージャ界面の輪郭が、前記リアクタエンクロージャ部
    分と前記熱導体間に定められており;前記リアクタが更
    に、前記リアクタエンクロージャ界面を介しての熱抵抗
    を減少させるために、前記リアクタエンクロージャ界面
    を充填する熱伝導性気体を有する;リアクタ。
  18. 【請求項18】 請求項17記載のリアクタにおいて、
    前記リアクタが更に、前記熱導体を通り、前記低温本体
    界面と前記リアクタエンクロージャ界面間を連通するリ
    アクタ。
  19. 【請求項19】 請求項18記載のリアクタにおいて、
    前記リアクタが更に、前記熱導体の前記気体流路と連通
    する前記リアクタエンクロージャイニャフェース中の気
    体含有体積の輪郭を定める、前記熱導体と前記リアクタ
    エンクロージャ部分間にOリング装置を有するリアク
    タ。
  20. 【請求項20】 請求項9記載のリアクタにおいて、前
    記熱伝導性個体材料が、アルミ、インジウム、銅、ニッ
    ケルの内の1つを有するタイプの軟金属を有するリアク
    タ。
  21. 【請求項21】 請求項9記載のリアクタにおいて、前
    記熱伝導性個体材料が、熱伝導製材料の粒子で充満した
    エラストマを有するリアクタ。
  22. 【請求項22】 請求項21記載のリアクタにおいて、
    前記粒子が軟金属を有するリアクタ。
  23. 【請求項23】 請求項22記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、アルミ、インジウム、銅、
    ニッケルの内の1つを有するリアクタ。
  24. 【請求項24】 請求項21記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、高電気抵抗および光熱伝導
    率を持つリアクタ。
  25. 【請求項25】 請求項24記載のリアクタにおいて、
    前記粒子が、膣化ボロン、高抵抗率シリコンカーバイ
    ド、高抵抗率シリコン、窒化アルミ、酸化アルミの内の
    1つを有するリアクタ。
  26. 【請求項26】 請求項16記載のリアクタにおいて、
    前記リアクタエンクロージャ界面中の前記熱伝導性個体
    材料が、熱伝導性材料の粒子で充満したエラストマを有
    するリアクタ。
  27. 【請求項27】 請求項26記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、前記電力アプリケータとの
    干渉を減少させるための高電気抵抗率および高熱伝導率
    を持つリアクタ。
  28. 【請求項28】 請求項27記載のリアクタにおいて、
    前記粒子が、窒化ボロン、高抵抗率シリコンカーバイ
    ド、高抵抗率シリコン、窒化アルミ、酸化アルミの内の
    1つを有するリアクタ。
  29. 【請求項29】 処理中にプラズマリアクタチャンバ内
    部の支持平面の近傍にあるワークピースを支持するため
    の前記プラズマリアクタチャンバおよびワークピース支
    持体ならびに前記サポート近傍の前記チャンバ内部にあ
    るスカベンジャ前駆ドナーピースと;前記ドナーピース
    に隣接しているコールドシンクであり、前記コールドシ
    ンクと前記ドナーピース間にコールドシンク界面の輪郭
    を定めるコールドシンクと;前記コールドシンク界面を
    介しての熱抵抗を減少させるための、前記コールドシン
    ク界面内部にある熱伝導性物質と;を有するプラズマリ
    アクタ。
  30. 【請求項30】 請求項29記載のリアクタにおいて、
    前記熱伝導性物質が、前記低温本体界面を充填する熱伝
    導性気体を有するリアクタ。
  31. 【請求項31】 請求項29記載のリアクタにおいて、
    前記熱伝導性物質が、熱伝導性個体材料を有するリアク
    タ。
  32. 【請求項32】 請求項30記載のリアクタにおいて、
    前記リアクタが更に:前記熱伝導性気体源と連通可能で
    ある前記低温本体の中にある気体マニホルド;前記気体
    マニホルドおよび開口部から外に前記低温本体界面まで
    前記低温本体を通っている取り入れ口と;を有するリア
    クタ。
  33. 【請求項33】 請求項32記載のリアクタにおいて、
    前記リアクタが更に、前記低温本体と前記ドナーピース
    間に挟まれ、前記低温本体から前記取り入れ口と連通し
    ている前記低温本体界面中の気体含有体積の輪郭を定め
    るOリング装置を有するリアクタ。
  34. 【請求項34】 請求項33記載のリアクタにおいて、
    前記気体含有体積が、ほとんど微小な厚さを持つもので
    あるリアクタ。
  35. 【請求項35】 請求項31記載のリアクタにおいて、
    前記熱伝導性個体材料が、アルミ、インジウム、銅、ニ
    ッケルの内の1つを有するタイプの軟金属を有するリア
    クタ。
  36. 【請求項36】 請求項31記載のリアクタにおいて、
    前記熱伝導性個体材料が、熱伝導性材料の粒子を充填し
    たエラストマを有するリアクタ。
  37. 【請求項37】 請求項36記載のリアクタにおいて、
    前記粒子が軟金属を有するリアクタ。
  38. 【請求項38】 請求項37記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、アルミ、インジウム、銅、
    ニッケルの内の1つを有するリアクタ。
  39. 【請求項39】 請求項36記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、高電気抵抗率および高熱伝
    導率を持つリアクタ。
  40. 【請求項40】 請求項39記載のリアクタにおいて、
    前記粒子が、窒化ボロン、高抵抗率シリコンカーバイ
    ド、高抵抗率シリコン、窒化アルミ、酸化アルミの内の
    1つを有するリアクタ。
  41. 【請求項41】 処理中はプラズマリアクタチャンバ内
    部の支持平面の近傍にあるワークピースを支持するため
    の前記プラズマリアクタチャンバおよびワークピース支
    持体であり、前記チャンバが前記サポートの周りにチャ
    ンバ側壁を含むプラズマリアクタチャンバおよびワーク
    ピース支持体と;前記側壁に隣接するコールドシンクで
    あり、コールドシンク界面を、前記コールドシンクと前
    記側壁間にその輪郭を定めるコールドシンクと;前記コ
    ールドシンク界面を介しての熱抵抗を減少させるための
    前記コールドシンク界面内にある熱伝導性物質と;を有
    するプラズマリアクタ。
  42. 【請求項42】 請求項41記載のリアクタにおいて、
    前記熱伝導性物質が、前記低温本体界面を充填する熱伝
    導性気体を有するリアクタ。
  43. 【請求項43】 請求項41記載のリアクタにおいて、
    前記熱伝導性物質が、熱伝導性個体材料を有するリアク
    タ。
  44. 【請求項44】 請求項42記載のリアクタにおいて、
    前記リアクタが更に:前記熱伝導性気体源と連通可能な
    前記低温本体の中にある気体マニホルドと;前記気体マ
    ニホルドおよび開口部から外に前記低温本体界面まで前
    記低温本体を通っている取り入れ口と;を有するリアク
    タ。
  45. 【請求項45】 請求項44記載のリアクタにおいて、
    前記リアクタが更に、前記低温本体と前記側壁間に挟ま
    れ、前記低温本体から前記取り入れ口と連通している前
    記低温本体界面中の気体含有体積の輪郭を定めるOリン
    グ装置を有するリアクタ。
  46. 【請求項46】 請求項45記載のリアクタにおいて、
    前記気体含有体積がほとんど微小な厚さのものであるリ
    アクタ。
  47. 【請求項47】 請求項43記載のリアクタにおいて、
    前記熱伝導性個体材料が、アルミ、インジウム、銅、ニ
    ッケルの内の1つを有するタイプの軟金属を有するリア
    クタ。
  48. 【請求項48】 請求項43記載のリアクタにおいて、
    前記熱伝導性個体材料が、熱伝導性材料の粒子で充満し
    ているエラストマを有するリアクタ。
  49. 【請求項49】 請求項48記載のリアクタにおいて、
    前記粒子が軟金属を有するリアクタ。
  50. 【請求項50】 請求項49記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、アルミ、インジウム、銅、
    ニッケルの内の1つを有するリアクタ。
  51. 【請求項51】 請求項48記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、高電気抵抗率および高熱伝
    導率を持つリアクタ。
  52. 【請求項52】 請求項51記載のリアクタにおいて、
    前記粒子が、窒化ボロン、高抵抗率シリコンカーバイ
    ド、高抵抗率シリコン、窒化アルミ、酸化アルミの内の
    1つを有するリアクタ。
  53. 【請求項53】 請求項41記載のリアクタにおいて、
    前記リアクタが更に:前記チャンバの内部にあり、前記
    側壁に隣接しているチャンバライナであり、ライナ界面
    を前記チャンバライナと前記側壁間にライナ界面の輪郭
    を定めるチャンバライナと;前記ライナ界面を介しての
    熱伝導にたいする抵抗を減少させるための前記ライナ界
    面の輪郭を定める熱伝導性物質と;を有するリアクタ。
  54. 【請求項54】 請求項53記載のリアクタにおいて、
    前記ライナ界面内の前記熱伝導性物質が、前記ライナ界
    面を充填する熱伝導性気体を有するリアクタ。
  55. 【請求項55】 請求項53記載のリアクタにおいて、
    前記ライナ界面内の前記熱伝導性物質が、前記伝導性個
    体材料を有するリアクタ。
  56. 【請求項56】 請求項54記載のリアクタにおいて、
    前記リアクタが更に、前記低温本体界面と前記ライナ界
    面間を連通する、前記側壁を通っている気体流路を有す
    るリアクタ。
  57. 【請求項57】 請求項56記載のリアクタにおいて、
    前記リアクタが更に、前記側壁と前記ライナ間に挟まれ
    ており、前記側壁を通る前記流路と連通している前記ラ
    イナ界面中の気体含有体積の輪郭を定めるOリング装置
    を有するリアクタ。
  58. 【請求項58】 処理中にプラズマリアクタチャンバの
    内部で支持平面の近傍にワークピースを支持するための
    前記プラズマリアクタチャンバおよびワークピース支持
    体であり、前記チャンバが前記サポートに面するシーリ
    ングを有するチャンバおよびサポートと;前記シーリン
    グ上に位置する低温本体と;前記低温本体と前記シーリ
    ング間にあり、前記シーリングを介して、前記サポート
    の上に位置する前記チャンバの体積に面しているプラズ
    マ源電力アプリケータであり、前記プラズマ源電力アプ
    リケータが、前記チャンバの軸にたいして放射状に分布
    している複数のアプリケータ素子を有し、前記アプリケ
    ータ素子の内の互いに隣接している素子間の空隙の輪郭
    を定めるアプリケータと;前記シーリングおよび前記低
    温本体と熱的に接触している前記空隙中の熱伝導性素子
    を有する熱伝導性スペーサと;を有するプラズマリアク
    タ。
  59. 【請求項59】 請求項58記載のリアクタにおいて、 前記電力アプリケータが、複数の誘導素子を含む誘導ア
    ンテナを有し、前記アンテナがRF電力によって駆動さ
    れ;前記シーリングが、RF電力の誘導結合を提供す
    る;リアクタ。
  60. 【請求項60】 請求項59記載のリアクタにおいて、
    前記シーリングが半導体のウインドウ電極を有するリア
    クタ。
  61. 【請求項61】 請求項60記載のリアクタにおいて、
    前記複数のアプリケータ素子が、各々のRF電源に接続
    されている各々の放射状位置に複数のソレノイド巻線を
    有するリアクタ。
  62. 【請求項62】 請求項61記載のリアクタにおいて、
    前記スペーサ素子が、各々の放射状位置に円筒形状リン
    グを有するリアクタ。
  63. 【請求項63】 請求項62記載のリアクタにおいて、 前記熱伝導性スペーサおよび前記低温本体が、自身等同
    士間にある低温本体界面の輪郭を定め;前記リアクタが
    更に、前記吸冷界面を介しての熱抵抗を減少させるため
    の前記低温本体界面内部にある熱伝導性物質を有する;
    リアクタ。
  64. 【請求項64】 請求項63記載のリアクタにおいて、
    前記熱伝導性物質が、前記低温本体界面を充填する熱伝
    導性気体を有するリアクタ。
  65. 【請求項65】 請求項63記載のリアクタにおいて、
    前記熱伝導性物質が、熱伝導性個体材料を有するリアク
    タ。
  66. 【請求項66】 請求項64記載のリアクタにおいて、
    前記リアクタが更に:前記熱伝導性気体の源と連通可能
    な前記コールドシンクの中にある気体マニホルドと;前
    記気体マニホルドおよび開口部から外に前記低温本体界
    面まで前記低温本体を通っている取り入れ口と;を有す
    るリアクタ。
  67. 【請求項67】 請求項66記載のリアクタにおいて、
    前記リアクタが更に、前記低温本体と前記熱伝導性スペ
    ーサ間に挟まれており、前記低温本体から前記取り入れ
    口と連通している前記低温本体界面中の気体含有体積の
    輪郭を定めるOリング装置を有するリアクタ。
  68. 【請求項68】 請求項67記載のリアクタにおいて、
    前記熱伝導性スペーサが、前記リアクタエンクロージャ
    部分から一体に形成されているリアクタ。
  69. 【請求項69】 請求項67記載のリアクタにおいて、 前記熱伝導性スペーサが、前記リアクタエンクロージャ
    部分から分離して形成されており、それによって、リア
    クタエンクロージャ界面が、前記リアクタエンクロージ
    ャ部分と前記熱伝導性スペーサ間でその輪郭が定められ
    ており;前記リアクタが更に、前記リアクタエンクロー
    ジャ界面を介しての熱抵抗を減少させるための前記リア
    クタエンクロージャ界面内の熱伝導性物質を有する;リ
    アクタ。
  70. 【請求項70】 請求項69記載のリアクタにおいて、
    前記リアクタエンクロージャ界面内の前記熱伝導性物質
    が、前記リアクタエンクロージャ界面を充填する熱伝導
    性気体を有するリアクタ。
  71. 【請求項71】 請求項69記載のリアクタにおいて、
    前記リアクタエンクロージャ界面内の前記熱伝導性物質
    が、熱伝導性個体材料を有するリアクタ。
  72. 【請求項72】 請求項71記載のリアクタにおいて、
    前記熱伝導性個体材料が、アルミ、インジウム、銅、ニ
    ッケルの内の1つを含むタイプの軟金属を有するリアク
    タ。
  73. 【請求項73】 請求項71記載のリアクタにおいて、
    前記熱伝導性個体材料が、熱伝導性材料の粒子で充満し
    たエラストマを有するリアクタ。
  74. 【請求項74】 請求項73記載のリアクタにおいて、
    前記粒子が軟金属を有するリアクタ。
  75. 【請求項75】 請求項74記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、アルミ、インジウム、銅、
    ニッケルの内の1つを有するリアクタ。
  76. 【請求項76】 請求項73記載のリアクタにおいて、
    前記熱伝導性材料の粒子が、高電気抵抗率および高熱伝
    導率を持つリアクタ。
  77. 【請求項77】 請求項76記載のリアクタにおいて、
    前記粒子が、窒化ボロン、高抵抗率シリコンカーバイ
    ド、高抵抗率シリコン、窒化アルミ、酸化アルミの内の
    1つを有するリアクタ。
  78. 【請求項78】 請求項58記載のリアクタにおいて、
    前記リアクタが更に、前記低温本体と前記熱伝導性スペ
    ーサ間に接着材料層を有するリアクタ。
  79. 【請求項79】 請求項58記載のリアクタにおいて、
    前記リアクタが更に、前記熱伝導性スペーサと前記プラ
    ズマ源電力アプリケータ間に接着材料層を有するリアク
    タ。
JP9304911A 1996-10-21 1997-10-20 Rfプラズマリアクタ用熱制御装置 Withdrawn JPH10154599A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/733,555 US6063233A (en) 1991-06-27 1996-10-21 Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US08/733555 1996-10-21

Publications (1)

Publication Number Publication Date
JPH10154599A true JPH10154599A (ja) 1998-06-09

Family

ID=24948113

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9304911A Withdrawn JPH10154599A (ja) 1996-10-21 1997-10-20 Rfプラズマリアクタ用熱制御装置

Country Status (5)

Country Link
US (3) US6063233A (ja)
EP (1) EP0837489A2 (ja)
JP (1) JPH10154599A (ja)
KR (1) KR19980032998A (ja)
TW (1) TW349235B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002538618A (ja) * 1999-03-05 2002-11-12 アプライド マテリアルズ インコーポレイテッド 時間変調プラズマによる種の動的制御
JP2006523934A (ja) * 2003-04-16 2006-10-19 アプライド サイエンス アンド テクノロジー, インコーポレイテッド トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP2007317661A (ja) * 2006-05-22 2007-12-06 New Power Plasma Co Ltd プラズマ反応器
JP2008047446A (ja) * 2006-08-17 2008-02-28 Matsushita Electric Ind Co Ltd 大気圧プラズマ発生装置
JP2009505349A (ja) * 2005-08-09 2009-02-05 アプライド マテリアルズ インコーポレイテッド 誘導結合プラズマ反応器の熱管理
JP2011146721A (ja) * 1998-06-30 2011-07-28 Lam Research Corp プラズマ発生装置
JP2011258953A (ja) * 2010-06-07 2011-12-22 Lam Research Corporation 適応熱導体を有するプラズマ処理チャンバ部品
JP2022104624A (ja) * 2020-12-28 2022-07-08 セメス カンパニー,リミテッド 基板処理装置

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6074512A (en) * 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6514376B1 (en) * 1991-06-27 2003-02-04 Applied Materials Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3257328B2 (ja) * 1995-03-16 2002-02-18 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
TW279240B (en) 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
US7166816B1 (en) * 1997-06-26 2007-01-23 Mks Instruments, Inc. Inductively-coupled torodial plasma source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US6129808A (en) * 1998-03-31 2000-10-10 Lam Research Corporation Low contamination high density plasma etch chambers and methods for making the same
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
US6073577A (en) * 1998-06-30 2000-06-13 Lam Research Corporation Electrode for plasma processes and method for manufacture and use thereof
US6502529B2 (en) * 1999-05-27 2003-01-07 Applied Materials Inc. Chamber having improved gas energizer and method
JP3385528B2 (ja) * 1999-07-06 2003-03-10 日本電気株式会社 ドライエッチング装置とドライエッチング方法
US6238532B1 (en) * 1999-10-29 2001-05-29 International Business Machines Corporation Radio-frequency coil for use in an ionized physical vapor deposition apparatus
KR100787848B1 (ko) * 1999-11-15 2007-12-27 램 리써치 코포레이션 플라즈마 처리장치용 온도 제어시스템
US6302966B1 (en) 1999-11-15 2001-10-16 Lam Research Corporation Temperature control system for plasma processing apparatus
US6518190B1 (en) * 1999-12-23 2003-02-11 Applied Materials Inc. Plasma reactor with dry clean apparatus and method
US6635117B1 (en) * 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US7011039B1 (en) * 2000-07-07 2006-03-14 Applied Materials, Inc. Multi-purpose processing chamber with removable chamber liner
US6712929B1 (en) * 2000-08-08 2004-03-30 Lam Research Corporation Deformation reduction at the main chamber
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
JP4770029B2 (ja) * 2001-01-22 2011-09-07 株式会社Ihi プラズマcvd装置及び太陽電池の製造方法
WO2003030239A1 (fr) * 2001-09-28 2003-04-10 Sumitomo Precision Products Co., Ltd. Procede de gravure de substrat de silicium et appareil de gravure
US6593699B2 (en) 2001-11-07 2003-07-15 Axcelis Technologies, Inc. Method for molding a polymer surface that reduces particle generation and surface adhesion forces while maintaining a high heat transfer coefficient
FR2842387B1 (fr) * 2002-07-11 2005-07-08 Cit Alcatel Chemisage chauffant pour reacteur de gravure plasma, procede de gravure pour sa mise en oeuvre
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US6998349B2 (en) 2003-02-06 2006-02-14 Lam Research Corporation System, method and apparatus for automatic control of an RF generator for maximum efficiency
US7033845B2 (en) * 2003-02-06 2006-04-25 Lam Research Corporation Phase control of megasonic RF generator for optimum operation
US6995067B2 (en) * 2003-02-06 2006-02-07 Lam Research Corporation Megasonic cleaning efficiency using auto-tuning of an RF generator at constant maximum efficiency
US7053000B2 (en) * 2003-02-06 2006-05-30 Lam Research Corporation System, method and apparatus for constant voltage control of RF generator for optimum operation
JP4058364B2 (ja) * 2003-03-18 2008-03-05 株式会社日立製作所 半導体製造装置
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
US6811662B1 (en) * 2003-08-22 2004-11-02 Powership Semiconductor Corp. Sputtering apparatus and manufacturing method of metal layer/metal compound layer by using thereof
US7137444B2 (en) * 2003-09-08 2006-11-21 Pacific Rubber & Packing, Inc. Heat-transfer interface device between a source of heat and a heat-receiving object
US7179663B2 (en) * 2004-04-16 2007-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. CDA controller and method for stabilizing dome temperature
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7674393B2 (en) * 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100724209B1 (ko) * 2005-05-20 2007-05-31 동부일렉트로닉스 주식회사 반도체 제조용 플라즈마 에칭장치
US20070029283A1 (en) * 2005-08-02 2007-02-08 Micron Technology, Inc. Etching processes and methods of forming semiconductor constructions
KR100653073B1 (ko) * 2005-09-28 2006-12-01 삼성전자주식회사 기판처리장치와 기판처리방법
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US20080011426A1 (en) * 2006-01-30 2008-01-17 Applied Materials, Inc. Plasma reactor with inductively coupled source power applicator and a high temperature heated workpiece support
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US8920600B2 (en) 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US7969096B2 (en) 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
WO2008143716A2 (en) * 2007-01-22 2008-11-27 Innovalight, Inc. In situ modification of group iv nanoparticles using gas phase nanoparticle reactors
US20080236490A1 (en) * 2007-03-29 2008-10-02 Alexander Paterson Plasma reactor with an overhead inductive antenna and an overhead gas distribution showerhead
EP2156348B1 (en) 2007-05-30 2018-08-01 Ascensia Diabetes Care Holdings AG System and method for managing health data
US8216418B2 (en) * 2007-06-13 2012-07-10 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket and o-rings
US8471170B2 (en) 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US8968438B2 (en) * 2007-07-10 2015-03-03 Innovalight, Inc. Methods and apparatus for the in situ collection of nucleated particles
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8152954B2 (en) 2007-10-12 2012-04-10 Lam Research Corporation Showerhead electrode assemblies and plasma processing chambers incorporating the same
US8187414B2 (en) * 2007-10-12 2012-05-29 Lam Research Corporation Anchoring inserts, electrode assemblies, and plasma processing chambers
US8105841B2 (en) * 2007-10-15 2012-01-31 Bayer Healthcare Llc Method and assembly for determining the temperature of a test sensor
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
SG10201407723PA (en) * 2007-12-19 2014-12-30 Lam Res Corp A composite showerhead electrode assembly for a plasma processing apparatus
TWI484576B (zh) * 2007-12-19 2015-05-11 Lam Res Corp 半導體真空處理設備用之薄膜黏接劑
JP5029382B2 (ja) * 2008-01-22 2012-09-19 東京エレクトロン株式会社 処理装置及び処理方法
US8187413B2 (en) * 2008-03-18 2012-05-29 Lam Research Corporation Electrode assembly and plasma processing chamber utilizing thermally conductive gasket
US8994270B2 (en) 2008-05-30 2015-03-31 Colorado State University Research Foundation System and methods for plasma application
US9288886B2 (en) 2008-05-30 2016-03-15 Colorado State University Research Foundation Plasma-based chemical source device and method of use thereof
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
US8679288B2 (en) * 2008-06-09 2014-03-25 Lam Research Corporation Showerhead electrode assemblies for plasma processing apparatuses
US8449679B2 (en) 2008-08-15 2013-05-28 Lam Research Corporation Temperature controlled hot edge ring assembly
TWI387400B (zh) * 2008-10-20 2013-02-21 Ind Tech Res Inst 電漿系統
US8222822B2 (en) * 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
JP2011124293A (ja) * 2009-12-09 2011-06-23 Hitachi High-Technologies Corp プラズマ処理装置
US20110174774A1 (en) * 2010-01-21 2011-07-21 Ying-Chih Lin Method of descumming patterned photoresist
EP2552340A4 (en) 2010-03-31 2015-10-14 Univ Colorado State Res Found PLASMA DEVICE WITH LIQUID GAS INTERFACE
CA2794895A1 (en) 2010-03-31 2011-10-06 Colorado State University Research Foundation Liquid-gas interface plasma device
US20110278260A1 (en) * 2010-05-14 2011-11-17 Applied Materials, Inc. Inductive plasma source with metallic shower head using b-field concentrator
WO2013078098A1 (en) * 2011-11-23 2013-05-30 Lam Research Corporation Multi zone gas injection upper electrode system
US20140060738A1 (en) * 2012-08-31 2014-03-06 Semes Co., Ltd. Apparatus for treating substrate
US8932959B2 (en) 2012-12-19 2015-01-13 Applied Materials, Inc. Method and system for etching plural layers on a workpiece including a lower layer containing an advanced memory material
CN103072939B (zh) * 2013-01-10 2016-08-03 北京金盛微纳科技有限公司 一种控温深硅刻蚀方法
US8970114B2 (en) * 2013-02-01 2015-03-03 Lam Research Corporation Temperature controlled window of a plasma processing chamber component
US9269544B2 (en) 2013-02-11 2016-02-23 Colorado State University Research Foundation System and method for treatment of biofilms
US9532826B2 (en) 2013-03-06 2017-01-03 Covidien Lp System and method for sinus surgery
US8669538B1 (en) * 2013-03-12 2014-03-11 Varian Semiconductor Equipment Associates, Inc. Method of improving ion beam quality in an implant system
US9555145B2 (en) 2013-03-13 2017-01-31 Covidien Lp System and method for biofilm remediation
US10039157B2 (en) * 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
US11380557B2 (en) * 2017-06-05 2022-07-05 Applied Materials, Inc. Apparatus and method for gas delivery in semiconductor process chambers
WO2019058597A1 (ja) * 2017-09-20 2019-03-28 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
WO2019199764A1 (en) * 2018-04-10 2019-10-17 Applied Materials, Inc. An advanced ceramic lid with embedded heater elements and embedded rf coil for hdp cvd and inductively coupled plasma treatment chambers
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US11229094B2 (en) * 2018-12-20 2022-01-18 Nxp Usa, Inc. Combined RF and thermal heating system and methods of operation thereof
CN111446144B (zh) * 2019-01-17 2024-04-19 东京毅力科创株式会社 静电吸附部的控制方法和等离子体处理装置
JP2022012933A (ja) * 2020-07-02 2022-01-18 東京エレクトロン株式会社 プラズマ処理装置
KR20220040804A (ko) 2020-09-24 2022-03-31 삼성전자주식회사 플라즈마 처리 장치 및 플라즈마 처리 방법

Family Cites Families (117)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB231197A (en) * 1924-03-24 1925-08-06 Peter August Nordling Improvement in hooks and the like
GB1550853A (en) * 1975-10-06 1979-08-22 Hitachi Ltd Apparatus and process for plasma treatment
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS55154582A (en) * 1979-05-21 1980-12-02 Chiyou Lsi Gijutsu Kenkyu Kumiai Gas plasma etching method
US4261762A (en) * 1979-09-14 1981-04-14 Eaton Corporation Method for conducting heat to or from an article being treated under vacuum
JPS6056431B2 (ja) * 1980-10-09 1985-12-10 三菱電機株式会社 プラズマエツチング装置
JPS57155732A (en) * 1981-03-20 1982-09-25 Sharp Corp Dry etching
US4368092A (en) 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4350578A (en) * 1981-05-11 1982-09-21 International Business Machines Corporation Cathode for etching
US4427516A (en) * 1981-08-24 1984-01-24 Bell Telephone Laboratories, Incorporated Apparatus and method for plasma-assisted etching of wafers
EP0082015A1 (en) * 1981-12-16 1983-06-22 Konica Corporation Method of forming an image with a photographic cuprous halide material
US4512391A (en) * 1982-01-29 1985-04-23 Varian Associates, Inc. Apparatus for thermal treatment of semiconductor wafers by gas conduction incorporating peripheral gas inlet
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4579080A (en) * 1983-12-09 1986-04-01 Applied Materials, Inc. Induction heated reactor system for chemical vapor deposition
JPS6191377A (ja) * 1984-10-12 1986-05-09 Anelva Corp 表面処理装置
US4735902A (en) 1984-10-23 1988-04-05 Matti Siren Stabilized composition containing inositoltriphosphate
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
JPS61147531A (ja) * 1984-12-21 1986-07-05 Toshiba Corp 反応性イオンエツチング方法
US4572759A (en) 1984-12-26 1986-02-25 Benzing Technology, Inc. Troide plasma reactor with magnetic enhancement
US4870245A (en) * 1985-04-01 1989-09-26 Motorola, Inc. Plasma enhanced thermal treatment apparatus
US4810935A (en) * 1985-05-03 1989-03-07 The Australian National University Method and apparatus for producing large volume magnetoplasmas
JPS6212129A (ja) * 1985-07-10 1987-01-21 Hitachi Ltd プラズマ処理装置
US4807016A (en) * 1985-07-15 1989-02-21 Texas Instruments Incorporated Dry etch of phosphosilicate glass with selectivity to undoped oxide
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
JPS62254428A (ja) * 1986-04-28 1987-11-06 Nippon Telegr & Teleph Corp <Ntt> 反応性スパツタエツチング方法と反応性スパツタエツチング装置
DE3717985A1 (de) 1986-05-28 1987-12-03 Minolta Camera Kk Elektrochrome vorrichtung
JPS639120A (ja) * 1986-06-30 1988-01-14 Canon Inc ドライエツチング用ウエハステ−ジ
US4755345A (en) * 1986-08-01 1988-07-05 The United States Of America As Represented By The United States Department Of Energy Impedance matched, high-power, rf antenna for ion cyclotron resonance heating of a plasma
US4786352A (en) * 1986-09-12 1988-11-22 Benzing Technologies, Inc. Apparatus for in-situ chamber cleaning
DE3632340C2 (de) 1986-09-24 1998-01-15 Leybold Ag Induktiv angeregte Ionenquelle
US4859908A (en) 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
GB8629634D0 (en) 1986-12-11 1987-01-21 Dobson C D Reactive ion & sputter etching
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4793897A (en) * 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
US4786359A (en) * 1987-06-24 1988-11-22 Tegal Corporation Xenon enhanced plasma etch
JPH0741153Y2 (ja) * 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
DE58904540D1 (de) * 1988-03-24 1993-07-08 Siemens Ag Verfahren und vorrichtung zum herstellen von aus amorphen silizium-germanium-legierungen bestehenden halbleiterschichten nach der glimmentladungstechnik, insbesondere fuer solarzellen.
JPH02148235A (ja) 1988-11-30 1990-06-07 Toshiba Corp データ退避方式
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US5015330A (en) * 1989-02-28 1991-05-14 Kabushiki Kaisha Toshiba Film forming method and film forming device
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5421891A (en) * 1989-06-13 1995-06-06 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4948458A (en) * 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5556501A (en) * 1989-10-03 1996-09-17 Applied Materials, Inc. Silicon scavenger in an inductively coupled RF plasma reactor
US5032202A (en) 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5210466A (en) 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
DE3942964A1 (de) * 1989-12-23 1991-06-27 Leybold Ag Einrichtung fuer die erzeugung eines plasmas
DE69128345T2 (de) * 1990-01-04 1998-03-26 Mattson Tech Inc Induktiver plasmareaktor im unteren hochfrequenzbereich
US5203956A (en) * 1990-01-08 1993-04-20 Lsi Logic Corporation Method for performing in-situ etch of a CVD chamber
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5258824A (en) * 1990-08-09 1993-11-02 Applied Materials, Inc. In-situ measurement of a thin film deposited on a wafer
US5304279A (en) * 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5169487A (en) * 1990-08-27 1992-12-08 Micron Technology, Inc. Anisotropic etch method
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5356515A (en) 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
US5173412A (en) * 1990-11-08 1992-12-22 Lonza, Ltd. Microbiological process for the production of hydroxylated pyrazine derivatives
JP3670277B2 (ja) * 1991-05-17 2005-07-13 ラム リサーチ コーポレーション 低い固有応力および/または低い水素含有率をもつSiO▲X▼フィルムの堆積法
US6063233A (en) 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US5187454A (en) * 1992-01-23 1993-02-16 Applied Materials, Inc. Electronically tuned matching network using predictor-corrector control system
US6090303A (en) 1991-06-27 2000-07-18 Applied Materials, Inc. Process for etching oxides in an electromagnetically coupled planar plasma apparatus
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US6095083A (en) 1991-06-27 2000-08-01 Applied Materiels, Inc. Vacuum processing chamber having multi-mode access
US5477975A (en) * 1993-10-15 1995-12-26 Applied Materials Inc Plasma etch apparatus with heated scavenging surfaces
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5392018A (en) * 1991-06-27 1995-02-21 Applied Materials, Inc. Electronically tuned matching networks using adjustable inductance elements and resonant tank circuits
US6074512A (en) 1991-06-27 2000-06-13 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6077384A (en) 1994-08-11 2000-06-20 Applied Materials, Inc. Plasma reactor having an inductive antenna coupling power through a parallel plate electrode
KR100255703B1 (ko) * 1991-06-27 2000-05-01 조셉 제이. 스위니 전자기 rf연결부를 사용하는 플라즈마 처리기 및 방법
US5164945A (en) * 1991-07-01 1992-11-17 Laser Centers Of America, Inc. Laser device with intermediate refraction index layer for reduced fresnel losses
KR100297358B1 (ko) 1991-07-23 2001-11-30 히가시 데쓰로 플라즈마에칭장치
US5249251A (en) * 1991-09-16 1993-09-28 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Optical fiber sensor having an active core
US5275683A (en) 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JP3221025B2 (ja) * 1991-12-19 2001-10-22 ソニー株式会社 プラズマプロセス装置
US5349313A (en) * 1992-01-23 1994-09-20 Applied Materials Inc. Variable RF power splitter
EP0552491B1 (en) * 1992-01-24 1998-07-15 Applied Materials, Inc. Plasma etch process and plasma processing reactor
EP0552490A1 (en) * 1992-01-24 1993-07-28 Applied Materials, Inc. Process for etching an oxide layer over a nitride
US5423945A (en) * 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5286344A (en) 1992-06-15 1994-02-15 Micron Technology, Inc. Process for selectively etching a layer of silicon dioxide on an underlying stop layer of silicon nitride
US5277751A (en) * 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
KR100281345B1 (ko) * 1992-12-01 2001-03-02 조셉 제이. 스위니 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정
US5401350A (en) * 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
JP3252518B2 (ja) 1993-03-19 2002-02-04 ソニー株式会社 ドライエッチング方法
US5770098A (en) 1993-03-19 1998-06-23 Tokyo Electron Kabushiki Kaisha Etching process
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
KR100264445B1 (ko) * 1993-10-04 2000-11-01 히가시 데쓰로 플라즈마처리장치
US5449432A (en) 1993-10-25 1995-09-12 Applied Materials, Inc. Method of treating a workpiece with a plasma and processing reactor having plasma igniter and inductive coupler for semiconductor fabrication
JPH07161702A (ja) * 1993-10-29 1995-06-23 Applied Materials Inc 酸化物のプラズマエッチング方法
JPH07161493A (ja) * 1993-12-08 1995-06-23 Fujitsu Ltd プラズマ発生装置及び方法
US5518547A (en) 1993-12-23 1996-05-21 International Business Machines Corporation Method and apparatus for reducing particulates in a plasma tool through steady state flows
US5414246A (en) * 1993-12-27 1995-05-09 Ford Motor Company Apparatus for scaleless induction heating
US5468341A (en) * 1993-12-28 1995-11-21 Nec Corporation Plasma-etching method and apparatus therefor
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas
ATE251798T1 (de) * 1994-04-28 2003-10-15 Applied Materials Inc Verfahren zum betreiben eines cvd-reaktors hoher plasma-dichte mit kombinierter induktiver und kapazitiver einkopplung
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5783101A (en) * 1994-09-16 1998-07-21 Applied Materials, Inc. High etch rate residue free metal etch process with low frequency high power inductive coupled plasma
EP0710055B1 (en) * 1994-10-31 1999-06-23 Applied Materials, Inc. Plasma reactors for processing semi-conductor wafers
US5607542A (en) 1994-11-01 1997-03-04 Applied Materials Inc. Inductively enhanced reactive ion etching
US5683538A (en) 1994-12-23 1997-11-04 International Business Machines Corporation Control of etch selectivity
US5688357A (en) * 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5523261A (en) * 1995-02-28 1996-06-04 Micron Technology, Inc. Method of cleaning high density inductively coupled plasma chamber using capacitive coupling
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
EP0756309A1 (en) * 1995-07-26 1997-01-29 Applied Materials, Inc. Plasma systems for processing substrates
EP0777258A3 (en) * 1995-11-29 1997-09-17 Applied Materials Inc Self-cleaning plasma processing reactor
JPH09180897A (ja) 1995-12-12 1997-07-11 Applied Materials Inc 高密度プラズマリアクタのためのガス供給装置
US6095084A (en) 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
JPH1079372A (ja) 1996-09-03 1998-03-24 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011146721A (ja) * 1998-06-30 2011-07-28 Lam Research Corp プラズマ発生装置
JP2002538618A (ja) * 1999-03-05 2002-11-12 アプライド マテリアルズ インコーポレイテッド 時間変調プラズマによる種の動的制御
JP2006523934A (ja) * 2003-04-16 2006-10-19 アプライド サイエンス アンド テクノロジー, インコーポレイテッド トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP2012151115A (ja) * 2003-04-16 2012-08-09 Mks Instruments Inc トロイダル低電場反応性気体および誘電真空槽を有するプラズマ源
JP2009505349A (ja) * 2005-08-09 2009-02-05 アプライド マテリアルズ インコーポレイテッド 誘導結合プラズマ反応器の熱管理
JP2007317661A (ja) * 2006-05-22 2007-12-06 New Power Plasma Co Ltd プラズマ反応器
JP2008047446A (ja) * 2006-08-17 2008-02-28 Matsushita Electric Ind Co Ltd 大気圧プラズマ発生装置
JP2011258953A (ja) * 2010-06-07 2011-12-22 Lam Research Corporation 適応熱導体を有するプラズマ処理チャンバ部品
JP2022104624A (ja) * 2020-12-28 2022-07-08 セメス カンパニー,リミテッド 基板処理装置

Also Published As

Publication number Publication date
KR19980032998A (ko) 1998-07-25
US20020096259A1 (en) 2002-07-25
US6365063B2 (en) 2002-04-02
EP0837489A2 (en) 1998-04-22
US20010054483A1 (en) 2001-12-27
TW349235B (en) 1999-01-01
US6063233A (en) 2000-05-16
US6790311B2 (en) 2004-09-14

Similar Documents

Publication Publication Date Title
JPH10154599A (ja) Rfプラズマリアクタ用熱制御装置
US6074512A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna and modular confinement magnet liners
US6095083A (en) Vacuum processing chamber having multi-mode access
KR100498585B1 (ko) 플라즈마반응기챔버내의반도체공작물을처리하기위한방법
US6165311A (en) Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US11062885B2 (en) Supporting unit and substrate treating apparatus including the same
JP4166831B2 (ja) プラズマ処理チャンバ
US6218312B1 (en) Plasma reactor with heated source of a polymer-hardening precursor material
US8216486B2 (en) Temperature control module using gas pressure to control thermal conductance between liquid coolant and component body
KR100515122B1 (ko) 폴리머-경화프리커서의가열된소스를가진플라즈마반응기
JPH10149899A (ja) 円錐形ドームを有する誘電結合平行平板型プラズマリアクター
WO2000052732A2 (en) Active species control with time-modulated plasma
JP2005528790A (ja) プラズマエッチングリアクタ用のカソードペデスタル
JP2006507665A (ja) プラズマを径方向に均一に分布する容量結合プラズマリアクタ
JP2001118835A (ja) 半導体基板の温度制御のための方法及びその装置
JPH11135296A (ja) マルチモードアクセスを有する真空処理チャンバ
US6514376B1 (en) Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US20210375586A1 (en) An advanced ceramic lid with embedded heater elements and embedded rf coil for hdp cvd and inductively coupled plasma treatment chambers
JP2023183380A (ja) チャンバ絶縁部品及びそれを含む基板処理装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050104