KR20110103883A - 산화물에 대해 고도로 조정 가능한 선택도를 갖는 질화물 플라즈마 에칭 - Google Patents

산화물에 대해 고도로 조정 가능한 선택도를 갖는 질화물 플라즈마 에칭 Download PDF

Info

Publication number
KR20110103883A
KR20110103883A KR1020110022558A KR20110022558A KR20110103883A KR 20110103883 A KR20110103883 A KR 20110103883A KR 1020110022558 A KR1020110022558 A KR 1020110022558A KR 20110022558 A KR20110022558 A KR 20110022558A KR 20110103883 A KR20110103883 A KR 20110103883A
Authority
KR
South Korea
Prior art keywords
gas
nitride
etching
plasma
processing chamber
Prior art date
Application number
KR1020110022558A
Other languages
English (en)
Inventor
앨런 젠센
마유미 블록
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20110103883A publication Critical patent/KR20110103883A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30612Etching of AIIIBV compounds
    • H01L21/30621Vapour phase etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

기판 위의 실리콘 산화물계 층에 대하여 질화물 층을 선택적으로 에칭하기 위한 방법이 제공된다. 기판은 플라즈마 프로세싱 챔버 내에 배치된다. 질화물 층은, 탄화수소 종들, 산소 함유 종들 및 플루오르화탄소 또는 수소화불화탄소 종들을 포함하는 질화물 에칭 가스를 플라즈마 챔버 안으로 유동시키는 단계, 질화물 에칭 가스로부터 플라즈마를 형성하는 단계, 및 질화물 에칭 가스로부터의 플라즈마를 이용하여 실리콘 산화물계 층에 대하여 질화물 층을 선택적으로 에칭하는 단계를 포함하여 에칭된다.

Description

산화물에 대해 고도로 조정 가능한 선택도를 갖는 질화물 플라즈마 에칭{NITRIDE PLASMA ETCH WITH HIGHLY TUNABLE SELECTIVITY TO OXIDE}
발명자들
Alan Jensen
Mayumi Block
본 발명은 반도체 디바이스의 생산 동안 마스크를 통해 에칭층을 에칭하는 것에 관한 것이다. 보다 구체적으로, 본 발명은 실리콘 산화물에 대하여 실리콘 질화물과 같은 질화물들의 고도로 조정 가능한 선택도 에칭을 제공하는 것에 관한 것이다.
반도체 웨이퍼 프로세싱 동안, 실리콘 질화물층 안으로 피처 (feature) 들이 에칭될 수도 있다. 실리콘 산화물층은 실리콘 질화물에 대한 에칭 마스크로서 사용될 수도 있고, 또는 에칭 종료 시에, 에칭하기에 바람직하지 않은 디바이스의 스택의 일부일 수도 있다.
상기 내용을 달성하기 위해서 본 발명의 목적에 따르면, 기판 위의 실리콘 산화물계 층에 대하여 질화물 층을 선택적으로 에칭하는 방법이 제공된다. 기판은 플라즈마 프로세싱 챔버 내에 배치된다. 질화물 층은, 탄화수소 종들, 산소 함유 종들 및 플루오르화탄소 또는 수소화불화탄소 종들을 포함하는 질화물 에칭 가스를 플라즈마 챔버 안으로 유동시키는 단계, 질화물 에칭 가스로부터 플라즈마를 형성하는 단계, 및 질화물 에칭 가스로부터의 플라즈마를 이용하여 실리콘 산화물계 층에 대하여 질화물 층을 선택적으로 에칭하는 단계를 포함하여 에칭된다.
본 발명의 다른 명시는, 스택을 형성하는 실리콘 산화물계 재료에 대하여 실리콘 질화물을 선택적으로 에칭하는 방법이 제공된다. 스택은 플라즈마 프로세싱 챔버 내에 배치된다. 실리콘 질화물은, 산소, 플루오르화탄소 또는 수소화불화탄소, 및 CH4 또는 C2H4 를 포함하는 실리콘 질화물 에칭 가스를 플라즈마 프로세싱 챔버 안으로 유동시키는 단계, 실리콘 질화물 에칭 가스로부터 플라즈마를 형성하는 단계, 및 플라즈마를 이용하여 실리콘 산화물계 재료에 대하여 실리콘 질화물을 선택적으로 에칭하는 단계를 포함하여 에칭된다.
본 발명의 다른 명시는, 기판 위의 실리콘 산화물계 층에 대하여 실리콘 질화물 층을 선택적으로 에칭하기 위한 장치가 제공된다. 플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 인클로저 내에서 웨이퍼를 지지하기 위한 기판 지지부, 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 지속시키기 위해 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극, 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구, 및 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 유출구를 포함하는 플라즈마 프로세싱 챔버가 제공된다. 가스 소스는 가스 유입구와 유체 소통하고, CH4 또는 C2H4 가스 소스, 산소 가스 소스, 및 플루오르화탄소 또는 수소화불화탄소 가스 소스를 포함한다. 제어기는 가스 소스 및 적어도 하나의 전극에 제어 가능하게 접속되고, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 웨이퍼 지지부에 기판을 척킹하기 위한 컴퓨터 판독가능 코드, 선택적으로 에칭하는 질화물 에칭 가스를 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드로서, 산소 가스 소스로부터의 산소를 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드, 플루오르화탄소 또는 수소화불화탄소 가스 소스로부터의 플루오르화탄소 또는 수소화불화탄소 가스를 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드, 및 CH4 또는 C2H4 가스 소스로부터의 CH4 또는 C2H4 가스를 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드를 포함하는, 상기 선택적으로 에칭하는 질화물 에칭 가스를 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드, 및 실리콘 산화물계 층에 대하여 실리콘 질화물 층을 선택적으로 에칭하기 위해 선택적으로 에칭하는 질화물 에칭 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드를 포함한다.
이하, 본 발명의 이들 및 다른 특성들은 이하의 도면들과 관련하여 본 발명의 상세한 설명에서 더욱 상세히 설명될 것이다.
본 발명은 제한의 방식이 아닌 예시의 방식으로 도시되고, 첨부된 도면들의 피겨 및 도면에서 동일한 참조 부호는 동일한 엘리먼트들을 지칭한다.
도 1 은 본 발명의 일 실시형태의 하이 레벨 흐름도이다.
도 2a 내지 도 2c 는 본 발명의 일 실시형태에 따라 프로세싱된 스택의 개략도이다.
도 3 은 에칭을 위해 이용될 수도 있는 에칭 리액터의 개략도이다.
도 4a 및 도 4b 는 본 발명의 실시형태에 사용된 제어기를 구현하기에 적합한 컴퓨터 시스템을 나타낸다.
도 5a 및 도 5b 는 본 발명의 다른 실시형태에 따라 프로세싱된 다른 스택의 개략도이다.
도 6a 및 도 6b 는 본 발명의 다른 실시형태에 따라 프로세싱된 다른 스택의 개략도이다.
본 발명은 첨부된 도면들에 도시된 바와 같은 본 발명의 몇몇 바람직한 실시형태들을 참조하여 상세히 설명될 것이다. 다음의 상세한 설명에서, 본 발명의 전체 이해를 제공하기 위해서 다수의 특정 상세들이 설명된다. 그러나, 본 발명은 이들 특정 상세들의 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 자명하다. 다른 경우에서, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않기 위해서 상세히 설명되지 않는다.
실리콘 질화물 층은 최대 2:1 의 선택도를 제공하는 수소화불화탄소 또는 플루오르화탄소 및 산소의 에칭 화학물질을 사용하여 실리콘 산화물에 대하여 선택적으로 에칭된다. 이러한 화학물질들을 사용하여 선택도를 증가시키기 위한 시도는 에칭 종료, 바람직하지 않은 프로파일 쉬링크 (shrink) 또는 바람직하지 않은 프로파일 언더컷 (undercut) 을 야기하고 있다.
이해를 용이하게 하기 위해서, 도 1 은 본 발명의 일 실시형태에서 사용된 프로세스의 하이 레벨 흐름도이다. 질화물 층 및 실리콘 산화물계 층을 갖는 기판이 챔버, 예컨대 플라즈마 프로세싱 챔버 내에 배치된다 (단계 104). 질화물 층은 다음의 단계들을 이용하여 에칭된다. 탄화수소 종들, 산소 함유 종들, 및 플루오르화탄소 또는 수소화불화탄소 종들을 포함하는 질화물 에칭 가스가 챔버 안으로 유동된다 (단계 108). 플라즈마는 질화물 에칭 가스로부터 형성된다 (단계 112). 질화물 에칭 가스로부터의 플라즈마를 사용하여 실리콘 산화물계 층에 대하여 질화물 층 안으로 피처가 선택적으로 에칭된다 (단계 116).
실시예들
본 발명의 제 1 실시예에서, 질화물 층은 실리콘 질화물 층이다. 다른 실시예에서, 질화물 층은 카본 질화물과 같은 다른 질화물 재료일 수도 있다. 도 2a 는 기판 (204) 을 갖는 스택 (200) 의 단면도이고, 기판 위에 실리콘 질화물 층 (208) 이 배치되고, 실리콘 질화물 층 위에 실리콘 산화물계 층 (212) 이 배치되고, 실리콘 산화물계 층 위에는 포토레지스트 마스크 (216) 가 배치되는데, 이는 본 발명의 일 실시형태에서 사용될 수도 있다. 실리콘 산화물계 층 (212) 은 실리콘 산화물 층이고, 이는 로우-k 유기실리케이트 글래스를 형성하기 위해 유기 성분들과 같은 추가의 첨가제를 가질 수도 있다. 기판 (204) 은 에칭 챔버 또는 플라즈마 프로세싱 챔버 내에 배치된다 (단계 104).
도 3 은 본 발명을 실시하는데 사용될 수도 있는 에칭 반응기의 개략도이다. 본 발명의 하나 이상의 실시형태들에서, 에칭 반응기 (300) 는 챔버 벽 (350) 내에 상부 중앙 전극 (306), 상부 외측 전극 (304), 하부 중앙 전극 (308), 및 하부 외측 전극 (310) 을 포함한다. 상부 절연체 링 (307) 은 상부 외측 전극 (304) 으로부터 상부 중앙 전극 (306) 을 절연시킨다. 하부 절연체 링 (312) 은 하부 외측 전극 (310) 으로부터 하부 중앙 전극 (308) 을 절연시킨다. 또한, 에칭 반응기 (300) 내에서, 기판 (380) 은 하부 중앙 전극 (308) 의 상부에 위치한다. 선택적으로, 하부 중앙 전극 (308) 은 기판 (380) 을 홀딩하기 위해 적합한 기판 척킹 메커니즘 (예를 들어, 정전, 기계적 클램핑, 등) 을 포함한다.
가스 소스 (324) 는 에칭 반응기 (300) 에 연결되고, 에칭 프로세스 동안 에칭 반응기 (300) 의 플라즈마 영역 (340) 으로 에칭 가스를 공급한다. 본 예에서, 가스 소스 (324) 는 탄화수소 소스 (364), 수소 소스 (365), 수소화불화탄소 또는 플루오르화탄소 소스 (366), 및 산소 소스 (368) 를 포함한다.
바이어스 RF 소스 (348), 제 1 여기 RF 소스 (352), 및 제 2 여기 RF 소스 (356) 는 전극들 (304, 306, 308, 및 310) 에 전력을 제공하기 위해 제어기 (335) 를 통해 에칭 반응기 (300) 에 전기적으로 접속된다. 바이어스 RF 소스 (348) 는 바이어스 RF 전력을 생성하고, 이 바이어스 RF 전력을 에칭 반응기 (300) 에 공급한다. 바람직하게, 바이어스 RF 전력은 1 킬로 헤르츠 (kHz) 와 10 메가 헤르츠 (MHz) 사이의 주파수를 갖는다. 보다 바람직하게, 바이어스 RF 전력은 1 MHz 와 5 MHz 사이의 주파수를 갖는다. 더욱 바람직하게, 바이어스 RF 전력은 약 3 MHz 의 주파수를 갖는다.
제 1 여기 RF 소스 (352) 는 소스 RF 전력을 생성하고, 이 소스 RF 전력을 에칭 반응기 (300) 에 공급한다. 바람직하게, 이 소스 RF 전력은 바이어스 RF 전력보다 큰 주파수를 갖는다. 보다 바람직하게, 이 소스 RF 전력은 10 MHz 와 40 MHz 사이인 주파수를 갖는다. 가장 바람직하게, 이 소스 RF 전력은 27 MHz 의 주파수를 갖는다.
제 2 여기 RF 소스 (356) 는 다른 소스 RF 전력을 생성하고, 제 1 여기 RF 소스 (352) 에 의해 생성된 RF 전력에 추가하여, 이 소스 RF 전력을 에칭 반응기 (300) 에 공급한다. 바람직하게, 이 소스 RF 전력은 바이어스 RF 소스 및 제 1 RF 여기 소스보다 큰 주파수를 갖는다. 보다 바람직하게, 제 2 여기 RF 소스는 40 MHz 이상인 주파수를 갖는다. 가장 바람직하게, 이 소스 RF 전력은 60 MHz 의 주파수를 갖는다.
상이한 RF 신호들이 상부 전극 및 하부 전극의 다양한 조합들에 공급될 수도 있다. 바람직하게, RF 의 최하위 주파수는 에칭되는 재료가 배치되는 하부 전극을 통해 인가되고, 본 예에서 하부 전극은 하부 중앙 전극 (308) 이다.
제어기 (335) 는 가스 소스 (324), 바이어스 RF 소스 (348), 제 1 여기 RF 소스 (352), 및 제 2 여기 RF 소스 (356) 에 접속된다. 제어기 (335) 는 에칭 반응기 (300) 안으로의 에칭 가스의 유동, 뿐만 아니라 3 개의 RF 소스들 (348, 352, 356), 전극들 (304, 306, 308, 및 310), 및 배기 펌프 (320) 로부터의 RF 전력의 생성을 제어한다.
본 예에서, 한정 링들 (302) 이 제공되어 플라즈마 및 가스의 한정을 제공하는데, 플라즈마 및 가스는 한정 링들 사이를 통과하고 배기 펌프에 의해 배출된다. 캘리포니아, 프레몬트의 Lam Research Corporation™ 에 의해 제조된 Flex 45 DS® 유전체 에칭 시스템이 본 발명의 바람직한 실시형태에 사용될 수도 있다.
도 4a 및 도 4b 는 본 발명의 하나 이상의 실시형태에서 사용된 제어기 (335) 를 구현하기에 적합한 컴퓨터 시스템을 나타낸다. 도 4a 는 컴퓨터 시스템 (400) 의 하나의 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판, 및 소형 핸드헬드 디바이스에서부터 거대한 슈퍼 컴퓨터에까지 이르는 많은 물리적 형태들을 가질 수도 있다. 컴퓨터 시스템 (400) 은 모니터 (402), 디스플레이 (404), 하우징 (406), 디스크 드라이버 (408), 키보드 (410), 및 마우스 (412) 를 포함한다. 디스크 (414) 는 컴퓨터 시스템 (400) 으로 그리고 컴퓨터 시스템으로부터 데이터를 전송하는데 사용된 컴퓨터 판독가능 매체이다.
도 4b 는 컴퓨터 시스템 (400) 의 블록도의 일 예이다. 다양한 서브시스템이 시스템 버스 (420) 에 부착된다. 프로세서(들) (422)(중앙 처리 장치, 또는 CPU 로도 지칭됨) 은 메모리 (424) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (424) 는 랜덤 액세스 메모리 (RAM) 및 판독 전용 메모리 (ROM) 를 포함한다. 당업계에 알려진 바와 같이, ROM 은 데이터 및 명령들을 일 방향으로 CPU 에 전송하도록 작용하고, RAM 은 통상적으로 데이터 및 명령들을 양 방향으로 전송하도록 사용된다. 이들 유형의 메모리들 양자 모두는 후술될 임의의 적합한 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 또한, CPU (422) 에 양 방향으로 커플링되고; 이것은 추가의 데이터 저장 용량을 제공하고 후술될 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 프로그램, 데이터 등을 저장하는데 이용될 수도 있고, 통상적으로 주 저장장치보다 더 느린 보조 저장 매체 (예컨대, 하드 디스크) 이다. 적절한 경우에, 고정 디스크 (426) 내에 보존되는 정보는 메모리 (424) 내의 가상 기억과 같은 표준 방식으로 통합될 수도 있다. 착탈식 디스크 (414) 는 후술될 컴퓨터 판독가능 매체의 임의의 형태를 취할 수도 있다.
CPU (422) 는 또한, 각종 입력/출력 디바이스, 예컨대 디스플레이 (404), 키보드 (410), 마우스 (412), 및 스피커 (430) 에 커플링된다. 일반적으로, 입력/출력 디바이스는 비디오 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 접촉 감지 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿, 스타일러스, 음성 또는 핸드라이팅 인식기, 바이오메트릭 판독기, 또는 다른 컴퓨터 중 어느 하나일 수도 있다. CPU (422) 는 선택적으로 네트워크 인터페이스 (440) 를 이용하여 다른 컴퓨터 또는 전자통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스를 이용하여, CPU 는 네트워크로부터 정보를 수신할 수도 있고, 또는 전술한 방법 단계들을 수행하는 과정에서 네트워크로 정보를 출력할 수도 있다. 또한, 본 발명의 방법 실시형태는 오직 CPU (422) 상에서만 실행할 수도 있고, 또는 프로세싱의 일부를 공유하는 원격 CPU 와 함께 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
또한, 본 발명의 실시형태는 또한 각종 컴퓨터 구현 동작들을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 갖는 컴퓨터 저장 제품에 관한 것이다. 이 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있으며, 또는 컴퓨터 소프트웨어 업계의 당업자에게 이용가능하고 잘 알려진 종류의 것일 수도 있다. 컴퓨터 판독가능 매체의 예들로는, 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플롭티컬 디스크 (floptical disk) 와 같은 자기광학 매체; 및 주문형 집적회로 (ASIC), 프로그래머블 논리 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장 및 실행하도록 특별히 구성되는 하드웨어 디바이스가 있으나, 이에 한정되지 않는다. 컴퓨터 코드의 예들로는 컴파일러에 의해 생성되는 것과 같은 머신 코드 및 인터프리터를 이용하여 컴퓨터에 의해 실행되는 보다 하이 레벨의 코드를 포함하는 파일들이 있다. 컴퓨터 판독가능 매체는 또한 반송파로 구현되는 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
본 예에서, 실리콘 산화물계 층 (212) 은 실리콘 질화물 층 (208) 과 같은 동일한 에칭 반응기 (300) 에서 에칭된다. 종래의 에칭 화학물질은 포토레지스트 마스크 (216) 에 대하여 실리콘 산화물계 층 (212) 을 선택적으로 에칭하는데 사용된다. 도 2b 는 피처들 (220) 이 실리콘 산화물계 층 (212) 안으로 에칭된 후의 스택 (200) 의 단면도이다. 본 예에서, 포토레지스트 마스크는 실리콘 산화물계 층 (212) 에칭 동안 제거된다. 다른 실시형태들에서, 일부 포토레지스트가 남을 수도 있다.
실리콘 질화물 층 (208) 은 그 후, 실리콘 산화물계 층 (212) 에 대하여 선택적으로 에칭된다. 탄화수소 종들, 불소 함유 종들, 및 산소 함유 종들을 포함하는 질화물 에칭 가스가 에칭 반응기 안으로 유동된다 (단계 108). 본 예에서, 질화물 에칭 가스는 5 sccm O2, 180 sccm H2, 60 sccm CF4, 50 sccm CH4, 및 200 sccm Ar 이다. 이 화학물질은 실리콘 산화물계 층에 대하여 실리콘 질화물을 선택적으로 에칭하는데 사용되기 때문에, 질화물 에칭 화학물질은 본 예의 이전 단계에서 실리콘 산화물을 에칭하는데 사용된 에칭 화학물질과 상이하다.
질화물 에칭 가스로부터 플라즈마가 형성된다 (단계 112). 플라즈마를 형성하기 위해, 압력은 80 mTorr 로 설정된다. 27 MHz 에서 50 와트의 신호가 제공된다. 60 MHz 에서 450 와트의 신호가 제공된다. 이 컨디션들이 20 초 동안 유지되어, 플라즈마가 실리콘 산화물계 층에 대하여 질화물 층을 선택적으로 에칭하는 것을 허용한다 (단계 116). 질화물 에칭 가스의 유동 및 플라즈마 전력은 그 후, 정지된다. 콘택트들을 형성하기 위한 실험에서 상기 레시피를 사용하여 약 16:1 의 실리콘 질화물 대 실리콘 산화물 선택도를 발견하였다.
도 2c 는 실리콘 질화물 층 (208) 이 에칭된 후에 질화물 에칭 가스의 유동이 정지된 후의 스택 (200) 의 단면도이다. 실리콘 질화물 층과 실리콘 산화물계 층 사이의 높은 선택도는 도시된 바와 같이 실리콘 질화물 층 (208) 의 에칭 동안 최소의 실리콘 산화물 에칭을 허용한다. 실리콘 산화물 층은 최종 스택의 일부를 형성할 것이기 때문에, 높은 선택도가 바람직하다.
본 예에서, 탄화수소 종들은 CH4 이다. 바람직한 실시형태에서, 탄화수소 종들은 CH4 또는 C2H4 이다. 이들 탄화수소는 에칭 종료 또는 프로파일의 손실 없이 높은 선택도를 제공한다. 바람직한 실시형태에서, 산소 함유 종들은 산소이다.
도 5a 는 기판 (504) 을 갖는 다른 스택 (500) 의 단면도이고, 기판 위에는 제 1 실리콘 산화물계 층 (508) 이 배치되고, 제 1 실리콘 산화물계 층 위에는 실리콘 질화물 층 (512) 이 배치되고, 실리콘 질화물 층 위에는 제 2 실리콘 산화물계 층 (516) 이 배치된다. 하나 이상의 중간 층들이 각종 층들의 사이, 예컨대 기판 (504) 과 제 1 실리콘 산화물계 층 (508) 사이에 배치될 수도 있다. 그러나, 제 1 실리콘 산화물계 층 (508) 은 실리콘 질화물 층 (512) 에 충분히 가까이 있어야 하기 때문에, 제 1 실리콘 산화물계 층 (508) 은 에칭 정지층 (etch stop) 으로서 작용한다. 본 예에서, 피처들 (520) 은 제 2 실리콘 산화물계 층 (516) 내에 이미 형성되어 있다.
선택적인 실리콘 질화물 층 에칭이 수행된다. 본 예에서, 질화물 에칭 가스는 5 sccm O2, 180 sccm H2, 60 sccm CF4, 50 sccm CH4, 및 200 sccm Ar 이다. 압력은 80 mTorr 로 설정된다. 27 MHz 에서 50 와트의 신호가 제공된다. 60 MHz 에서 450 와트의 신호가 제공된다. 이 컨디션들이 20 초 동안 유지되어, 플라즈마가 실리콘 산화물계 층에 대하여 질화물 층을 선택적으로 에칭하는 것을 허용한다. 질화물 에칭 가스의 유동 및 플라즈마 전력이 그 후 정지된다.
도 5b 는 실리콘 질화물 층 에칭이 완료된 후의 스택 (500) 의 단면도이다. 본 예에서, 실리콘 질화물 층 (512) 과 실리콘 산화물계 층들 (508, 516) 사이의 높은 선택도는 제 1 실리콘 산화물계 층이 에칭 정지층으로서 작용하는 것을 허용한다.
도 6a 는 기판 (604) 을 갖는 다른 스택 (600) 의 단면도이고, 기판 위에는 제 1 실리콘 질화물 층 (608) 이 배치되고, 제 1 실리콘 질화물 층 위에는 실리콘 산화물계 층 (612) 이 배치되고, 실리콘 산화물계 층 위에는 제 2 실리콘 질화물 층 (616) 이 배치되고, 제 2 실리콘 질화물 층 위에는 포토레지스트 마스크 (620) 가 배치된다. 본 예에서, 제 1 및 제 2 실리콘 질화물 층들 (608, 616) 은 산화물계 층 (612) 과 접촉하고, 산화물계 층은 제 1 및 제 2 실리콘 질화물 층들 (608, 616) 보다 몇 배 더 얇다.
본 예에서, 실리콘 산화물계 재료에 대한 선택적인 실리콘 질화물 에칭은 3:1 내지 7:1 사이의 선택도를 갖는다. 이 선택도는 두 실리콘 질화물 재료 층들 및 상대적으로 얇은 실리콘 산화물 층의 에칭을 제공하기에 충분히 낮다. 이러한 레시피는 낮은 퍼센티지의 탄화수소를 이용한다. 예를 들어, 질화물 에칭 가스는 5 sccm O2, 180 sccm H2, 60 sccm CF4, 20 sccm CH4, 및 200 sccm Ar 이다. 본 예에서, CH4 의 유량은 이전 예들의 유량보다 작고, 이는 선택도를 감소시킨다. 플라즈마를 형성하기 위해 압력은 80 mTorr 로 설정된다. 27 MHz 에서 50 와트의 신호가 제공된다. 60 MHz 에서 450 와트의 신호가 제공된다.
도 6b 는 제 1 실리콘 질화물 층 (608), 실리콘 산화물계 층 (612), 및 제 2 실리콘 질화물 층 (616) 이 본 발명의 실시형태를 이용하여 단일의 에칭 스텝으로 에칭된 후의 스택 (600) 의 단면도이다. 본 실시형태의 이점은, 모든 3 개의 층들이 단일의 에칭 레시피를 이용하여 에칭될 수도 있다는 것이다.
다른 실시형태에서, 상부 실리콘 산화물계 층이 이용되는 경우, 선택도가 너무 낮으면, 너무 많은 상부 산화물이 제거되고 디바이스가 단락될 것이다. 하부 산화물을 갖는 실시형태에서, 하부 산화물이 매몰된 산화물 방식 (BOX scheme) 과 같이 노출되면, 너무 낮은 선택도는 에칭이 밑에 있는 실리콘까지 구멍을 뚫고 디바이스를 다시 단락시킬 위험을 야기한다.
각종 예들은 본 발명의 실시형태들에 의해 제공된 이점들을 나타낸다. CH4 또는 C2H4 의 유동은 실리콘 질화물 대 실리콘 산화물 선택도를 제어하기 위한 파라미터를 제공한다. 일반적으로, CH4 또는 C2H4 의 유동을 증가시킴으로써, 선택도는 증가된다.
바람직하게, 질화물 에칭 가스는 1:20 내지 1:3 의 범위에서 산소 대 CH4 또는 C2H4 의 체적 유량비 (flow ratio by volume) 를 제공한다. 또한, 질화물 에칭 가스는 1:20 내지 1:3 의 범위에서 산소 대 탄화수소 또는 수소화불화탄소의 체적 유량비를 제공한다. 다른 반응물들 (이전 예들에서, O2, H2, 및 CF4, 그러나 Ar 은 아님) 에 대한 CH4 또는 C2H4 의 유량비는 1:4 내지 1:20 의 범위에 있다. 이 레시피에서 Ar 은 반응물은 아니지만 대신에 희석액이기 때문에, Ar 의 유동은 비율에 반영되지 않는다. 질화물 에칭 가스로부터 플라즈마를 형성하는 것은 40 내지 200 mTorr 사이에서 압력을 유지하는 것, 20 MHz 보다 큰 주파수에서 적어도 50 와트의 RF 전력을 제공하는 것을 포함한다.
H2 의 추가는 선택적인 에칭 동안 질화물 표면 상에 폴리머 성장 (buildup) 을 방지함으로써 에칭 정지를 방지하는 것을 돕는다. 수소는 다른 에천트들 보다 몇 배 가볍기 때문에, 더 빠르게 확산되어 균일한 에칭을 매우 어렵게 만든다. 바람직하게는 CH4 또는 C2H4 인 탄화수소의 추가는 에칭 정지를 방지하는 점에서는 H2 이상의 균일도를 제공한다. 또한, 탄화수소로부터의 탄소는 산화물 표면 상의 중합을 용이하게 하여 패시베이션 (passivation) 을 향상시킨다. 예상외로, 수소 및 수소화불화탄소를 갖는 에칭 화학물질에 추가된 CH4 또는 C2H4 의 추가는 산화물의 패시베이션에 있어서 적당한 양의 탄소를 제공하면서, 질화물 위에 패시베이션 층을 균일하게 얇게하기 위해서 균일한 수소 분배를 제공한다는 것이 발견되었다. CH4 또는 C2H4 는 에칭 정지 없이 균일도를 갖고 조정 가능한 선택도를 제공한다. 튜닝을 측정하는 다른 방법은 CH4 또는 C2H4 의 유량에 대한 H2 의 유량의 비율에 따를 수도 있다. 바람직한 실시형태에서, H2 의 몰 유량 (molar flow rate) 은 플루오르화탄소 및 수소화불화탄소 종들의 몰 유량보다 더 크다.
산소의 존재는 피처 코너들을 라운딩하는데 유용하고, 이는 각종 이점, 예컨대 이러한 피처들을 채우는 것을 더 쉽게 만드는 이점을 제공한다는 것이 발견되었다. 따라서, 바람직한 실시형태는 SiN 에칭 동안 산소를 이용한다. 그러나, 본 발명의 다른 실시형태는 산소를 전혀 이용하지 않을 수도 있다.
본 발명은 몇몇 바람직한 실시형태에 대하여 설명되었으나, 본 발명의 범위 내에 있는 변경, 치환, 변형 및 각종 대체의 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 대안의 방식들이 존재한다. 따라서, 다음의 청구항은 본 발명의 사상 및 범위 내에 있는 이러한 변경, 치환, 및 각종 대체의 등가물 모두를 포함하는 것으로서 해석된다.

Claims (19)

  1. 기판 위의 실리콘 산화물계 층에 대하여 질화물 층을 선택적으로 에칭하는 방법으로서,
    상기 기판을 플라즈마 프로세싱 챔버 내에 배치하는 단계; 및
    상기 질화물 층을 에칭하는 단계로서,
    탄화수소 종들, 산소 함유 종들, 수소 함유 종들, 및 플루오르화탄소 또는 수소화불화탄소 종들을 포함하는 질화물 에칭 가스를 상기 플라즈마 챔버 안으로 유동시키는 단계;
    상기 플라즈마 챔버 내의 상기 질화물 에칭 가스로부터 플라즈마를 인시츄 (in situ) 로 형성하는 단계; 및
    상기 질화물 에칭 가스로부터의 상기 플라즈마를 이용하여 상기 실리콘 산화물계 층에 대하여 상기 질화물 층을 선택적으로 에칭하는 단계를 포함하는, 상기 질화물 층을 에칭하는 단계를 포함하는, 에칭 방법.
  2. 제 1 항에 있어서,
    상기 질화물 층은 실리콘 질화물 층인, 에칭 방법.
  3. 제 2 항에 있어서,
    상기 탄화수소 종들은 CH4 또는 C2H4 인, 에칭 방법.
  4. 제 3 항에 있어서,
    상기 수소 함유 종들은 H2 이고, 상기 산소 함유 종들은 O2 이며,
    H2 의 유량은 플루오르화탄소 및 수소화불화탄소 종들의 유량보다 큰, 에칭 방법.
  5. 제 4 항에 있어서,
    상기 선택적으로 에칭하는 단계는 적어도 10:1 의 선택도로 상기 실리콘 산화물계 층에 대하여 상기 실리콘 질화물 층을 선택적으로 에칭하는, 에칭 방법.
  6. 제 5 항에 있어서,
    상기 플루오르화탄소는 CF4 이고,
    상기 질화물 에칭 가스는 H2 및 Ar 를 더 포함하는, 에칭 방법.
  7. 제 6 항에 있어서,
    상기 선택적 에칭은 22 nm 내지 28 nm 사이의 폭으로 상기 실리콘 질화물 내에 피처들을 형성하는, 에칭 방법.
  8. 제 4 항에 있어서,
    상기 질화물 에칭 가스로부터 플라즈마를 형성하는 단계는 40 mTorr 내지 200 mTorr 사이의 압력을 유지하는 단계, 20 MHz 보다 큰 주파수에서 적어도 50 와트의 RF 전력을 제공하는 단계를 포함하는, 에칭 방법.
  9. 제 4 항에 있어서,
    상기 질화물 에칭 가스는 1:4 내지 1:20 의 범위에서 CH4 또는 C2H4 대 모든 다른 반응물들의 체적 유량비를 제공하는, 에칭 방법.
  10. 제 4 항에 있어서,
    상기 실리콘 산화물계 층은 실리콘 질화물 층들 사이에 끼워지고,
    상기 선택적으로 에칭하는 단계는 5:1 내지 7:1 사이의 선택도로 상기 실리콘 산화물계 층에 대하여 상기 실리콘 질화물 층들을 선택적으로 에칭하는, 에칭 방법.
  11. 제 1 항에 있어서,
    상기 탄화수소 종들은 CH4 또는 C2H4 인, 에칭 방법.
  12. 제 1 항에 있어서,
    상기 산소 함유 종들은 O2 인, 에칭 방법.
  13. 제 1 항에 있어서,
    상기 선택적으로 에칭하는 단계는, 적어도 10:1 의 선택도로 상기 실리콘 산화물계 층에 대하여 상기 실리콘 질화물 층을 선택적으로 에칭하는, 에칭 방법.
  14. 스택을 형성하는 실리콘 산화물계 재료에 대하여 실리콘 질화물을 선택적으로 에칭하는 방법으로서,
    상기 스택을 플라즈마 프로세싱 챔버 내에 배치하는 단계; 및
    상기 실리콘 질화물을 에칭하는 단계로서,
    수소, 플루오르화탄소 또는 수소화불화탄소, 및 CH4 또는 C2H4 를 포함하는 실리콘 질화물 에칭 가스를 상기 플라즈마 프로세싱 챔버 안으로 유동시키는 단계;
    상기 실리콘 질화물 에칭 가스로부터 플라즈마를 형성하는 단계; 및
    상기 플라즈마를 이용하여 상기 실리콘 산화물계 재료에 대하여 상기 실리콘 질화물을 선택적으로 에칭하는 단계를 포함하는, 상기 실리콘 질화물을 에칭하는 단계를 포함하는, 에칭 방법.
  15. 제 14 항에 있어서,
    상기 선택적으로 에칭하는 단계는 적어도 10:1 의 선택도로 상기 실리콘 산화물계 재료에 대하여 상기 실리콘 질화물을 선택적으로 에칭하는, 에칭 방법.
  16. 제 14 항에 있어서,
    상기 플루오르화탄소 또는 수소화불화탄소는 CF4 이고,
    상기 실리콘 질화물 에칭 가스는 O2 및 Ar 을 더 포함하는, 에칭 방법.
  17. 제 14 항에 있어서,
    상기 질화물 에칭 가스로부터 상기 플라즈마를 형성하는 단계는, 40 내지 200 mTorr 사이의 압력을 유지하는 단계, 20 MHz 보다 큰 주파수에서 적어도 50 와트의 RF 전력을 제공하는 단계를 포함하는, 에칭 방법.
  18. 제 14 항에 있어서,
    상기 질화물 에칭 가스는 1:4 내지 1:20 의 범위에서 CH4 또는 C2H4 대 모든 다른 반응물들의 체적 유량비를 제공하는, 에칭 방법.
  19. 기판 위의 실리콘 산화물계 층에 대하여 실리콘 질화물 층을 선택적으로 에칭하기 위한 장치로서,
    플라즈마 프로세싱 챔버로서,
    플라즈마 프로세싱 챔버 인클로저를 형성하는 챔버 벽;
    상기 플라즈마 프로세싱 챔버 인클로저 내에서 웨이퍼를 지지하기 위한 기판 지지부;
    상기 플라즈마 프로세싱 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기;
    플라즈마를 지속시키기 위해 상기 플라즈마 프로세싱 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극;
    상기 플라즈마 프로세싱 챔버 인클로저 안으로 가스를 제공하기 위한 가스 유입구; 및
    상기 플라즈마 프로세싱 챔버 인클로저로부터 가스를 배출하기 위한 가스 유출구를 포함하는, 상기 플라즈마 프로세싱 챔버;
    상기 가스 유입구와 유체 소통하는 가스 소스로서,
    CH4 또는 C2H4 가스 소스;
    수소 가스 소스;
    산소 가스 소스; 및
    플루오르화탄소 또는 수소화불화탄소 가스 소스를 포함하는, 상기 가스 소스; 및
    상기 가스 소스 및 상기 적어도 하나의 전극에 제어 가능하게 접속된 제어기로서,
    적어도 하나의 프로세서; 및
    컴퓨터 판독가능 매체로서,
    웨이퍼 지지부에 상기 기판을 척킹하기 위한 컴퓨터 판독가능 코드; 및
    선택적으로 에칭하는 질화물 에칭 가스를 상기 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드로서,
    상기 산소 가스 소스로부터의 산소를 상기 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드;
    상기 플루오르화탄소 또는 수소화불화탄소 가스 소스로부터의 플루오르화탄소 또는 수소화불화탄소 가스를 상기 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드;
    상기 CH4 또는 C2H4 가스 소스로부터의 CH4 또는 C2H4 가스를 상기 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드; 및
    상기 실리콘 산화물계 층에 대하여 상기 실리콘 질화물 층을 선택적으로 에칭하기 위해 상기 선택적으로 에칭하는 질화물 에칭 가스를 플라즈마로 형성하기 위한 컴퓨터 판독가능 코드를 포함하는,
    상기 선택적으로 에칭하는 질화물 에칭 가스를 상기 플라즈마 프로세싱 챔버 안으로 유동시키기 위한 컴퓨터 판독가능 코드를 포함하는,
    상기 컴퓨터 판독가능 매체를 포함하는,
    상기 제어기를 포함하는, 에칭 장치.
KR1020110022558A 2010-03-15 2011-03-14 산화물에 대해 고도로 조정 가능한 선택도를 갖는 질화물 플라즈마 에칭 KR20110103883A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/724,100 US20110223770A1 (en) 2010-03-15 2010-03-15 Nitride plasma etch with highly tunable selectivity to oxide
US12/724,100 2010-03-15

Publications (1)

Publication Number Publication Date
KR20110103883A true KR20110103883A (ko) 2011-09-21

Family

ID=44560398

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110022558A KR20110103883A (ko) 2010-03-15 2011-03-14 산화물에 대해 고도로 조정 가능한 선택도를 갖는 질화물 플라즈마 에칭

Country Status (3)

Country Link
US (1) US20110223770A1 (ko)
KR (1) KR20110103883A (ko)
TW (1) TW201137972A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101405175B1 (ko) * 2011-10-31 2014-06-10 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭 방법
KR20190004365A (ko) * 2016-05-29 2019-01-11 도쿄엘렉트론가부시키가이샤 측벽 이미지 전사 방법

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3420347A1 (de) * 1983-06-01 1984-12-06 Hitachi, Ltd., Tokio/Tokyo Gas und verfahren zum selektiven aetzen von siliciumnitrid
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
US6461529B1 (en) * 1999-04-26 2002-10-08 International Business Machines Corporation Anisotropic nitride etch process with high selectivity to oxide and photoresist layers in a damascene etch scheme
WO2003060978A1 (en) * 2002-01-15 2003-07-24 Tokyo Electron Limited Cvd method and device for forming silicon-containing insulation film
DE10238590B4 (de) * 2002-08-22 2007-02-15 Infineon Technologies Ag Verfahren zur Erzeugung einer Struktur auf einem Substrat
KR100604816B1 (ko) * 2003-05-19 2006-07-28 삼성전자주식회사 집적 회로 소자 리세스 트랜지스터의 제조 방법 및 이에의해 제조된 집적회로 소자 리세스 트랜지스터
US7625460B2 (en) * 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
JP4390616B2 (ja) * 2004-04-27 2009-12-24 Necエレクトロニクス株式会社 洗浄液及び半導体装置の製造方法
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7557032B2 (en) * 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US8906248B2 (en) * 2011-12-13 2014-12-09 Lam Research Corporation Silicon on insulator etch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101405175B1 (ko) * 2011-10-31 2014-06-10 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 에칭 방법
KR20190004365A (ko) * 2016-05-29 2019-01-11 도쿄엘렉트론가부시키가이샤 측벽 이미지 전사 방법

Also Published As

Publication number Publication date
TW201137972A (en) 2011-11-01
US20110223770A1 (en) 2011-09-15

Similar Documents

Publication Publication Date Title
KR101209535B1 (ko) 에칭 프로파일 제어
US8394722B2 (en) Bi-layer, tri-layer mask CD control
KR101711669B1 (ko) 측벽 형성 공정
US7682516B2 (en) Vertical profile fixing
US8815745B2 (en) Reducing damage to low-K materials during photoresist stripping
KR101528947B1 (ko) 유전체 에칭에서의 프로파일 제어
US20060194439A1 (en) Etch with striation control
KR20070092282A (ko) 에칭 마스크 피쳐 임계 치수의 감축
KR20090125076A (ko) 펄스화된 초고 애스펙트비 유전체 식각
JP4791964B2 (ja) ランピングによるエッチング方法及び装置
KR20080017287A (ko) 삼중층 레지스트 유기층 에칭
US8470715B2 (en) CD bias loading control with ARC layer open
KR20090106647A (ko) 초고 애스펙트비 유전체 식각
US20110097904A1 (en) Method for repairing low-k dielectric damage
KR101155843B1 (ko) 균일성 제어에 의한 에칭
US20070181530A1 (en) Reducing line edge roughness
KR20100121440A (ko) 저유전율 유전체 손상이 감소된 박리
KR20070011306A (ko) 라인 에지 러프니스 컨트롤
KR20100065157A (ko) 최소의 rie 래그를 이용하여 비아 패싯을 조정하는 방법
KR20110103883A (ko) 산화물에 대해 고도로 조정 가능한 선택도를 갖는 질화물 플라즈마 에칭
US8906248B2 (en) Silicon on insulator etch
KR101503084B1 (ko) 수소화불화탄소 에칭용 글루층
US20060011578A1 (en) Low-k dielectric etch

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E601 Decision to refuse application