KR101503084B1 - 수소화불화탄소 에칭용 글루층 - Google Patents

수소화불화탄소 에칭용 글루층 Download PDF

Info

Publication number
KR101503084B1
KR101503084B1 KR1020097014353A KR20097014353A KR101503084B1 KR 101503084 B1 KR101503084 B1 KR 101503084B1 KR 1020097014353 A KR1020097014353 A KR 1020097014353A KR 20097014353 A KR20097014353 A KR 20097014353A KR 101503084 B1 KR101503084 B1 KR 101503084B1
Authority
KR
South Korea
Prior art keywords
layer
etch
hydrocarbon
gas
glue layer
Prior art date
Application number
KR1020097014353A
Other languages
English (en)
Other versions
KR20090094366A (ko
Inventor
지수 김
상현 이
디팍 케이 굽타
에스 엠 레자 사드자디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090094366A publication Critical patent/KR20090094366A/ko
Application granted granted Critical
Publication of KR101503084B1 publication Critical patent/KR101503084B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

프로세스 웨이퍼 상의 마스크 아래에 배치된 에칭층에 피쳐를 에칭하는 방법이 제공된다. 탄화수소계 글루층 (hydrocarbon based glue layer) 이 증착된다. 프로세스 웨이퍼 상의 에칭층이 적어도 1 회의 사이클로 에칭되고, 각 사이클은, 마스크 위에 및 탄화수소계 글루층 상에 수소화불화탄소층을 증착하는 단계, 및 에칭층을 에칭하는 단계를 포함하고, 여기서 탄화수소계 글루층은 수소화불화탄소층의 접착성을 증가시킨다.
에칭층, 탄화수소계 글루층, 수소화불화탄소층, 증착

Description

수소화불화탄소 에칭용 글루층{GLUE LAYER FOR HYDROFLUOROCARBON ETCH}
본 발명은 반도체 디바이스에 관한 것이다. 더욱 구체적으로, 본 발명은 반도체 디바이스를 형성하기 위한 피쳐 에칭에 관한 것이다.
반도체 디바이스의 형성시에, 수소화불화탄소층 (hydrofluorocarbon layer) 을 증착하는 프로세스를 이용하여 유전체층이 에칭될 수도 있다. 이러한 수소화불화탄소층은, 마스크 보호, 측벽 형상 제어, 또는 피쳐 사이즈 제어를 위해 사용될 수도 있다.
상기한 점을 달성하기 위해 그리고 본 발명의 목적에 따라서, 프로세스 웨이퍼 상의 마스크 아래에 배치된 에칭층에 피쳐를 에칭하는 방법이 제공된다. 탄화수소계 글루층 (hydrocarbon based glue layer) 이 증착된다. 프로세스 웨이퍼 상의 에칭층이 적어도 1 회의 사이클로 에칭되고, 각 사이클은, 마스크 위에 및 탄화수소계 글루층 상에 수소화불화탄소층을 증착하는 단계, 및 에칭층을 에칭하는 단계를 포함하고, 여기서 탄화수소계 글루층은 수소화불화탄소층의 접착성을 증가시킨다.
본 발명의 다른 양태에 있어서, 마스크 아래의 에칭층에 피쳐를 에칭하는 장치가 제공된다. 플라즈마 처리 챔버 인클로저를 형성하는 챔버 벽, 플라즈마 처리 챔버 인클로저 내부에서 기판을 지지하는 기판 지지체, 플라즈마 처리 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 처리 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극, 플라즈마 처리 챔버 인클로저에 가스를 제공하기 위한 가스 유입구, 및 플라즈마 처리 챔버 인클로저로부터 가스를 배출하기 위한 가스 배출구를 포함하는 플라즈마 처리 챔버가 제공된다. 가스 소스가 가스 유입구와 유체 연결되고, 글루층 가스 소스, 수소화불화탄소 증착 페이즈 가스 소스 및 에칭 페이즈 가스 소스를 포함한다. 제어기가 가스 소스 및 적어도 하나의 전극에 제어가능하게 연결되고, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 탄화수소계 글루층을 증착하기 위한 컴퓨터 판독가능 코드, 및 에칭층에 피쳐를 에칭하기 위한 컴퓨터 판독가능 코드를 포함하고, 피쳐를 에칭하기 위한 컴퓨터 판독가능 코드는, 수소화불화탄소 증착물을 증착하기 위한 컴퓨터 판독가능 코드, 및 에칭층을 에칭하기 위한 컴퓨터 판독가능 코드를 포함한다.
본 발명의 이러한 특징 및 다른 특징은 이하 발명의 상세한 설명에서 다음의 도면들과 관련되어 더욱 상세하게 기재될 것이다.
본 발명은 첨부 도면의 도에 있어서 한정을 위해서가 아닌 예시를 위해서 설명되며, 첨부 도면에 있어서의 유사한 참조 부호들은 유사한 구성 요소들을 지칭한다.
도 1 은 본 발명을 이용하는 에칭층에 피쳐를 형성하는 프로세스의 일부에 대한 하이 레벨 플로우차트이다.
도 2a 내지 도 2j 는 본 발명의 프로세스에 이용될 수도 있는 웨이퍼의 일부의 개략 단면도들이다.
도 3 은 본 발명의 일 바람직한 실시형태에 이용될 수도 있는 에칭 챔버의 개략도이다.
도 4a 및 도 4b 는 제어기를 구현하는데 적합한 컴퓨터 시스템을 도시한다.
도 5 는 웨이퍼리스 (waferless) 자동 세정 프로세스가 이용된 본 발명의 일 실시형태의 더욱 상세한 플로우차트이다.
도 6a 내지 도 6c 는 도 5 에 나타낸 프로세스 동안의 상부 전극 및 하부 전극의 개략도들이다.
바람직한 실시형태들의 상세한 설명
이하, 본 발명은 첨부 도면들에 도시된 바와 같이 그 몇몇 바람직한 실시형태들을 참조하여 상세하게 설명될 것이다. 다음의 설명에서, 다수의 특정 상세내용이 본 발명의 완전한 이해를 제공하기 위해 설명된다. 그러나, 이러한 특정 상세내용의 일부 또는 전부 없이도 본 발명이 실시될 수도 있음은 당업자에게 자명할 것이다. 다른 예시에서, 본 발명을 불필요하게 모호하게 하지 않게 하기 위하여, 주지된 프로세스 단계들 및/또는 구조들에 대해서는 상세하게 기재되지 않는다.
이해를 돕기 위해, 도 1 은 본 발명을 이용하는 에칭층에 피쳐를 에칭하는 프로세스의 일부에 대한 하이 레벨 플로우차트이다. 탄화수소계 글루층이 증착된다 (단계 104). 탄화수소계 글루층은 화학식 CxHy 를 갖는 불소 비함유 (fluorine free) 층인 것이 바람직하고, 이는 바람직하게 불소 비함유 폴리머 또는 아몰퍼스 카본일 수도 있다. 그후, 적어도 1 회의 사이클을 이용하여 에칭층에 피쳐를 에칭하고, 여기서 각 사이클은 글루층 상에 수소화불화탄소 (CxHyFz) 층을 증착하는 페이즈 (단계 112), 및 에칭층에 피쳐를 에칭하는 페이즈를 포함한다. 글루층은 수소화불화탄소층의 접착성을 증가시킨다.
본 발명의 일 실시형태의 구체예에 있어서, 에칭 챔버 내에 프로세스 웨이퍼가 배치된다. 도 2a 는 본 발명의 프로세스에 이용될 수도 있는 스택 (200) 및 웨이퍼의 일부의 개략 단면도이다. 이 예에서의 스택 (200) 은, 기판 또는 웨이퍼 (208) 위의 콘택트층 (206) 내에 적어도 하나의 도전성 콘택트 (204) 를 포함한다. 도전성 콘택트 (204) 위에 배리어층 (210) 이 배치된다. 이 예에서의 도전성 콘택트 (204) 는 구리이다. 이 예에 있어서, 배리어층은 규소질화물 (SiN) 이다. 배리어층 (210) 위에 에칭층 (216) 이 배치된다. 이 예에 있어서, 에칭층은 규소산화물계 유전체층 또는 로우-k (k < 4.0) 유전체 재료이다. 유전체 에칭층 (216) 위에 포토레지스트 마스크 (220) 가 배치된다. 상술된 층들이 서로의 상단부 (top) 상에 있는 것으로 나타나 있지만 (즉, 포토레지스트 마스크가 유전체 에칭층의 상단부 바로 위에 있지만), 이러한 층들 사이에 하나 이 상의 층들이 배치될 수도 있다 (즉, 포토레지스트 마스크와 유전체 에칭층 사이에 반사방지층이 배치될 수도 있다). 이것은, 본 명세서 및 청구범위에 있어서의 각종 층들이 다른 층들 "위에 (over)" 있다고 기재되는 이유이다. 명료함을 위해 가능한 중간층들이 나타나 있지 않다.
도 2b 에 나타낸 바와 같이, 에칭층 (216) 의 노출면 및 포토레지스트 마스크 (220) 상에 탄화수소계 글루층 (224) 이 배치된다 (단계 104). 도시된 바와 같이, 탄화수소계 글루층 (224) 은 마스크의 상단부 및 마스크 피쳐의 저부와 같은 수평면 상에 더 많이 증착되는 경향이 있고, 마스크 피쳐 측벽과 같은 수직면 상에 더 적게 증착되는 경향이 있다. 글루층은 마스크의 상단부 상의 수평면 상에 1000 Å 미만의 두께를 갖는 탄화수소 폴리머층인 것이 바람직하다. 글루층은 마스크의 상단부 상의 수평면 상에 300 Å 미만의 두께를 갖는 것이 더욱 바람직하다. 글루층은 마스크의 상단부 상의 수평면 상에 200 Å 이하의 두께를 갖는 것이 가장 바람직하다. 측벽 증착에 대해, 글루층이 10 Å 미만의 측벽 두께를 가지는 것이 바람직하다. 글루층의 측벽 두께는 5 Å 미만인 것이 더욱 바람직하다. 따라서, 수평층 두께가 약 200 Å 일 수도 있고 측벽 두께가 약 5 Å 일 수도 있으며, 이는 수평층 두께 대 측벽 두께 비 40 : 1 을 제공한다.
글루층을 제공하는 레시피의 일 예는 압력 120 mTorr 를 제공한다. 27 MHz 에서 400 W 를 갖는 전력이 제공된다. 탄화수소 글루층을 형성하기 위한 글루층 가스는 240 sccm C2H4, 175 sccm N2, 및 210 sccm Ar 으로서 제공된다. TGF (TGF 는 튜닝 가스 피드 (tuning gas feed) 를 의미함) 가 또한 제공된다. 이 프로세스에 있어서, 탄소를 갖는 성분 가스와 수소를 갖는 성분 가스를 제공함으로써 또는 바람직하게는 기체 상태의 탄화수소 분자를 제공함으로써 불소 미함유 탄화수소 가스가 제공된다. 기체 상태의 탄화수소 분자를 이용하는 것은 원하는 플로우 비율 (flow ratio) 을 보장한다. 불소 미함유 탄화수소 가스로부터 플라즈마가 형성된다.
그후, 유전체층에 피쳐가 에칭된다 (단계 108). 이 예에 있어서, 에칭은 4 회의 사이클을 이용하며, 여기서 각 사이클은 수소화불화탄소 증착 페이즈 (단계 112) 및 에칭 페이즈 (단계 116) 를 포함한다. 도 2c 는 수소화불화탄소층 (228) 을 제공하는 제 1 수소화불화탄소 증착 페이즈 (단계 112) 이후의 단면도이다. 수소화불화탄소 증착은, 탄화수소 증착에 비해 측벽과 같은 수직면 상에 더 두꺼운 증착물을 더욱 용이하게 형성할 수 있다. 예컨대, 수소화불화탄소층은 마스크의 상단부 위에 100 Å 보다 큰, 예컨대, 약 200 Å 의 수평면 두께 및 약 30 Å 의 측벽 두께를 가질 수도 있으므로, 수평면 두께 대 측벽 두께의 비율이 약 20 : 3 이 된다. 바람직한 실시형태에서는, 피쳐의 저부에 수소화불화탄소가 거의 증착되지 않지만, 다른 실시형태에서는 피쳐의 저부에 탄화수소가 증착된다. 도 2d 는 에칭 페이즈 이후의 단면도이다 (단계 116). 이 예에서는, 수소화불화탄소 및 측벽 증착물이 에칭되어 제거되었지만, 다른 실시형태에서는 측벽의 일부가 남겨질 수도 있다. 에칭층 (216) 의 일부분이 에칭되도록 하는 방식으로 피쳐의 저부 상의 탄화수소층이 에칭된다.
수소화불화탄소 증착 페이즈에 대한 레시피의 일 예는 압력 140 mTorr 를 제공한다. 27 MHz 에서 800 W 의 전력이 제공된다. 350 sccm CH3F, 175 sccm N2, 및 210 sccm Ar 의 수소화불화탄소 증착 가스가 튜닝 가스 피드와 함께 제공된다. 이 프로세스에 있어서, 수소, 탄소 및 불소를 제공하는 성분 가스를 제공함으로써 또는 바람직하게는 기체 상태의 수소화불화탄소 분자를 제공함으로써 수소화불화탄소 가스가 제공된다. 기체 상태의 수소화불화탄소 분자를 이용하는 것은 원하는 플로우 비율을 보장한다. 수소화불화탄소 가스로부터 플라즈마가 형성된다.
에칭 페이즈에 대한 레시피의 일 예는 압력 40 mTorr 를 제공한다. 27 MHz 에서 1600 W 의 전력이 제공된다. 130 sccm CF4 의 에칭 가스가 제공된다.
도 2e 는 제 2 사이클 동안 제 2 수소화불화탄소층 (230) 이 증착되는 수소화불화탄소 증착 페이즈 (단계 112) 이후의 단면도이다. 도 2f 는 제 2 사이클에 대한 에칭 페이즈 (단계 116) 이후의 단면도이다. 재차, 이 예에서, 수소화불화탄소 증착물이 에칭되어 제거되었다.
도 2g 는 제 3 사이클 동안 제 3 수소화불화탄소층 (232) 이 증착되는 수소화불화탄소 증착 페이즈 (단계 112) 이후의 단면도이다. 도 2h 는 제 3 사이클에 대한 에칭 페이즈 (단계 116) 이후의 단면도이다. 재차, 이 예에서, 수소화불화탄소 증착물이 에칭되어 제거되었다.
도 2i 는 제 4 사이클 동안 제 4 수소화불화탄소층 (234) 이 증착되는 수소화불화탄소 증착 페이즈 (단계 112) 이후의 단면도이다. 도 2j 는 제 4 사이클에 대한 에칭 페이즈 (단계 116) 이후의 단면도이다. 재차, 이 예에서, 수소화불화탄소 증착물이 에칭되어 제거되었다. 에칭층 (216) 을 관통하여 피쳐가 완전히 에칭되었다.
에칭층에 피쳐를 에칭하기 위해 수소화불화탄소 측벽의 증착과 에칭 사이를 교번하는 순환 프로세스의 이용은 에칭의 개선된 제어를 허용한다. 이 예에서의 수소화불화탄소 측벽의 부가는 수직 측벽의 형성을 허용하고, 수직 측벽은 피쳐의 벽의 상단부에서부터 저부까지 피쳐의 저부과 88°내지 92°사이의 각도를 이룬다. 이 예에 있어서, 수소화불화탄소 측벽의 형성은 에칭된 피쳐의 CD 의 증대를 방지하기 위해 이용된다. 다른 실시형태에 있어서, 수소화불화탄소 측벽은 피쳐의 CD 의 증대를 감소시키거나 또는 피쳐를 축소시키기 위해 이용될 수도 있다. 수소화불화탄소 측벽을 증착함으로써 추가적인 이점이 제공될 수도 있다.
수소화불화탄소 측벽의 이용은 탄화수소 측벽의 이용에 비해 더욱 유리한데, 이는 수소화불화탄소 증착물이 탄화수소 증착물보다 더 적은 응력 (stress) 을 야기하고 따라서 더 적은 위글링 (wiggling) 을 초래하기 때문이며 그리고 수소화불화탄소 증착물이, 탄화수소 증착물보다, 측벽 상에 더 두꺼운 증착물을 제공하고 피쳐의 저부와 같은 수평면 상에 더 얇은 증착물을 제공하기 위해 이용될 수도 있기 때문이다. 피쳐 저부 상에 더 적은 증착물을 제공하기 위해 수소화불화탄소 증착물을 이용함으로써, 피쳐 저부 상에 증착된 층을 관통하여 에칭하는데 더 적은 에칭이 요구된다.
수소화불화탄소 증착물이 접착성 문제를 가지는 것을 발견하였다. 예컨대, 포토레지스트 마스크 또는 에칭층의 측벽 상의 수소화불화탄소 증착물에는, 열 응력 및 수분의 존재에 의해 야기될 수도 있는 블리스터링 (blistering) 이 생길 수도 있다. 상부 전극과 같은 에칭 챔버 표면 상의 수소화불화탄소 증착물은 파티클 오염물질을 야기할 수도 있고 플레이크화 (flake) 할 수도 있다.
수소화불화탄소 증착 이전에 탄화수소 글루층을 제공하는 것이 수소화불화탄소 접착성을 개선하고 그리하여 접착성 문제를 저감 또는 제거한다는 것을 예기치 못하게 발견하였다.
이 실시형태에 있어서, 에칭 챔버 내에 프로세스 웨이퍼가 배치될 때마다 글루층이 증착된다. 글루층은 웨이퍼 이외에 챔버 표면 상에 글루층을 형성하기 위해 이용될 수도 있다.
다른 실시형태에 있어서, 글루층이 프로세스 웨이퍼 상에 증착되지 않고, 챔버 표면 상에 또는 웨이퍼 세정 프로세스 이후의 블랭크 웨이퍼 (blank wafer) 및 챔버 표면 상에만 증착된다.
이해를 돕기 위해, 도 5 는 글루층이 에칭 챔버 전극 상에 적용된 특정 실시형태의 더욱 상세한 플로우차트이다. 탄화수소계 글루층이 에칭 챔버 내의 전극 상에 형성된다 (단계 520).
도 3 은 사용될 수도 있는 에칭 챔버 (300) 의 개략도이다. 에칭 챔버 (300) 는 한정 링 (302; confinement ring) 들, 규소 함유 상부 전극 (304), 하부 전극 (308), 가스 소스 (310), 및 배출 펌프 (320) 를 포함한다. 규소 함유 상 부 전극의 일 예는 규소 또는 탄화규소 상부 전극이다. 가스 소스 (310) 는 유전체 에칭 가스 소스 (312), 글루층 가스 소스 (316), 산소 가스 소스 (318) 및 질소 가스 소스 (319) 를 포함한다. 다중 프로세스들에 대해 다양한 가스가 이용될 수도 있다. 이러한 경우에, 상이한 가스 소스들이 조합될 수도 있다. 예컨대, 유전체 에칭 동안 질소가 이용될 수도 있다. 이러한 경우에, 단일 질소 소스만이 제공될 수도 있다. 본 발명의 작용을 개략적으로 설명하기 위해 다양한 가스 소스들이 나타나 있다. 가스 소스 (310) 는 추가적인 가스 소스들을 포함할 수도 있다. 에칭 챔버 (300) 내부에서, 기판 (380) 은 하부 전극 (308) 상에 위치된다. 하부 전극 (308) 은 기판 (380) 을 지지하기 위한 적합한 기판 척킹 메커니즘 (substrate chucking mechanism) (예컨대, 정전기, 기계적 클램핑 등) 을 통합한다. 반응기 상단부 (328; reactor top) 는 하부 전극 (308) 정반대편에 배치되는 상부 전극 (304) 을 통합한다. 상부 전극 (304), 하부 전극 (308) 및 한정 링들 (302) 은 한정된 플라즈마 체적 (340) 을 정의한다. 가스가 가스 소스 (310) 에 의해 한정된 플라즈마 체적에 공급되고, 배출 펌프 (320) 에 의해 한정된 플라즈마 체적으로부터 한정 링들 (302) 및 배출 포트를 통해 배출된다. RF 소스 (348) 가 하부 전극 (308) 에 전기적으로 접속된다. 상부 전극 (304) 은 접지된다. 챔버 벽들 (352) 이 한정 링들 (302), 상부 전극 (304) 및 하부 전극 (308) 을 둘러싼다. RF 소스 (348) 는 27 MHz 전원 및 2 MHz 전원을 포함할 수도 있다. 미국 캘리포니아주 프레몬트 소재의 LAM Research CorporationTM 에 의해 제조된 Exelan DFCTM 유전체 에처 (dielectric etcher) 가 본 발명의 이 예에 사용되었다. 다른 실시형태들에서는, 상부 전극 (304) 에 접속된 RF 소스를 갖는 것과 같이, RF 전력을 전극에 접속시키는 상이한 조합들이 가능하다.
더욱 일반적으로는, 유전체 에칭 챔버는 웨이퍼로부터 이격된 커패시터 플레이트 전극을 요구하는, 용량 결합을 이용하여, 커패시터 플레이트 전극과 웨이퍼 사이에서 플라즈마가 형성되도록 한다.
도 4a 및 도 4b 는 본 발명의 실시형태에 사용되는 제어기 (335) 를 구현하기에 적합한 컴퓨터 시스템 (400) 을 도시한다. 도 4a 는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄회로 기판 및 소형 휴대 장치에서부터 대형 슈퍼 컴퓨터까지 이르는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (400) 은 모니터 (402), 디스플레이 (404), 하우징 (406), 디스크 드라이브 (408), 키보드 (410) 및 마우스 (412) 를 포함한다. 디스크 (414) 는 컴퓨터 시스템 (400) 으로 및 컴퓨터 시스템 (400) 으로부터 데이터를 전달하는데 사용되는 컴퓨터 판독가능 매체이다.
도 4b 는 컴퓨터 시스템 (400) 에 대한 블록도의 일 예이다. 다양한 서브시스템이 시스템 버스 (420) 에 부착된다. 프로세서 (들) (422) (또한 중앙 처리장치 또는 CPU 라고 칭함) 는, 메모리 (424) 를 포함하는 저장 디바이스에 커플링된다. 메모리 (424) 는 RAM (random access memory) 및 ROM (read-only memory) 을 포함한다. 당업계에 주지된 바와 같이, ROM 은 데이터 및 명령들을 일방향으로 CPU 에 전달하도록 작용하고, RAM 은 양방향 방식으로 데이터 및 명령들을 전달하기 위해 일반적으로 사용된다. 이러한 유형의 메모리들 모두는 이하 설명되는 임의의 적합한 컴퓨터 판독가능 매체를 포함할 수도 있다. 또한, 고정 디스크 (426) 는 CPU (422) 에 양방향으로 커플링되는데; 그것은 추가적인 데이터 저장 용량을 제공하며, 또한 이하 설명되는 임의의 컴퓨터 판독가능 매체를 포함할 수도 있다. 고정 디스크 (426) 는 프로그램, 데이터 등을 저장하는데 사용될 수도 있으며, 일반적으로 주 저장소보다 더 느린 (하드 디스크와 같은) 보조 저장 매체이다. 적절한 경우에, 고정 디스크 (426) 내에 보존되는 정보가 메모리 (424) 에서의 가상 메모리로서 표준 방식으로 통합될 수도 있다는 것이 이해될 것이다. 탈착가능 디스크 (414) 는 이하 설명되는 임의의 컴퓨터 판독가능 매체의 형태를 취할 수도 있다.
CPU (422) 는 또한 디스플레이 (404), 키보드 (410), 마우스 (412) 및 스피커 (430) 와 같은 각종 입/출력 디바이스에 커플링된다. 일반적으로, 입/출력 디바이스는, 영상 디스플레이, 트랙 볼, 마우스, 키보드, 마이크로폰, 터치-감지 디스플레이, 트랜스듀서 카드 판독기, 자기 또는 종이 테이프 판독기, 태블릿 (tablet), 스타일러스 (stylus), 음성 또는 핸드라이팅 인식기, 바이오메트리 판독기, 또는 다른 컴퓨터 중 임의의 것일 수도 있다. CPU (422) 는 선택적으로 네트워크 인터페이스 (440) 를 사용하여 다른 컴퓨터 또는 전기통신 네트워크에 커플링될 수도 있다. 이러한 네트워크 인터페이스에 의해, CPU 는 네트워크로부터 정보를 수신했을 수도 있고, 또는 상술한 방법 단계들을 수행하는 과정에서 네트워크에 정보를 출력했을 수도 있다고 생각된다. 또한, 본 발명의 방법 실시형태는 오직 CPU (422) 상에서만 실행할 수도 있고, 또는 처리의 일부를 공유하는 원격 CPU 와 결합하여 인터넷과 같은 네트워크를 통해 실행할 수도 있다.
부가적으로, 본 발명의 실시형태는 또한 다양한 컴퓨터 구현 동작들을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체를 구비한 컴퓨터 저장 제품에 관한 것이다. 그 매체 및 컴퓨터 코드는 본 발명의 목적을 위해 특별히 설계되고 구성된 것들일 수도 있으며, 또는 컴퓨터 소프트웨어 업계의 당업자에게 이용가능하고 주지된 종류의 것일 수도 있다. 컴퓨터 판독가능 매체의 예는, 하드 디스크, 플로피 디스크 및 자기 테이프와 같은 자기 매체; CD-ROM 및 홀로그래픽 디바이스와 같은 광학 매체; 플옵티컬 디스크와 같은 자기광학 매체; 및 주문형 집적회로 (ASIC), 프로그램가능 로직 디바이스 (PLD) 및 ROM 및 RAM 디바이스와 같이 프로그램 코드를 저장하고 실행하도록 특별히 구성된 하드웨어 디바이스를 포함하지만, 이에 한정되지 않는다. 컴퓨터 코드의 예는 컴파일러에 의해 생성되는 것과 같은 머신 코드 및 인터프리터를 사용하여 컴퓨터에 의해 실행되는 보다 하이 레벨의 코드를 포함하는 파일들을 포함한다. 컴퓨터 판독가능 매체는 또한 반송파로 구현되는 컴퓨터 데이터 신호에 의해 송신되고 프로세서에 의해 실행가능한 명령들의 시퀀스를 나타내는 컴퓨터 코드일 수도 있다.
글루층이 1000 Å 미만의 두께를 갖는 탄화수소 폴리머층인 것이 바람직하다. 글루층이 300 Å 미만의 두께를 갖는 것이 더욱 바람직하다. 글루층이 200 Å 이하의 두께를 갖는 것이 가장 바람직하다. 도 6a 는 글루층 (604) 을 형성한 후의 상부 전극 (304) 및 하부 전극 (308) 의 개략도이다. 글루층은 하부 전극 (308) 상에 블랭크 웨이퍼 (380) 를 가지고 형성될 수도 있다. 글루층의 형성 동안 블랭크 웨이퍼 (380) 가 사용되는 경우, 블랭크 웨이퍼 (380) 는 나중에 제거된다.
그후, 에칭 챔버 내에 프로세스 웨이퍼가 배치된다 (단계 524). 프로세스 웨이퍼는 마스크 아래에 배치된 유전체층을 가진다. 유전체층이 에칭된다 (단계 528). 에칭 프로세스는, 도 1 에 상세하게 나타낸 바와 같이, 각 사이클이 수소화불화탄소 증착 페이즈 및 에칭 페이즈를 포함하는 순환 프로세스이다. 수소화불화탄소 증착 페이즈는 상부 전극 (304) 상에 수소화불화탄소층을 증착한다. 유전체층 에칭 페이즈는 유전체층에 피쳐를 에칭한다.
일 실시형태에 있어서, 유전체층 에칭은 단일 사이클이다. 이러한 프로세스의 일 예는 에칭된 피쳐의 CD 를 축소시키기 위해 두꺼운 수소화불화탄소층을 제공할 수도 있고, 그후 에칭을 제공하여 감소된 CD 를 갖는 피쳐를 에칭한다. 이러한 예에 있어서, 수직 측벽을 형성하기 위한 다중페이즈 증착 프로세스의 복수의 사이클들에 의해 또는 단일 단계로 단일 수소화불화탄소층 증착이 제공될 수도 있다. 다른 실시형태에 있어서, 유전체층 에칭은 복수의 사이클들을 포함하고, 여기서 각 사이클은 수소화불화탄소 증착 페이즈 및 유전체층 에칭 페이즈를 포함한다.
유전체층 에칭 단계가 수소화불화탄소 증착 페이즈를 가지기 때문에, 유전체 층 에칭 단계가 상부 전극 (304) 상에 수소화불화탄소 증착물의 네트 (net) 형성을 야기하는 것이 바람직하다.
도 6b 는 에칭층에 피쳐가 에칭된 후의 프로세스 웨이퍼 (610) 및 하부 전극 (308) 및 상부 전극 (304) 의 개략도이다. 도시된 바와 같이, 수소화불화탄소층 (608) 이 상부 전극 (304) 상의 글루층 (604) 상에 증착되어 있다. 이 예에서의 에칭 프로세스는, 상부 전극 (304) 상에 수소화불화탄소 (608) 의 네트 증착을 제공하는 수소화불화탄소 증착 페이즈 및 에칭 페이즈를 가진다.
그후 챔버 (300) 로부터 웨이퍼 (610) 가 제거된다 (단계 532). 다른 웨이퍼를 처리할지 또는 챔버를 세정할지에 대한 판단이 행해진다 (단계 536). 다른 웨이퍼가 처리되어야 한다면, 챔버 내에 새로운 웨이퍼가 배치된다 (단계 524). 에칭층에 피쳐가 에칭된다 (단계 528). 그후, 그 웨이퍼가 제거된다 (단계 532). 이 사이클은, 더 이상 웨이퍼가 없거나 또는 챔버가 세정될 필요가 있다고 판단 (단계 536) 될 때까지 계속된다. 일 실시형태에 있어서, 하나의 웨이퍼가 처리된 후에 세정이 수행될 수도 있다. 다른 실시형태에 있어서, 적어도 5 개보다 많은 웨이퍼가 처리된 후에 세정이 행해질 수도 있다.
세정 사이에 특정 수의 웨이퍼가 처리되고 나서 다른 웨이퍼가 제공되지 않는다면, 챔버를 세정할지 또는 처리를 종료할지에 대한 판단이 행해질 수도 있다 (단계 540). 챔버가 세정되어야 한다고 판단되면, 챔버 세정이 수행된다 (단계 544). 챔버 세정은, 상부 전극 (304) 상에 증착된 글루층 (604) 및 수소화불화탄소층 (608) 을 제거하고 챔버 (300) 의 다른 부분 상에 증착된 수소화불화탄소를 제거하기 위한 것이다. 일 실시형태에 있어서, 세정 이전에 챔버 내에 블랭크 웨이퍼를 배치함으로써 챔버 세정이 수행된다. 다른 실시형태에 있어서, 웨이퍼 없이 (웨이퍼리스) 챔버 세정이 수행된다.
커버 웨이퍼 세정의 일 예에 있어서, 산소를 포함하는 세정 가스가 에칭 챔버 (300) 에 제공된다. 이 예에 있어서, 200 sccm O2 가 제공된다. 이 예에서의 에칭 챔버 내의 압력은 400 mTorr 에 유지된다. 세정 가스 혼합물로부터 세정 플라즈마가 형성된다. 이 예에 있어서, RF 소스 (348) 에 의해 45 초간 27 MHz 에서 100 W 및 2 MHz 에서 100 W 가 제공된다. 결과로서 생기는 플라즈마가 챔버를 세정한다.
도 6c 는 세정 이후에 블랭크 웨이퍼 (612) 및 하부 전극 (308) 및 상부 전극 (304) 을 나타낸다. 이런 세정은 수소화불화탄소층 및 글루층을 제거하였다. 세정 프로세스가 산소 함유 세정 가스를 이용하는 것이 바람직하다.
챔버 세정 (단계 544) 이후에, 전극 상에 글루층이 형성된다 (단계 520). 이 예에 있어서, 조면화 (roughening) 단계가 추가된다. 조면화 단계는 전극의 표면을 조면화한다. 조면화 단계에 대한 레시피의 일 예는 19 sccm O2, 18 sccm C4F8, 및 300 sccm Ar 의 조면화 가스를 제공하며, 에칭 챔버에 제공된다. 챔버 내의 압력은 70 mTorr 에 유지된다. 조면화 가스는 플라즈마로 형성된다. 이 예에 있어서, RF 소스 (348) 에 의해 15 초간 27 MHz 에서 200 W 및 2 MHz 에서 3000 W 가 제공된다. 이 예에 있어서, 세정 단계 및 조면화 단계에 후속하여 탄화수소 글루층 증착 단계가 행해진다. 샘플 레시피는 압력 120 mTorr 에서 200 sccm C2H4 의 탄화수소 글루층 가스를 제공한다. 27 MHz 에서 400 W 를 5 초간 제공함으로써 글루층 가스가 플라즈마로 형성된다. 글루층이 형성된 후에, 커버 또는 블랭크 웨이퍼가 제거된다.
챔버 내에 다른 웨이퍼가 배치된다 (단계 524). 에칭층에 피쳐가 에칭된다 (단계 528). 에칭 챔버로부터 그 웨이퍼가 제거된다 (단계 532).
글루층은 에칭 및 증착 프로세스로부터 증착된 수소화불화탄소를 상부 전극에 결속시키는 것을 돕는다는 것을 발견하였다. 글루층이 없을 때, 이러한 수소화불화탄소가 전극에 강하게 결속되지 않을 수도 있고 전극으로부터 떨어지고 웨이퍼를 오염시켜 결함있는 칩의 개수를 증가시킬 수도 있다는 것을 발견하였다. 이론에 의해 얽매이지 않고, 산소에 의한 세정 프로세스는 규소 함유 전극의 표면 위에 규소산화물의 박층이 형성되게 한다고 여겨진다. 규소산화물에 대한 에칭 동안 형성되는 수소화불화탄소의 접착성은 낮으며, 이는 파티클을 유발한다. 글루층은 규소산화물층에 수소화불화탄소를 더욱 강하게 결속시킬 수 있다.
탄화수소 글루층이 폴리머 재료인 것이 바람직하다. 글루층 가스가 Ar 과 같은 불활성 가스를 더 포함하는 것이 더 바람직하다. 글루층 가스가 산소 함유 가스를 더 포함하는 것이 더욱 바람직하다.
또한, 이 예에 있어서, 글루층의 형성 및 세정 동안 블랭크 웨이퍼가 제공되는 경우, 규소 함유 전극의 노출면을 조면화하기 위해 보다 높은 전력이 사용될 수 도 있다. 이러한 높은 전력은 일반적으로 하부 전극을 손상시킬 수도 있었다. 그러나, 블랭크 웨이퍼가 하부 전극을 보호한다. 글루층의 형성 동안 노출된 전극 표면의 조면화는, 증착된 수소화불화탄소와 전극 간의 접착성을 더욱 증가시킨다. 글루층 형성 동안 상부 전극의 노출면을 조면화하기 위해서는, 300 mm 웨이퍼에 대해 적어도 2 MHz 의 주파수에서 1500 W 보다 더 크고 적어도 27 MHz 의 주파수에서 1000 W 보다 더 큰 것이 바람직하다.
웨이퍼리스 세정의 일 예에 있어서, 산소를 포함하는 웨이퍼리스 자동 세정 가스가 에칭 챔버 (300) 에 제공된다. 이 예에서, 2,000 sccm 의 O2 가 제공된다. 이 예에서의 에칭 챔버 내의 압력은 600 mTorr 로 설정된다. 웨이퍼리스 자동 세정 가스 혼합물로부터 웨이퍼리스 자동 세정 플라즈마가 형성된다. 이 예에서, RF 소스 (348) 에 의해 60 초간 60 MHz 에서 500 W, 27 MHz 에서 500 W, 및 2 MHz 에서 200 W 가 제공된다. 결과로서 생기는 플라즈마가 챔버를 세정한다.
이 웨이퍼리스 자동 세정에 대해, 글루 형성 프로세스는 450 sccm C2H4 를 제공한다. 챔버 압력은 100 mTorr 로 설정된다. 이 예에서, RF 소스 (348) 에 의해 5 초간 27 MHz 에서 200 W 가 제공된다.
본 발명의 테스트에서, O2 로부터 플라즈마를 형성하는 800 W 미만의 전체 전력을 갖는 표준 웨이퍼리스 자동 세정 프로세스 이후에, 파티클 레벨은 웨이퍼에서 측정된 0.12 미크론보다 더 큰 1805 파티클이라는 것이 발견되었다. N2 및 O2 가스 혼합물로부터 플라즈마를 형성하는, 800 W 초과 2,000 W 미만인 전체 전력을 갖는 웨이퍼리스 자동 세정 프로세스에 대해, 파티클 레벨은 127 이라고 발견되었다. 800 W 초과 2,000 W 미만인 전체 전력을 갖는 웨이퍼리스 자동 세정 프로세스를 수행하고 후속하여 5 초간 C2H4 를 사용하여 글루층 형성을 행할 경우, 파티클 레벨은 13 으로 측정되었다. 따라서, 글루층은 파티클 오염물질을 현저하게 감소시켰다.
상기 실시형태에 있어서, 세정되고 글루층을 가지는 전극은 상부 전극이다. 이는 웨이퍼가 하부 전극을 커버하기 때문이고, 그리하여 플라즈마가 웨이퍼와 상부 전극 사이에서 발생되지만, 웨이퍼와 하부 전극 사이에서는 발생되지 않도록 한다. 이런 이유 때문에, 하부 전극의 표면은 노출면이 아니며, 상부 전극의 표면이 노출면이 된다. 하부 전극이 플라즈마에 노출되도록 웨이퍼가 상부 전극 위에 장착되는 다른 에칭 챔버에 있어서, 세정은 하부 전극을 세정하고 하부 전극 상에 글루층이 형성된다. 웨이퍼가 챔버의 상부에 장착되거나, 챔버의 하부에 장착되거나 또는 챔버의 측부에 장착되거나, 에칭층 위에 수소화불화탄소를 증착하는 것은 챔버의 하부에 웨이퍼가 존재하였는 경우에서와 동일한 것을 의미할 것이다. 유사하게, 하부 전극이 또는 상부 전극이 또는 측부 전극이 전극의 노출면 상에 배치된 글루층을 가지는 것은, 전극이 상부에 있거나, 하부에 있거나 또는 측부에 있거나 동일한 의미를 가진다.
다른 예에서, 챔버의 다른 부분이 탄화수소계 글루층으로 커버되어, 챔버의 그 부분이 수소화불화탄소 증착물로 증착될 경우 파티클을 감소시킬 수도 있다.
본 발명은 몇몇 바람직한 실시형태들에 의해 설명되었지만, 본 발명의 범위 내에 있는 대체물, 변경물, 변형물 및 다양한 치환 등가물이 존재한다. 또한, 본 발명의 방법 및 장치를 구현하는 많은 다른 방식이 존재할 수 있음에 주목하여야 한다. 이에 따라, 다음의 첨부된 청구범위는 본 발명의 진정한 사상 및 범위 내에 있는 그러한 대체물, 변경물, 변형물 및 다양한 치환 등가물 모두를 포함하는 것으로서 해석되도록 의도된다.

Claims (18)

  1. 프로세스 웨이퍼 상의 마스크 아래에 배치된 에칭층에 피쳐를 에칭하는 방법으로서,
    탄화수소계 글루층 (hydrocarbon based glue layer) 을 증착하는 단계; 및
    적어도 1 회의 사이클로 상기 프로세스 웨이퍼 상의 상기 에칭층을 에칭하는 단계를 포함하고:
    상기 사이클 또는 사이클들 각각은,
    상기 마스크 위에 및 상기 탄화수소계 글루층 상에 수소화불화탄소층 (hydrofluorocarbon layer) 을 증착하는 단계, 및
    상기 에칭층을 에칭하는 단계를 포함하고,
    상기 탄화수소계 글루층은 상기 수소화불화탄소층의 접착성을 증가시키는, 피쳐 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭층을 에칭하는 단계는, 적어도 3 회의 사이클을 포함하는, 피쳐 에칭 방법.
  3. 제 2 항에 있어서,
    상기 탄화수소계 글루층은 1000 Å 미만의 두께를 가지는, 피쳐 에칭 방법.
  4. 제 3 항에 있어서,
    에칭 챔버를 세정하는 단계; 및
    상기 에칭 챔버 내에 상기 프로세스 웨이퍼를 배치하는 단계를 더 포함하고,
    상기 에칭 챔버를 세정하는 단계는, 상기 에칭 챔버 내의 전극을 세정하고,
    상기 탄화수소계 글루층을 증착하는 단계는, 상기 에칭 챔버를 세정한 후에 상기 전극 상에 상기 탄화수소계 글루층을 증착하는, 피쳐 에칭 방법.
  5. 제 4 항에 있어서,
    상기 전극은 규소 함유 전극인, 피쳐 에칭 방법.
  6. 제 5 항에 있어서,
    상기 에칭층은 유전체 재료인, 피쳐 에칭 방법.
  7. 제 6 항에 있어서,
    상기 탄화수소계 글루층을 형성하는 것은 상기 전극의 표면을 조면화 (roughening) 하는, 피쳐 에칭 방법.
  8. 제 7 항에 있어서,
    상기 에칭 챔버에 블랭크 웨이퍼 (blank wafer) 를 제공하는 단계를 더 포함하고,
    상기 에칭 챔버의 세정 및 상기 탄화수소계 글루층의 증착 동안, 상기 블랭크 웨이퍼는 상기 에칭 챔버 내에 존재하는, 피쳐 에칭 방법.
  9. 제 6 항에 있어서,
    상기 탄화수소계 글루층을 증착하는 단계는, 적어도 2 MHz 의 적어도 1500 W 및 적어도 27 MHz 의 적어도 1000 W 의 전력을 제공하여 상기 전극의 표면을 조면화하는, 피쳐 에칭 방법.
  10. 제 9 항에 있어서,
    상기 에칭 챔버를 세정하는 단계는, 상기 전극 위에 규소산화물층을 형성하는 산소 세정인, 피쳐 에칭 방법.
  11. 제 1 항에 있어서,
    상기 탄화수소계 글루층을 증착하는 단계는,
    불소 비함유 (fluorine free) 탄화수소 가스를 제공하는 단계, 및
    상기 불소 비함유 탄화수소 가스로부터 플라즈마를 형성하는 단계를 포함하는, 피쳐 에칭 방법.
  12. 제 1 항에 있어서,
    상기 마스크는 마스크 피쳐를 가지며,
    상기 탄화수소계 글루층은 상기 마스크 위에 형성되고 상기 마스크 피쳐 상에 측벽을 형성하고,
    상기 측벽은 10 Å 미만의 글루층 두께를 가지는, 피쳐 에칭 방법.
  13. 제 12 항에 있어서,
    상기 수소화불화탄소층을 증착하는 단계는, 20 Å 보다 큰 두께를 가지고 상기 마스크 피쳐 상의 상기 탄화수소계 글루층 위에 측벽을 형성하는, 피쳐 에칭 방법.
  14. 제 1 항에 있어서,
    상기 수소화불화탄소층을 증착하는 단계는,
    수소화불화탄소 가스를 제공하는 단계, 및
    상기 수소화불화탄소 가스로부터 플라즈마를 형성하는 단계를 포함하는, 피쳐 에칭 방법.
  15. 삭제
  16. 마스크 아래의 에칭층에 피쳐를 에칭하는 장치로서,
    플라즈마 처리 챔버 인클로저를 형성하는 챔버 벽, 상기 플라즈마 처리 챔버 인클로저 내부에서 기판을 지지하는 기판 지지체, 상기 플라즈마 처리 챔버 인클로저 내의 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 상기 플라즈마 처리 챔버 인클로저에 전력을 제공하기 위한 적어도 하나의 전극, 상기 플라즈마 처리 챔버 인클로저에 가스를 제공하기 위한 가스 유입구, 및 상기 플라즈마 처리 챔버 인클로저로부터 가스를 배출하기 위한 가스 배출구를 포함하는 플라즈마 처리 챔버;
    상기 가스 유입구와 유체 연결되고, 글루층 가스 소스, 수소화불화탄소 증착 페이즈 가스 소스 (hydrofluorocarbon deposition phase gas source) 및 에칭 페이즈 가스 소스를 포함하는 가스 소스; 및
    상기 가스 소스 및 상기 적어도 하나의 전극에 제어가능하게 연결되고, 적어도 하나의 프로세서 및 컴퓨터 판독가능 매체를 포함하는 제어기를 구비하며:
    상기 컴퓨터 판독가능 매체는,
    탄화수소계 글루층 (hydrocarbon based glue layer) 을 증착하기 위한 컴퓨터 판독가능 코드, 및
    상기 에칭층에 피쳐를 에칭하기 위한 컴퓨터 판독가능 코드를 포함하고,
    상기 피쳐를 에칭하기 위한 컴퓨터 판독가능 코드는,
    수소화불화탄소 증착물을 증착하기 위한 컴퓨터 판독가능 코드, 및
    상기 에칭층을 에칭하기 위한 컴퓨터 판독가능 코드를 포함하는, 피쳐 에칭 장치.
  17. 제 16 항에 있어서,
    상기 탄화수소계 글루층을 증착하기 위한 컴퓨터 판독가능 코드는,
    불소 비함유 탄화수소 가스를 제공하기 위한 컴퓨터 판독가능 코드, 및
    상기 불소 비함유 탄화수소 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드를 포함하는, 피쳐 에칭 장치.
  18. 제 16 항 또는 제 17 항에 있어서,
    상기 수소화불화탄소 증착물을 증착하기 위한 컴퓨터 판독가능 코드는,
    수소화불화탄소 가스를 제공하기 위한 컴퓨터 판독가능 코드, 및
    상기 수소화불화탄소 가스로부터 플라즈마를 형성하기 위한 컴퓨터 판독가능 코드를 포함하는, 피쳐 에칭 장치.
KR1020097014353A 2006-12-14 2007-12-04 수소화불화탄소 에칭용 글루층 KR101503084B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/610,953 2006-12-14
US11/610,953 US7902073B2 (en) 2006-12-14 2006-12-14 Glue layer for hydrofluorocarbon etch
PCT/US2007/086419 WO2008127452A2 (en) 2006-12-14 2007-12-04 Glue layer for hydrofluorocarbon etch

Publications (2)

Publication Number Publication Date
KR20090094366A KR20090094366A (ko) 2009-09-04
KR101503084B1 true KR101503084B1 (ko) 2015-03-16

Family

ID=39527862

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097014353A KR101503084B1 (ko) 2006-12-14 2007-12-04 수소화불화탄소 에칭용 글루층

Country Status (5)

Country Link
US (1) US7902073B2 (ko)
KR (1) KR101503084B1 (ko)
CN (1) CN101558479A (ko)
TW (1) TWI501315B (ko)
WO (1) WO2008127452A2 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
CN113488385B (zh) * 2021-05-21 2022-10-28 刘军 一种导电膜气离式刻蚀工艺

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050016080A (ko) * 2003-08-01 2005-02-21 어플라이드 머티어리얼스, 인코포레이티드 탄소-도우핑된 저유전체들의 선택적 식각
KR100529646B1 (ko) * 2001-12-21 2005-11-17 동부아남반도체 주식회사 반도체 소자 제조 방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US6630421B1 (en) * 1999-04-28 2003-10-07 Showa Denko Kabushiki Kaisha Reactive agent and process for decomposing fluorine compounds and use thereof
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
US6383931B1 (en) * 2000-02-11 2002-05-07 Lam Research Corporation Convertible hot edge ring to improve low-K dielectric etch
US6451705B1 (en) * 2000-08-31 2002-09-17 Micron Technology, Inc. Self-aligned PECVD etch mask
KR100382724B1 (ko) * 2000-11-21 2003-05-09 삼성전자주식회사 여러 종류의 콘택 스터드들을 포함하는 반도체 장치 제조방법
WO2002090615A1 (en) * 2001-05-04 2002-11-14 Lam Research Corporation Duo-step plasma cleaning of chamber residues
US6764385B2 (en) * 2002-07-29 2004-07-20 Nanoclean Technologies, Inc. Methods for resist stripping and cleaning surfaces substantially free of contaminants
US6974768B1 (en) * 2003-01-15 2005-12-13 Novellus Systems, Inc. Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7291286B2 (en) * 2004-12-23 2007-11-06 Lam Research Corporation Methods for removing black silicon and black silicon carbide from surfaces of silicon and silicon carbide electrodes for plasma processing apparatuses
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100529646B1 (ko) * 2001-12-21 2005-11-17 동부아남반도체 주식회사 반도체 소자 제조 방법
KR20050016080A (ko) * 2003-08-01 2005-02-21 어플라이드 머티어리얼스, 인코포레이티드 탄소-도우핑된 저유전체들의 선택적 식각

Also Published As

Publication number Publication date
TW200849388A (en) 2008-12-16
TWI501315B (zh) 2015-09-21
US20080146032A1 (en) 2008-06-19
CN101558479A (zh) 2009-10-14
KR20090094366A (ko) 2009-09-04
WO2008127452A3 (en) 2008-12-24
WO2008127452A2 (en) 2008-10-23
US7902073B2 (en) 2011-03-08

Similar Documents

Publication Publication Date Title
KR101426105B1 (ko) 베벨 식각 처리 동안 로우-k 손상 방지
KR101094681B1 (ko) 레지스트 박리 동안 다공성 로우-k 재료의 손상을방지하는 방법
KR101442269B1 (ko) 무한 선택적 포토레지스트 마스크 식각
KR101573949B1 (ko) 산화물 스페이서를 이용한 피치 감소
US7772122B2 (en) Sidewall forming processes
KR101494923B1 (ko) 고애스펙트비 구조의 식각시 마이크로로딩의 감소 방법
US8912633B2 (en) In-situ photoresist strip during plasma etching of active hard mask
KR101233430B1 (ko) 커패시턴스 감소를 위한 자기 정렬된 갭이 있는 디바이스
KR101380544B1 (ko) 핀 구조물 형성
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
KR101528947B1 (ko) 유전체 에칭에서의 프로파일 제어
JP2013110437A (ja) ラインエッジ粗さを低減させた特徴のエッチング
KR20080059626A (ko) 수직 프로파일 확립
US20070128849A1 (en) Waferless automatic cleaning after barrier removal
WO2011050062A2 (en) Method for repairing low-k dielectric damage
US20070181530A1 (en) Reducing line edge roughness
KR101503084B1 (ko) 수소화불화탄소 에칭용 글루층
US20090291562A1 (en) Helium descumming
KR20110103883A (ko) 산화물에 대해 고도로 조정 가능한 선택도를 갖는 질화물 플라즈마 에칭
TWI489549B (zh) 可減少損壞之低介電常數介電層蝕刻方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180228

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190227

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20200227

Year of fee payment: 6