KR101094681B1 - 레지스트 박리 동안 다공성 로우-k 재료의 손상을방지하는 방법 - Google Patents

레지스트 박리 동안 다공성 로우-k 재료의 손상을방지하는 방법 Download PDF

Info

Publication number
KR101094681B1
KR101094681B1 KR1020067012218A KR20067012218A KR101094681B1 KR 101094681 B1 KR101094681 B1 KR 101094681B1 KR 1020067012218 A KR1020067012218 A KR 1020067012218A KR 20067012218 A KR20067012218 A KR 20067012218A KR 101094681 B1 KR101094681 B1 KR 101094681B1
Authority
KR
South Korea
Prior art keywords
layer
feature
porous low
dielectric layer
protective layer
Prior art date
Application number
KR1020067012218A
Other languages
English (en)
Other versions
KR20060114347A (ko
Inventor
라오 아나프라가다
겐지 다케시타
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20060114347A publication Critical patent/KR20060114347A/ko
Application granted granted Critical
Publication of KR101094681B1 publication Critical patent/KR101094681B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법이 제공된다. 다공성 로우-K 유전체층은 기판상에 배치된다. 패터닝된 포토레지스트 마스크는 다공성 로우-K 유전체층 상에 배치된다. 피쳐는 다공성 로우-K 유전체층으로 에칭된다. 보호층은 피쳐를 에칭한 후에 피쳐 상에 성막된다. 패터닝된 포토레지스트 마스크는 박리되어, 보호층으로부터 형성된 보호벽이 피쳐내에 잔류하는 곳에서 보호층의 일부가 제거된다.
다공성 로우-K 유전체층, 패터닝된 포토레지스트 마스크, 피쳐

Description

레지스트 박리 동안 다공성 로우-K 재료의 손상을 방지하는 방법{METHOD OF PREVENTING DAMAGE TO POROUS LOW-K MATERIALS DURING RESIST STRIPPING}
발명의 배경
본 발명은 반도체-기반 장치의 제조에 관한 것이다. 더욱 상세하게는, 본 발명은 다공성 로우-K 유전체층 (porous low-K dielectric layer) 을 가지는 반도체-기반 장치를 제조하는 개선된 기술에 관한 것이다.
반도체-기반 장치 (예를 들어, 집적 회로 또는 평판 디스플레이) 제조에서, 듀얼 다마신 (damascene) 구조체는, 이전 세대 기술에 이용된 알루미늄 기반 재료에서의 신호 전달과 관련된 RC 지연을 감소시키기 위해 구리 도전체 재료와 함께 이용될 수도 있다. 듀얼 다마신 공정에서는, 도전체 재료를 에칭하는 대신, 비어, 및 트렌치가 유전체 재료 내에 에칭될 수도 있고 구리로 채워질 수도 있다. 신호 송신을 위해 비어에 의해 접속된 구리선을 남기고, 여분의 구리가 CMP (chemical mechanical polishing) 에 의해 제거될 수도 있다. RC 지연을 보다 감소시키기 위해, 다공성 저유전율 재료 (porous low dielectric constant material) 가 이용될 수도 있다. 이러한 다공성 저유전율 재료는 다공성 OSG (organo-silicate-glass) 재료를 포함할 수도 있다. OSG 재료는 메틸기와 같은 유기적인 성분으로 도핑된 실리콘 다이옥사이드일 수도 있다. OSG 재료는 실리콘 다이옥사이드 격자에 포함되는 탄소 및 수소 원자를 가짐으로써, 재료의 유전율을 낮춘다. 그러나, OSG 재료는 포토 레지스트를 박리 (stripping) 하기 위해 이용된 O2, H2 및 NH3 가스에 노출되면, 손상을 입을 수도 있다. 다공성 재료는 기공 (pore) 을 가짐으로써, 박리 플라즈마가 층에 더 깊게 도달하도록 함으로써, 더 큰 손상이 유발된다.
다공성 OSG 재료는 레지스트 및 측벽을 박리하기 위해 이용된 플라즈마에 노출되어 유기 함유물이 제거됨으로써 쉽게 손상될 수도 있다. 플라즈마는 다공성 OSG 층의 기공 내부로 확산할 수도 있고, 개구 (opening) 에 인접하는 OSG 층으로 300 nm 까지의 손상을 유발할 수도 있다. 플라즈마에 의한 손상 부분은 손상 영역으로부터 탄소와 수소가 제거됨으로써, OSG 가 보다 실리콘 다이옥사이드와 유사하게 되어, 보다 높은 유전율을 갖게 된다. 손상은 FTIR 분석으로부터 OSG 층의 SiC/SiO 비율에서의 변화를 측정함으로써 정량화될 수도 있다. 트렌치 측벽에 대해 바꾸어 본다면, 2000Å 트렌치 벽의 각 측에 수백 옹스트롬 (angstrom) 의 손상을 가짐을 의미한다.
박리 프로세스 중에 다공성 로우-K 유전체층의 손상을 감소시키는 것이 바람직하다.
발명의 요약
본 발명의 취지에 따른 전술한 목적 및 다른 목적을 달성하게 위해, 다공성 로우-K 유전체층에서 피쳐 (feature) 를 형성하는 방법이 제공된다. 다공성 로우-K 유전체층은 기판상에 배치된다. 패터닝된 포토레지스트 마스크가 다공성 로우-K 유전체층 상에 배치된다. 피쳐는 다공성 로우-K 유전체층으로 에칭된다. 피쳐가 에칭된 후에, 보호층은 피쳐 상에 성막된다. 보호층의 일부가 제거되고, 보호층으로부터 형성된 보호벽의 작은 부분이 피쳐 내에 잔류하도록, 패터닝된 포토레지스트 마스크가 박리된다.
본 발명의 다른 태양에서, 기판상의 마스크를 통해 다공성 로우-K 유전체층에서 피쳐를 에칭하기 위한 장치가 제공된다. 플라즈마 프로세싱 챔버는, 플라즈마 프로세싱 챔버 인클로져 (plasma processing chamber enclosure) 를 형성하는 챔버 벽, 플라즈마 프로세싱 챔버 인클로져 내에 있는 기판을 지지하기 위한 기판 지지부, 플라즈마 프로세싱 챔버 인클로져 내에서 압력을 조절하기 위한 압력 조절기, 플라즈마를 유지하기 위해 플라즈마 프로세싱 챔버 인클로져에 전력을 제공하기 위한 하나 이상의 전극, 플라즈마 프로세싱 챔버 인클로져 내부로 가스를 제공하기 위한 가스 주입구, 및 플라즈마 프로세싱 챔버 인클로져로부터 가스를 배기하기 위한 가스 배기구를 포함한다. 가스 소오스는 가스 주입구에 유체 연결된다. 제어기는 하나 이상의 가스 소오스, 하나 이상의 전극, 압력 조절기, 가스 주입구, 및 가스 배기구에 제어 가능하게 접속된다. 제어기는 하나 이상의 프로세서 및 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는, 다공성 로우-K 유전체층에 피쳐를 에칭하기 위해 에칭 플라즈마를 제공하기 위한 컴퓨터 판독가능 코드, 피쳐가 에칭된 후에 피쳐 상에 보호층을 형성하기 위해 성막 플라즈마를 제공하기 위한 컴퓨터 판독가능 코드, 및 다공성 로우-K 유전체층 상으로부터 포토레지스트 마스크를 박리하기 위한 컴퓨터 판독가능 코드를 포함하며, 이 박리는 보호층의 일부를 제거하고, 그 보호층으로부터 형성된 작은 보호벽은 잔류시킨다.
본 발명의 다른 태양에서, 패터닝된 포토레지스트 마스크 아래에 배치되고 기판 위에 있는 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법이 제공된다. 피쳐는 포토레지스트 마스크를 통해서 다공성 로우-K 유전체층 내부로 에칭된다. 피쳐를 에칭한 후에 피쳐 위에 보호층이 성막된다. 보호층의 일부는 제거되고, 보호층으로부터 형성된 보호벽은 피쳐 내에 잔류하도록, 패터닝된 포토레지스트 마스크가 박리된다.
본 발명의 이러한 특징과 다른 특징은 본 발명의 상세한 설명과 이하 도면과 관련하여 더욱 자세하게 설명된다.
도면의 상세한 설명
본 발명은 예시를 통해 설명하지만, 첨부된 도면에서의 도로써 제한하려는 것이 아니며, 도면에서의 동일한 참조 부호도 동일한 엘리먼트를 나타낸다.
도 1 은 본 발명의 실시형태에 이용된 프로세스의 플로우차트이다.
도 2a 내지 도 2f 는 도 1 의 프로세스에 따른 에칭된 다공성 로우-K 유전체층의 개략적인 측면도이다.
도 3 은 본 발명의 실시형태에서 이용될 수도 있는 프로세스 챔버의 개략적인 도면이다.
도 4a 및 도 4b 는 제어기로서 이용될 수도 있는 컴퓨터 시스템의 개략적인 도면이다.
발명의 구성
본 발명은 첨부된 도면에서 도시된 바와 같이, 수 개의 바람직한 실시형태를 참조하여 상세하게 설명된다. 이하의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 많은 구체적인 세부사항이 상세하게 설명된다. 그러나, 본 발명은 이러한 상세한 설명의 일부 또는 전부 없이도 실시될 수도 있다는 것은 당업자에게는 명백하다. 또한, 본 발명을 불필요하게 불명료하게 하지 않기 위해 공지된 프로세스 단계 및/또는 구조는 상세하게 설명되지 않는다.
설명을 용이하게 하기 위해, 도 1 은 본 발명의 바람직한 실시형태에서 이용된 다공성 로우-K 유전체층의 에칭 프로세스의 플로우차트이다. 여기서 기공은 나노미터 사이즈의 기공이다. 기공은 약 1 nm 에서 약 10 nm 사이에 있는 것이 더욱 바람직하다. 정의 및 특허청구범위에서, 2.3 보다 작은 유전율 (dielectric constant) 을 가진 유전체 재료는 통상적으로 다공성이기 때문에, 용어 다공성 로우-K 유전체는 2.3 보다 작은 유전율을 가진 유전체이다. 다공성 저유전율 재료의 몇몇 예시로서, 일본 도쿄 소재의 JSR Corporation 에서 제조된 JSR LKD-5109 로우-K 유전체, 펜실베니아 필라델피아 소재의 Rohm and Haas 의 자회사인 Shipley Microelectronics 에서 제조된 ZirkonTM LK 가 있다. 몇몇 다공성 로우-K 유전체 재료는 OSG (organosilicate glass) 에 기초한다. 다른 다공성 로우-K 유전체 재료는 규소가 없는 순수 유기 재료인, 다공성 SILK 이다. 도 2a 내지 도 2d 는 도 1 의 프로세스에 따라 다공성 로우-K 유전체층을 개략적으로 나타내는 측면도이다. 도 2a 에 도시된 바와 같이, 다공성 유전체층 (204) 이 기판 (208) 상에 성막될 수도 있다 (단계 104). 기판 (208) 은 실리콘 웨이퍼 또는 다른 타입의 재료일 수도 있고, 웨이퍼 상의 층의 부분일 수도 있다. 캡층 (212) 이 다공성 유전체층 (204) 상에 형성된다 (단계 108). 캡층 (212) 은 실리콘 옥사이드일 수도 있다. 일반적으로, 캡층은 유전체 재료의 보호층이다. 캡층 (212) 은 CMP (chemical mechanical polishing) 및 다른 프로세스 중에 다공성 유전체층 (204) 을 보호한다. 캡층이 최종 생산물의 일부이기 때문에, 캡층 (212) 은 로우-K 유전체일 수도 있다. 캡층은 실리콘 옥사이드계 재료인 것이 바람직하다. 캡층은 약 200Å 에서 약 1000Å 사이의 두께를 가진다. 반사방지 코팅 (214; ARC (antireflective coating)) 이 캡층 (212) 상에 성막된다 (단계 112). ARC (214) 는 유기 BARC (organic bottom antireflective coating) 또는 무기 유전체 DARC (inorganic dielectric antireflective coating) 일 수도 있다. ARC 는 약 100Å 에서 약 1000Å 사이의 두께를 가진다. 패터닝된 레지스트 마스크 (216) 가 ARC (214) 상으로 제공된다 (단계 116). 패터닝된 레지스트 마스크 (216) 는 틈 (220; aperture) 을 가진다. 패터닝된 레지스트 마스크는, 광 패턴에 노출되고 에칭되는 포토레지스트의 층을 배치함으로써 형성될 수도 있다. 패터닝된 레지스트 마스크를 형성하는 다른 방법이 이용될 수도 있다. 기판 (208) 은 콘택트 (209) 및 장벽층 (210) 을 가질 수도 있다.
기판 (208) 은 다공성 유전체층 (204) 이 에칭되는 에칭 챔버 내에 배치될 수도 있다 (단계 120). 도 2b 에 도시된 바와 같이, 플라즈마 건식 에칭이 이용되어, 다공성 유전체층 (204) 을 에칭함으로써, 패터닝된 레지스트 마스크 (216) 의 틈 (220) 아래에 개구 (224) 를 형성한다. 패터닝된 레지스트 마스크 (216) 의 일부는 다공성 유전체층 에칭 중에 제거된다. 이러한 다공성 유전체 에칭은 플루오르계 에천트를 이용하는 것과 같은 화학 에칭을 이용할 수도 있다. 예를 들어, 에칭 화학물질은 저압에서 C4F8 및 N2 를 이용할 수도 있다. 그 결과, 피쳐의 측벽이 에칭될 수도 있다. 또한, 이러한 에칭은 에칭 중에 폴리머 (polymer) 를 성막할 수도 있다. 이러한 에칭은 약간의 O2 를 이용할 수도 있지만, O2 의 양은 박리 프로세스에서 이용된 O2 의 양보다 일반적으로 작다. O2 의 양이 작고 압력이 낮게 유지되기 때문에, 에칭 화학물질은 박리 프로세스에서의 손상만큼 다공성 유전체에 손상을 가하지 않는다.
다음으로, 도 2c 에 도시된 바와 같이, 에칭된 피쳐 위에 보호층 (228) 이 성막된다 (단계 124). 바람직한 실시형태에서, 보호층은 폴리머 성막에 의해 형성된다. 이러한 폴리머 성막 화학물질은 C2H4 및 N2 또는 CH4 및 O2 의 성막 화학물질을 이용할 수도 있다. 노출된 다공성 유전체의 손상을 회피하기 위해, 플로우르-프리 화학물질이 폴리머 형성에 이용되는 것이 바람직하다. 폴리머 성막의 두께는 약 100 내지 1500Å 사이인 것이 바람직하다. 폴리머 성막의 두께는 약 200 내지 약 800Å 사이인 것이 더욱 바람직하다. 폴리머 성막의 두께는 약 200 내지 500Å 사이인 것이 가장 바람직하다.
또한, 도 2d 에 도시된 바와 같이, 패터닝된 레지스트 마스크 (216) 는 다공성 유전체를 보호하기 위해 피쳐 (224) 내의 보호층의 보호벽 (230) 을 잔류시키고 보호층의 일부를 제거한다 (단계 128). 전형적인 포토레지스트 박리 화학물질은 O2, NH3, 또는 N2H2 계 박리 화학물질을 이용한다. 이러한 박리 화학물질은 노출된 표면의 하부로 깊게 다공성 로우-K 유전체의 유기적 성분을 손상시킴으로써 로우-K 유전체의 상당한 부분에 손상을 유발한다. 그러나, 보호벽 (230) 은 다공성 유전체층 (204) 의 보호를 제공하여, 박리 프로세스 중에 다공성 유전체층의 노출된 표면이 없게 한다. 보호벽 (230) 은 접착 (adhesion) 실패를 회피하도록 충분히 얇다. 보호벽이 너무 두꺼운 경우, 보호벽은 접착 문제를 유발하여 들뜰 수 있게 된다. 반면에, 본래의 보호층이 너무 얇으면, 다공성 유전체층이 충분하게 보호될 수 없다. 일반적으로, 에칭 단계의 결과로서 형성될 수도 있는 측벽은 다공성 유전체층의 충분한 보호를 제공하기에는 너무 얇은데, 이것이, 에칭이 완성된 후에 폴리머 성막 단계가 필요한 이유이다. 보호벽을 제거하지 않고 보호층의 상부 표면을 우선적으로 제거하기 위해, 박리 중에 이온 충돌 (ion bombardment) 이 이용되는 것이 바람직하다.
본 발명의 몇몇 실시형태에서, 장벽층 (210) 은 포토레지스트 (216) 가 박리된 후에 개방될 수도 있다. 보호벽 (230) 은 장벽층 (210) 의 개방 중에 다공성 유전체층을 더 보호할 수도 있다. 다음으로, 보호벽 (230) 상에 다음 층이 형성된다 (단계 132). 일 실시예에서는, 도 2e 에 도시된 바와 같이, 장벽층 (240) 이 보호벽 (230) 상에 형성되고, 구리 콘택트 층 (244) 이 피쳐 내부 및 위에 형성된다. 도 2f 에 도시된 바와 같이, CMP 는 장벽층 (240) 및 구리 콘택트 층 (244) 의 초과 부분을 제거하여 구리 (246) 를 형성하기 위해 이용된다.
도 3 은 피쳐를 에칭하고, 다음으로 보호층을 성막하고, 다음으로 인시츄 (in-situ) 로 포토레지스트를 박리하기 위해 이용될 수도 있는 플라즈마 프로세싱 챔버 (300) 의 개략적인 도면이다. 플라즈마 프로세싱 챔버 (300) 는 제한 링 (302), 상부 전극 (304), 하부 전극 (308), 가스 소오스 (310), 및 배기 펌프 (320) 를 포함한다. 가스 소오스 (310) 는 에천트 가스 소오스 (312), 보호층 가스 소오스 (316), 및 박리 가스 소오스 (318) 를 포함한다. 가스 소오스 (310) 는 추가적인 가스 소오스를 포함할 수도 있다. 플라즈마 프로세싱 챔버 (300) 내에서, 기판 (208) 은 하부 전극 (308) 상에 배치된다. 하부 전극 (308) 은 기판 (208) 을 홀딩하기에 적절한 기판 척 기구 (chucking mechanism; 예를 들어, 정전기적, 기계적 클램핑등) 을 포함한다. 반응기 상부 (328) 는 하부 전극 (308) 과 대향하여 배치된 상부 전극 (304) 을 포함한다. 상부 전극 (304), 하부 전극 (308) 및 제한 링 (302) 은 제한된 플라즈마 부피를 정의한다. 가스는, 가스 소오스 (310) 에 의해 제한된 플라즈마 부피에 공급되고, 제한 링 (302) 및 배기 포트를 통과하여 배기 펌프 (320) 에 의해 제한된 플라즈마 부피로부터 배기된다. 제 1 RF 소오스 (344) 는 상부 전극 (304) 에 전기적으로 접속된다. 제 2 RF 소오스 (348) 는 하부 전극 (308) 에 전기적으로 접속된다. 챔버 벽 (352) 은 제한 링 (302), 상부 전극 (304), 및 하부 전극 (308) 을 둘러싼다. 제 1 RF 소오스 (344) 및 제 2 RF 소오스 (348) 는 27 MHz 전원 및 2 MHz 전원를 포함할 수도 있다. 전극에 RF 전력을 접속하는 상이한 결합도 가능하다. 즉, 캘리포니아 프레몬트 소재의 LAM Research CorporationTM 에 의해 제조된 Exelan 2300TM 은 본 발명의 바람직한 실시형태에서 이용될 수도 있다.
도 4a 및 도 4b 는 본 발명의 실시형태에서 이용된 제어기 (335) 를 구현하기 위해 적절한 컴퓨터 시스템 (1300) 을 도시한다. 도 4a 는 컴퓨터 시스템의 하나의 가능한 물리적 형태를 나타낸다. 물론, 컴퓨터 시스템은 집적 회로, 인쇄 회로 기판 및 소형 포켓용 장치에서 거대한 수퍼 컴퓨터까지에 달하는 많은 물리적 형태를 가질 수도 있다. 컴퓨터 시스템 (1300) 은 모니터 (1302), 디스플레이 (1304), 하우징 (1306), 디스크 장치 (1308), 키보드 (1310) 및 마우스 (1312) 를 포함한다. 디스크 (1314) 는 컴퓨터 시스템 (1300) 으로 또는 컴퓨터 시스템 (1300) 으로부터 데이터를 전송하기 위해 이용된 컴퓨터-판독가능 매체이다.
도 4b 는 컴퓨터 시스템 (1300) 에 대한 블록도의 예시이다. 시스템 버스 (1320) 에 접속된 것은 광범위하게 다양한 하위조직들이다. 프로세서 (1322; 또한, 중앙처리장치, 또는 CPU 로서 지칭됨) 는 메모리 (1324) 를 포함하는 저장 장치에 연결된다. 메모리 (1324) 는 RAM (random access memory) 및 ROM (read-only memory) 을 포함한다. 종래 기술에서 공지된 바와 같이, ROM 은 CPU 에 단방향으로 데이터 및 명령 (instruction) 의 전송을 행하고, RAM 은 전형적으로 양방향으로 데이터 및 명령의 전송에 이용된다. 이러한 타입의 메모리는 후술되는 임의의 적절한 컴퓨터-판독가능 매체를 포함할 수도 있다. 또한, 고정 디스크 (1326) 는 CPU (1322) 에 양방향으로 연결된다; 이것은 추가적인 데이터 저장 능력을 제공하고, 또한, 후술되는 임의의 컴퓨터-판독가능 매체를 포함할 수도 있다. 고정 디스크 (1326) 는 프로그램, 데이터등을 저장하기 위해 이용될 수도 있고, 통상적으로, 1 차 저장 매체보다 느린 2 차 저장 매체 (하드디스크와 같은) 일 수도 있다. 적절한 경우에, 고정 디스크 (1326) 내에서 획득된 정보는 가상 메모리 (virtual memory) 와 같은 표준 방식으로 메모리 (1324) 에 통합될 수도 있다. 판독가능 디스크 (1314) 는 후술되는 컴퓨터-판독가능 매체의 임의의 형태를 취할 수도 있다.
또한, CPU (1322) 는 디스플레이 (1304), 키보드 (1310), 마우스 (1312) 및 스피커 (1330) 와 같은 다양한 입력/출력 장치에 연결된다. 일반적으로, 입력/출력 장치는: 비디오 디스플레이, 트랙볼, 마우스, 키보드, 마이크로폰, 터치-감지 디스플레이, 변환기 카드 판독기 (transducer card reader), 전자기 또는 종이 테이프 판독기, 타블렛 (tablet), 자동 기록계, 음성 또는 필체 인식기, 생체인식 판독기, 또는 다른 컴퓨터 등일 수도 있다. CPU (1322) 는 네트워크 인터페이스 (1340) 를 이용하는 다른 컴퓨터 또는 통신 네트워크에 선택적으로 연결될 수도 있다. 이러한 네트워크 인터페이스와 함께, CPU 는 네트워크로부터 정보를 수신할 수도 있고, 전술한 방법의 단계를 수행하는 코스에서 네트워크에 정보를 출력할 수도 있다. 또한, 본 발명의 방법의 실시형태는 CPU (1322) 상에서 단독으로 수행될 수도 있고, 프로세싱의 부분을 공유하는 원격 CPU 와 관련된 인터넷과 같은 네트워크상에서 수행될 수도 있다.
또한, 본 발명의 실시형태는 다양한 컴퓨터-구현 동작을 수행하는 컴퓨터 코드를 가지는 컴퓨터-판독가능 매체를 가진 컴퓨터 저장 장치에 더욱 관련한다. 매체 및 컴퓨터 코드는 본 발명의 목적에 따라 특별히 설계되고 구성될 수도 있고, 또한 컴퓨터 소프트웨어 분야에서의 당업자에게 공지되고 이용 가능한 종류일 수도 있다. 컴퓨터-판독가능 매체의 예시는: 하드 디스크, 플로피 디스크, 및 자기 테이프와 같은 자기적 매체; CD-ROM 및 홀로그래픽 장치와 같은 광학 매체; 폴롭티컬 디스크와 같은 광자기 매체; 및 ASIC (application-specific integrated circuit), PLD (programmable logic device) 및 ROM 과 RAM 장치와 같은 프로그램 코드를 저장하고 실행하도록 특별히 구성된 하드 디스크를 포함하지만, 여기에 제한하지 않는다. 컴퓨터 코드의 예시에는 컴파일러에 의해 생성된 것과 같은 기계 코드와, 번역기를 이용하는 컴퓨터에 의해 실행되는 높은 레벨 코드를 포함하는 파일을 포함한다. 또한, 컴퓨터 판독가능 매체는 반송파에서 구현된 컴퓨터 데이터 신호에 의해 송신되고, 프로세서에 의해 실행가능한 명령들의 순서를 나타내는 컴퓨터 코드일 수도 있다.
다른 예시는 다른 성막 장치를 이용할 수도 있다. 다른 실시형태에서는, 다공성 유전체층 (204) 의 손상 없이 보호벽 (230) 을 제거하기 위해 특정 에칭이 이용될 수도 있다. 또한, 다른 실시형태는 에칭, 보호층의 성막, 및 박리를 별도의 챔버에서 (익스시츄 (ex situ) 로) 수행할 수도 있다.
비록 트렌치의 에칭이 앞서 도시되었지만, 본 발명은, 비아 (via) 가 에칭된 후에 비아 또는 트렌치를 에칭하거나 또는 트렌치가 먼저 에칭된 후에 비아를 에칭하는데 이용될 수도 있다. 각각의 피쳐가 에칭된 후에, 포토레지스트가 박리 되기 전에 보호층이 피쳐 상에 형성될 수도 있다. 어떤 경우에는, 보호벽 상에 성막된 다음 층은 포토레지스트 마스크일 수도 있다.
실시예
본 발명의 실시예에서, JSR LKD-5109 의 다공성 유전체층이 기판상으로 스핀된다. 실리콘 옥사이드 캡층이 다공성 유전체층 상에 성막된다. 캡층은 약 500Å 의 두께이다. 유기 ARC 층이 캡층 상에 형성된다. 패터닝된 포토레지스트 마스크가 ARC 상에 형성된다. 이러한 실시예에서, 포토레지스트는 Shipley 로부터의 193 nm PR 이다.
다음으로, 기판은 Exelan 2300 유전체 에처 (etcher) 에 배치된다. 피쳐는 ARC 층 및 다공성 유전체층을 통해서 에칭된다. 다공성 유전체층을 통해 에칭하기 위해 10sccm 의 C4F8 및 12sccm 의 O2 의 에칭 화학물질이 이용된다. 챔버 압력은 약 120 mTorr 로 설정된다. 27 MHz RF 소오스에 의해 1000W 가 제공된다. 2 MHz RF 소오스에 의해 1000W 가 제공된다.
피쳐가 완전히 에칭된 후, 피쳐 상에 보호층이 성막된다. 이는 인시츄로 행해진다. 피쳐 상에 폴리머 성막을 형성하기 위해 50sccm 의 C2H4 및 5sccm 의 O2 의 성막 가스 화학물질이 이용된다. 챔버 압력은 약 120 mTorr 로 설정된다. 27 MHz RF 소오스에 의해 500W 가 제공된다. 2 MHz RF 소오스에 의해 500W 가 제공된다. 성막은 15 초 동안 지속되어, 약 300Å 두께의 성막층을 제공한다.
보호층이 성막된 후, 포토레지스트 마스크는 박리된다. 이는 인시츄로 행해진다. 포토레지스트 마스크를 박리하기 위해 600sccm 의 H2 및 200sccm 의 N2 의 박리 가스 화학물질이 이용된다. 챔버 압력은 약 300 mTorr 로 설정된다. 27 MHz RF 소오스에 의해 400W 가 제공된다. 2 MHz RF 소오스에 의해 400W 가 제공된다.
본 발명이 여러가지 바람직한 실시형태에 의해 설명되었지만, 여기에 본 발명의 범위 내에서 변경, 치환, 및 등가물의 치환이 있을 수도 있다. 또한, 본 발명의 방법 빛 장치를 구현하는 많은 다른 방법이 있다. 그러므로, 이하 첨부된 특허청구범위는 본 발명의 실질적인 정신 및 범위 내에 포함되는 변경, 치환, 변형 및 다양한 등가물의 치환을 모두 포함하는 것으로 해석되도록 의도된다.

Claims (18)

  1. 기판상에 다공성 로우-K 유전체층 (porous low-K dielectric layer) 을 배치하는 단계;
    상기 다공성 로우-K 유전체층 상에 패터닝된 포토레지스트 마스크를 배치하는 단계;
    상기 다공성 로우-K 유전체층에 에칭 화학물질을 사용하여 피쳐 (feature) 를 에칭하는 단계;
    상기 피쳐를 에칭한 후에, 상기 피쳐 상에 성막 화학물질을 사용하여 폴리머의 보호층을 성막하는 단계; 및
    상기 보호층의 일부가 제거되도록 상기 패터닝된 포토레지스트 마스크를 박리 (stripping) 하는 단계로서, 상기 보호층으로부터 형성된 보호벽은 상기 피쳐 내에 잔류하는, 상기 박리하는 단계를 포함하는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 포토레지스트 마스크를 배치하는 단계 전에, 상기 다공성 로우-K 유전체층 상에 캡층을 배치하는 단계를 더 포함하고,
    상기 포토레지스트 마스크는 상기 캡층 상에 배치되는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 보호벽 상에 성막층을 성막하는 단계를 더 포함하는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  4. 제 3 항에 있어서,
    상기 포토레지스트 마스크를 배치하는 단계 전에, 상기 캡층 상에 반사방지 코팅 (antireflective coating) 을 형성하는 단계를 더 포함하고,
    상기 포토레지스트 마스크는 상기 반사방지 코팅 상에 배치되는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  5. 제 1 항에 있어서,
    상기 보호층을 성막하는 단계는 플루오르-프리 층 (fluorine free layer) 을 성막하는 단계를 포함하는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  6. 제 1 항에 있어서,
    상기 보호층을 성막하는 단계는 폴리머층을 성막하는 단계를 더 포함하는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 보호층은 100Å 내지 1500Å 의 두께를 갖는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 폴리머층을 성막하는 단계는 C2H4 및 O2 의 성막 가스를 제공하는 단계를 포함하는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  9. 제 7 항에 있어서,
    상기 박리하는 단계는 수소, 질소, 암모니아, 및 산소 중 하나 이상으로부터 선택된 박리 가스를 제공하는 단계를 포함하는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  10. 제 1 항에 있어서,
    상기 다공성 로우-K 유전체층은 나노미터 사이즈의 기공 (pore) 을 포함하는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 박리하는 단계는 이온 충돌 (ion bombardment) 을 이용하는 것을 포함하는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  12. 제 11 항에 있어서,
    상기 이온 충돌은 상기 보호층의 상부층을 제거하지만, 상기 보호층에 의해 형성된 보호 측벽을 잔류시키는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  13. 제 3 항에 있어서,
    상기 보호벽 상에 성막된 상기 성막층은 장벽층 (barrier layer) 인, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  14. 제 1 항에 있어서,
    상기 기판을 에칭 챔버 내에 배치하는 단계를 더 포함하고,
    상기 에칭하는 단계, 상기 보호층을 성막하는 단계, 및 상기 패터닝된 포토레지스트 마스크를 박리하는 단계는 상기 에칭 챔버 내에서 인시츄 (in situ) 로 행해지는, 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법.
  15. 제 1 항 내지 제 14 항 중 어느 한 항에 기재된 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법에 의해 형성된, 반도체 디바이스.
  16. 기판상의 마스크를 통하여 다공성 로우-K 유전체층에서 피쳐를 에칭하기 위한 장치로서,
    플라즈마 프로세싱 챔버, 가스 소오스 및 제어기를 포함하며,
    상기 플라즈마 프로세싱 챔버는, 플라즈마 프로세싱 챔버 인클로져를 형성하는 챔버벽; 상기 플라즈마 프로세싱 챔버 인클로져 내에 기판을 지지하기 위한 기판 지지부; 상기 플라즈마 프로세싱 챔버 인클로져 내의 압력을 조절하기 위한 압력 조절기; 플라즈마를 유지하기 위해 상기 플라즈마 프로세싱 챔버 인클로져에 전력을 제공하기 위한 하나 이상의 전극; 상기 플라즈마 프로세싱 챔버 인클로져에 가스를 제공하기 위한 가스 주입구; 및 상기 플라즈마 프로세싱 챔버 인클로져로부터 가스를 배기하기 위한 가스 배기구를 포함하고,
    상기 가스 소오스는 상기 가스 주입구와 유체 연결되고,
    상기 제어기는, 상기 가스 소오스, 상기 하나 이상의 전극, 상기 압력 조절기, 상기 가스 주입구 및 상기 가스 배기구 중 하나 이상에 제어가능하게 연결되고, 하나 이상의 프로세서 및 컴퓨터 판독가능 매체를 포함하고,
    상기 컴퓨터 판독가능 매체는, 다공성 로우-K 유전체층에 피쳐를 에칭하기 위한 에칭 플라즈마를 제공하기 위한 컴퓨터 판독가능 코드; 상기 피쳐가 에칭된 후 상기 피쳐상에 보호층을 형성하기 위한 성막 플라즈마를 제공하기 위한 컴퓨터 판독가능 코드; 및 상기 다공성 로우-K 유전체층 상부로부터 포토레지스트 마스크를 박리하기 위한 컴퓨터 판독가능 코드로서, 상기 박리는 상기 보호층의 일부를 제거하고 상기 보호층으로부터 형성된 보호벽을 잔류시키는, 상기 포토레지스트 마스크를 박리하기 위한 컴퓨터 판독가능 코드를 포함하는, 에칭 장치.
  17. 패터닝된 포토레지스트 마스크 아래에 배치되고 기판 위에 있는 다공성 로우-K 유전체층 내에 피쳐를 형성하는 방법으로서,
    상기 포토레지스트 마스크를 통해 상기 다공성 로우-K 유전체층에 에칭 화학물질을 사용하여 피쳐를 에칭하는 단계;
    상기 피쳐를 에칭하는 단계 후에, 상기 피쳐 상에 성막 화학물질을 사용하여 폴리머의 보호층을 성막하는 단계; 및
    상기 보호층의 일부가 제거되도록 상기 패터닝된 포토레지스트 마스크를 박리하는 단계로서, 상기 보호층으로부터 형성된 보호벽은 상기 피쳐 내에 잔류하는 상기 박리하는 단계를 포함하는, 피쳐 형성 방법.
  18. 제 17 항에 있어서,
    상기 보호층을 성막하는 단계는 폴리머층을 성막하는 단계를 더 포함하는, 피쳐 형성 방법.
KR1020067012218A 2003-12-16 2004-12-01 레지스트 박리 동안 다공성 로우-k 재료의 손상을방지하는 방법 KR101094681B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/738,280 2003-12-16
US10/738,280 US7081407B2 (en) 2003-12-16 2003-12-16 Method of preventing damage to porous low-k materials during resist stripping
PCT/US2004/040267 WO2005060548A2 (en) 2003-12-16 2004-12-01 Method of preventing damage to porous low-k materials during resist stripping

Publications (2)

Publication Number Publication Date
KR20060114347A KR20060114347A (ko) 2006-11-06
KR101094681B1 true KR101094681B1 (ko) 2011-12-20

Family

ID=34654211

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067012218A KR101094681B1 (ko) 2003-12-16 2004-12-01 레지스트 박리 동안 다공성 로우-k 재료의 손상을방지하는 방법

Country Status (8)

Country Link
US (2) US7081407B2 (ko)
EP (1) EP1697984A4 (ko)
JP (1) JP4668205B2 (ko)
KR (1) KR101094681B1 (ko)
CN (1) CN100524668C (ko)
IL (1) IL176101A0 (ko)
TW (1) TWI353019B (ko)
WO (1) WO2005060548A2 (ko)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7226852B1 (en) * 2004-06-10 2007-06-05 Lam Research Corporation Preventing damage to low-k materials during resist stripping
JP4812512B2 (ja) * 2006-05-19 2011-11-09 オンセミコンダクター・トレーディング・リミテッド 半導体装置の製造方法
US7749892B2 (en) * 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US7838426B2 (en) * 2007-08-20 2010-11-23 Lam Research Corporation Mask trimming
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist
WO2009039551A1 (en) * 2007-09-26 2009-04-02 Silverbrook Research Pty Ltd Method of removing photoresist
US20090324849A1 (en) * 2007-12-28 2009-12-31 Varian Semiconductor Equipement Associates, Inc. Method for sealing pores in a porous substrate
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) * 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN102427055A (zh) * 2011-07-12 2012-04-25 上海华力微电子有限公司 一种采用等离子体处理多孔低k值介质的方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9059263B2 (en) 2011-11-09 2015-06-16 QUALCOMM Incorpated Low-K dielectric protection spacer for patterning through substrate vias through a low-K wiring layer
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210039194A (ko) 2019-10-01 2021-04-09 삼성전자주식회사 집적회로 소자의 제조 방법
US11837618B1 (en) 2020-08-21 2023-12-05 Samsung Electronics Co., Ltd. Image sensor including a protective layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330246A (ja) 1998-04-06 1999-11-30 Motorola Inc 銅相互接続構造および形成方法
JP2001196455A (ja) 1999-10-29 2001-07-19 Lucent Technol Inc 半導体素子の製造方法
JP2003197742A (ja) 2001-12-26 2003-07-11 Toshiba Corp 半導体装置およびその製造方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4846129A (en) * 1988-02-09 1989-07-11 Chrysler Motors Corporation Ignition system improvements for internal combustion engines
US5114259A (en) * 1990-06-01 1992-05-19 Meservy Jay A Adjustable writing platform for a notebook
US5419300A (en) * 1992-11-10 1995-05-30 Honda Giken Kogyo Kabushiki Kaisha Ignition coil unit with ignition voltage detective capacitor for internal combustion engine
US20020076935A1 (en) * 1997-10-22 2002-06-20 Karen Maex Anisotropic etching of organic-containing insulating layers
KR100520148B1 (ko) * 1997-12-31 2006-05-12 주식회사 하이닉스반도체 신규한바이시클로알켄유도체와이를이용한포토레지스트중합체및이중합체를함유한포토레지스트조성물
JP3107035B2 (ja) * 1998-03-18 2000-11-06 日本電気株式会社 低雑音増幅器及びその制御回路
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
US6150272A (en) * 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
US6211063B1 (en) * 1999-05-25 2001-04-03 Taiwan Semiconductor Manufacturing Company Method to fabricate self-aligned dual damascene structures
US6235453B1 (en) * 1999-07-07 2001-05-22 Advanced Micro Devices, Inc. Low-k photoresist removal process
US6114259A (en) * 1999-07-27 2000-09-05 Lsi Logic Corporation Process for treating exposed surfaces of a low dielectric constant carbon doped silicon oxide dielectric material to protect the material from damage
JP4427840B2 (ja) * 1999-09-17 2010-03-10 ソニー株式会社 半導体装置及びその製造方法
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6346490B1 (en) * 2000-04-05 2002-02-12 Lsi Logic Corporation Process for treating damaged surfaces of low k carbon doped silicon oxide dielectric material after plasma etching and plasma cleaning steps
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6410437B1 (en) * 2000-06-30 2002-06-25 Lam Research Corporation Method for etching dual damascene structures in organosilicate glass
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
US6653240B2 (en) * 2001-01-12 2003-11-25 International Business Machines Corporation FIB/RIE method for in-line circuit modification of microelectronic chips containing organic dielectric
US6930056B1 (en) * 2001-06-19 2005-08-16 Lsi Logic Corporation Plasma treatment of low dielectric constant dielectric material to form structures useful in formation of metal interconnects and/or filled vias for integrated circuit structure
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US6800558B1 (en) * 2002-01-10 2004-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist scum for copper dual damascene process
US6734096B2 (en) * 2002-01-17 2004-05-11 International Business Machines Corporation Fine-pitch device lithography using a sacrificial hardmask
CN1327507C (zh) * 2002-04-03 2007-07-18 日本电气株式会社 半导体器件及其制造方法
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7344991B2 (en) * 2002-12-23 2008-03-18 Tokyo Electron Limited Method and apparatus for multilayer photoresist dry development
US6919101B2 (en) * 2003-02-04 2005-07-19 Tegal Corporation Method to deposit an impermeable film on porous low-k dielectric film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330246A (ja) 1998-04-06 1999-11-30 Motorola Inc 銅相互接続構造および形成方法
JP2001196455A (ja) 1999-10-29 2001-07-19 Lucent Technol Inc 半導体素子の製造方法
JP2003197742A (ja) 2001-12-26 2003-07-11 Toshiba Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
KR20060114347A (ko) 2006-11-06
CN1894784A (zh) 2007-01-10
EP1697984A4 (en) 2009-12-23
IL176101A0 (en) 2006-10-05
TW200527532A (en) 2005-08-16
WO2005060548A3 (en) 2006-02-23
WO2005060548A2 (en) 2005-07-07
US20060240661A1 (en) 2006-10-26
US7081407B2 (en) 2006-07-25
US20050130435A1 (en) 2005-06-16
JP4668205B2 (ja) 2011-04-13
TWI353019B (en) 2011-11-21
CN100524668C (zh) 2009-08-05
JP2007514327A (ja) 2007-05-31
EP1697984A2 (en) 2006-09-06

Similar Documents

Publication Publication Date Title
KR101094681B1 (ko) 레지스트 박리 동안 다공성 로우-k 재료의 손상을방지하는 방법
JP5165306B2 (ja) 多孔質低k誘電体層内に特徴を形成するための装置
KR101144022B1 (ko) 에칭된 웨이퍼로부터 포토레지스트 스트립 방법
KR101171813B1 (ko) 유기 재료의 균일한 제거를 제공하는 방법
US7226852B1 (en) Preventing damage to low-k materials during resist stripping
US8815745B2 (en) Reducing damage to low-K materials during photoresist stripping
US8268118B2 (en) Critical dimension reduction and roughness control
KR101711669B1 (ko) 측벽 형성 공정
US20070193973A1 (en) Infinitely selective photoresist mask etch
JP2008524851A (ja) エッチマスクの特徴部の限界寸法の低減
EP2018661A1 (en) Pitch reduction
TWI393997B (zh) 用於蝕刻基板上之低k介電層的方法、半導體裝置以及用於在低k介電層中形成特徵的設備
KR101155843B1 (ko) 균일성 제어에 의한 에칭
US20070181530A1 (en) Reducing line edge roughness

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141124

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151124

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee